CN106601612B - 用于超高选择性的氮化物蚀刻的系统和方法 - Google Patents

用于超高选择性的氮化物蚀刻的系统和方法 Download PDF

Info

Publication number
CN106601612B
CN106601612B CN201610902633.0A CN201610902633A CN106601612B CN 106601612 B CN106601612 B CN 106601612B CN 201610902633 A CN201610902633 A CN 201610902633A CN 106601612 B CN106601612 B CN 106601612B
Authority
CN
China
Prior art keywords
substrate
etching
silicon nitride
gas
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610902633.0A
Other languages
English (en)
Other versions
CN106601612A (zh
Inventor
杨邓良
费萨尔·雅各布
皮利翁·帕克
海伦·H·朱
朴俊洪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN106601612A publication Critical patent/CN106601612A/zh
Application granted granted Critical
Publication of CN106601612B publication Critical patent/CN106601612B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02389Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/31Processing objects on a macro-scale
    • H01J2237/3151Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及用于超高选择性的氮化物蚀刻的系统和方法。一种用于选择性蚀刻衬底上的氮化硅层的方法包括将衬底布置在衬底处理室的衬底支撑件上。衬底处理室包括上室区、布置在上室区的外部的感应线圈、包括衬底支撑件的下室区和气体分配装置。气体分配装置包括与上室区和下室区流体连通的多个孔。该方法包括供应蚀刻气体混合物到上室区并且通过供给电力到感应线圈以在上室区中引燃感应耦合等离子体。该蚀刻气体混合物蚀刻氮化硅、促进二氧化硅钝化以及促进多晶硅钝化。该方法包括选择性蚀刻衬底上的氮化硅层并在预定时间段后熄灭感应耦合等离子体。

Description

用于超高选择性的氮化物蚀刻的系统和方法
相关申请的交叉引用
本申请要求于2015年10月15日提交的美国临时申请No.62/241,827的权益。上述所引用的申请的全部公开内容通过引用并入本文。
技术领域
本发明涉及衬底处理系统,并且更具体地涉及用于选择性地蚀刻氮化硅的系统和方法。
背景技术
本文提供的背景描述的目的是总体上呈现本公开的背景。本发明署名的发明人的工作,就其在该背景技术部分以及说明书的一些方面中所描述的、可能不符合作为提交时的现有技术的范围而言,既不明确也不暗示地承认其作为本公开的现有技术。
衬底处理系统可以被用于蚀刻诸如半导体晶片之类的衬底上的膜。衬底处理系统通常包括处理室、气体分配装置以及衬底支撑件。在处理过程中,将衬底布置在衬底支撑件上。可以将不同的气体混合物引入处理室并且可以使用射频(RF)等离子体激活化学反应。
现在参考图1,当整合一些诸如垂直NAND器件之类的半导体衬底时,需要相对于其他暴露的材料以非常高的选择性蚀刻氮化硅。热磷酸是用于蚀刻这些器件中的氮化硅膜的主要化学物质。这种蚀刻工艺有需要解决的、包括规模在36层以上的能力、减少缺陷和点蚀、以及增强对蚀刻速率的控制的一些限制。例如,在图1中,单个缺陷颗粒10可以引起存储装置14中的写入线串的损失。
发明内容
一种用于选择性蚀刻在衬底上的氮化硅层的方法包括将衬底布置在衬底处理室的衬底支撑件上。衬底处理室包括上室区、布置在上室区的外部的感应线圈、包括衬底支撑件的下室区和布置在上室区和下室区之间的气体分配装置。气体分配装置包括与上室区和下室区流体连通的多个孔。该方法包括供应蚀刻气体混合物到上室区并且通过供给电力到感应线圈以在上室区中引燃感应耦合等离子体。该方法包括选择蚀刻气体混合物以蚀刻氮化硅、促进二氧化硅钝化以及促进多晶硅钝化。该方法包括选择性蚀刻在衬底上的氮化硅层并在预定时间段后熄灭感应耦合等离子体。
在其他特征中,该蚀刻气体混合物包括氮化硅蚀刻促进剂,该氮化硅蚀刻促进剂包括选自由三氟化氮(NF3)、二氟甲烷(CH2F2)、四氟甲烷(CF4)、和氟甲烷(CH3F)组成的组中的至少一种气体。
在其他特征中,该氮化硅蚀刻促进剂包括选自由分子氧(O2)、分子氮(N2)、和一氧化二氮(N2O)组成的组中的至少一种气体。
在其他特征中,该蚀刻气体混合物包括二氧化硅钝化促进剂,该二氧化硅钝化促进剂包括选自由氟甲烷(CH3F)和二氟甲烷(CH2F2)组成的组中的至少一种气体。
在其他特征中,该二氧化硅钝化促进剂还包括选自由分子氧(O2)、分子氮(N2)、和一氧化二氮(N2O)组成的组中的至少一种气体。
在其他特征中,该蚀刻气体混合物包括多晶硅钝化促进剂,该多晶硅钝化促进剂包括选自由分子氧(O2)、分子氮(N2)、和一氧化二氮(N2O)组成的组中的至少一种气体。
在其他特征中,该方法包括,在选择性蚀刻后,通过供应干燥清洁气体混合物到衬底处理室并在衬底处理室中引燃等离子体持续预定的时间段来干燥清洁衬底。
在其他特征中,该方法包括使使用蚀刻气体混合物的蚀刻和使用干燥清洁气体混合物的干燥清洁重复一次或多次。
在其他特征中,干燥清洁气体混合物包括选自由二氧化碳(CO2)和一氧化碳(CO)组成的组中的至少一种气体。该干燥清洁气体混合物还包括例如氩(Ar)、氦(He)、氖(Ne)和/或其它稀有或惰性气体之类的气体。该干燥清洁气体混合物包括选自由分子氮(N2)或一氧化二氮(N2O)组成的组中的至少一种气体。
在其他特征中,衬底处理室中的压强处于0.5至5托的压强范围内。
在其他特征中,在蚀刻过程中,向衬底支撑件供给射频(RF)偏置。在蚀刻过程中,不向衬底支撑件供给射频(RF)偏置。
在其他特征中,气体分配装置包括喷头,该喷头包括多个孔。该多个孔具有0.1”到0.75”的范围内的直径。
在其他特征中,该方法包括在蚀刻过程中使气体分配装置接地。
在其他特征中,该蚀刻气体混合物包括三氟化氮(NF3)、二氟甲烷(CH2F2)、分子氧(O2)、分子氮(N2)、一氧化二氮(N2O)和二氧化碳(CO2)。
在其他特征中,该蚀刻气体混合物包括四氟甲烷(CF4)、氟甲烷(CH3F)、分子氧(O2)、分子氮(N2)、一氧化二氮(N2O)和二氧化碳(CO2)。
在其他特征中,相对于二氧化硅选择性蚀刻衬底上的氮化硅层。相对于多晶硅选择性蚀刻衬底上的氮化硅层。相对于碳氧化硅(SiOC)选择性蚀刻衬底上的氮化硅层。相对于铪氧化物(HfOx)选择性蚀刻衬底上的氮化硅层。
在其他特征中,相对于氮化钛(TiN)选择性蚀刻衬底上的氮化硅层。相对于氮化钽(TaN)选择性蚀刻衬底上的氮化硅层。相对于钨(W)选择性蚀刻衬底上的氮化硅层。相对于氮化钛铝(TiAlN)选择性蚀刻衬底上的氮化硅层。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于选择性蚀刻衬底上的氮化硅层的方法,其包括:
将衬底布置在衬底处理室的衬底支撑件上,
其中所述衬底处理室包括上室区、布置在所述上室区的外部的感应线圈、包括所述衬底支撑件的下室区和布置在所述上室区和所述下室区之间的气体分配装置,以及
其中所述气体分配装置包括与所述上室区和所述下室区流体连通的多个孔;
供应蚀刻气体混合物到所述上室区;
通过供给电力到所述感应线圈以在所述上室区中引燃感应耦合等离子体,其中所述蚀刻气体混合物蚀刻氮化硅、促进二氧化硅钝化以及促进多晶硅钝化;
选择性蚀刻所述衬底上的所述氮化硅层;并且
在预定时间段后熄灭所述感应耦合等离子体。
2.根据条款1所述的方法,其中所述蚀刻气体混合物包括氮化硅蚀刻促进剂,所述氮化硅蚀刻促进剂包括选自由三氟化氮(NF3)、二氟甲烷(CH2F2)、四氟甲烷(CF4)、和氟甲烷(CH3F)组成的组中的至少一种气体。
3.根据条款2所述的方法,其中所述氮化硅蚀刻促进剂包括选自由分子氧(O2)、分子氮(N2)、和一氧化二氮(N2O)组成的组中的至少一种气体。
4.根据条款1所述的方法,其中所述蚀刻气体混合物包括二氧化硅钝化促进剂,所述二氧化硅钝化促进剂包括选自由氟甲烷(CH3F)和二氟甲烷(CH2F2)组成的组中的至少一种气体。
5.根据条款4所述的方法,其中所述二氧化硅钝化促进剂还包括选自由分子氧(O2)、分子氮(N2)、和一氧化二氮(N2O)组成的组中的至少一种气体。
6.根据条款1所述的方法,其中所述蚀刻气体混合物包括多晶硅钝化促进剂,所述多晶硅钝化促进剂包括选自由分子氧(O2)、分子氮(N2)、和一氧化二氮(N2O)组成的组中的至少一种气体。
7.根据条款1所述的方法,其还包括,在所述选择性蚀刻后,通过供应干燥清洁气体混合物到所述衬底处理室并在所述衬底处理室中引燃等离子体持续预定的时间段来干燥清洁所述衬底。
8.根据条款7所述的方法,其还包括使使用所述蚀刻气体混合物的所述蚀刻和使用所述干燥清洁气体混合物的所述干燥清洁重复一次或多次。
9.根据条款7所述的方法,其中所述干燥清洁气体混合物包括选自由二氧化碳(CO2)和一氧化碳(CO)组成的组中的至少一种气体。
10.根据条款9所述的方法,其中所述干燥清洁气体混合物还包括选自包含氩(Ar)、氖(Ne)和氦(He)的组中的至少一种气体。
11.根据条款7所述的方法,其中所述干燥清洁气体混合物包括选自由分子氮(N2)或一氧化二氮(N2O)组成的组中的至少一种气体。
12.根据条款1所述的方法,其中所述衬底处理室中的压强处于0.5至5托的压强范围内。
13.根据条款1所述的方法,其中在所述蚀刻过程中,向所述衬底支撑件供给射频(RF)偏置。
14.根据条款1所述的方法,其中在所述蚀刻过程中,不向所述衬底支撑件供给射频(RF)偏置。
15.根据条款1所述的方法,其中所述气体分配装置包括喷头,所述喷头包括多个孔。
16.根据条款15所述的方法,其中所述多个孔具有0.1”到0.75”的范围内的直径。
17.根据条款1所述的方法,其还包括在所述蚀刻过程中使所述气体分配装置接地。
18.根据条款1所述的方法,其中所述蚀刻气体混合物包括三氟化氮(NF3)、二氟甲烷(CH2F2)、分子氧(O2)、分子氮(N2)、一氧化二氮(N2O)和二氧化碳(CO2)。
19.根据条款1所述的方法,其中所述蚀刻气体混合物包括四氟甲烷(CF4)、氟甲烷(CH3F)、分子氧(O2)、分子氮(N2)、一氧化二氮(N2O)和二氧化碳(CO2)。
20.根据条款1所述的方法,其中相对于二氧化硅选择性蚀刻所述衬底上的所述氮化硅层。
21.根据条款1所述的方法,其中相对于多晶硅选择性蚀刻所述衬底上的所述氮化硅层。
22.根据条款1所述的方法,其中相对于碳氧化硅(SiOC)选择性蚀刻所述衬底上的所述氮化硅层。
23.根据条款1所述的方法,其中相对于铪氧化物(HfOx)选择性蚀刻所述衬底上的所述氮化硅层。
24.根据条款1所述的方法,其中相对于氮化钛(TiN)选择性蚀刻所述衬底上的所述氮化硅层。
25.根据条款1所述的方法,其中相对于氮化钽(TaN)选择性蚀刻所述衬底上的所述氮化硅层。
26.根据条款1所述的方法,其中相对于钨(W)选择性蚀刻所述衬底上的所述氮化硅层。
27.根据条款1所述的方法,其中相对于氮化钛铝(TiAlN)选择性蚀刻所述衬底上的所述氮化硅层。
本公开的其他领域的应用将从详细描述、权利要求书和附图中变得显而易见。详细描述和具体实施例仅用于说明的目的,而不是意在限制本公开的范围。
附图说明
本公开将从详细描述和附图中变得更能被充分理解,其中:
图1是根据现有技术示出了具有使存储装置的两写入线短路的缺陷颗粒的存储装置的平面图;
图2是根据本公开用于选择性蚀刻氮化硅并执行等离子体干燥清洁的衬底处理室的实例的功能框图;
图3A-3B是根据本公开示出了用于选择性蚀刻氮化硅并执行等离子体干燥清洁的方法的实例的流程图;
图4示出了氮化硅的选择性蚀刻以及二氧化硅和多晶硅的钝化;
图5根据本公开示出了等离子体干燥清洁工艺;
图6是根据本公开示出了氮化硅蚀刻后,在没有进行等离子体干燥清洁的情况下的氟浓度的曲线图;以及
图7是根据本公开示出了使用选择性蚀刻工艺和等离子体干燥清洁后的碳、氮、氧、氟和硅浓度的曲线图。
在附图中,附图标记可以重复使用以标识相似和/或相同的元件。
具体实施方式
根据本公开的系统和方法利用提供高密度、感应耦合等离子体和可选的次级电容耦合等离子体的衬底处理系统。在一些实施例中,衬底处理系统包括由喷头分隔开的上室区和下室区。离子在上游上室区中产生,通过喷头过滤并在下游下室区中重新结合。粒子密度导致高的蚀刻速率以及二氧化硅和多晶硅的分子钝化能够实现高选择性。
在一些实施例中,可以向衬底支撑件施加RF偏置以产生次级电容耦合等离子体,以便产生额外的选择性自由基。在一些实施例中,高RF耦合效率提供高等离子体密度,而低鞘层电压从上室区和喷头的壁引入最少的溅射并因此引起最小的缺陷。
在一些实施例中,气体化学物质包括蚀刻气体混合物,该蚀刻气体混合物包括用于促进氮化硅蚀刻、用于钝化二氧化硅以及钝化多晶硅的处理气体。在一些实施例中,干燥清洁等离子体处理与蚀刻处理循环执行或者干燥清洁处理可以在蚀刻处理之后执行。该处理相对于二氧化硅和多晶硅选择性蚀刻氮化硅。此外,该处理相对于其他物质选择性蚀刻氮化硅,该其他物质如层间电介质、低k电介质、氧化物、可流动的氧化物、掺杂的氧化物、碳氧化硅(SiOC)、铪氧化物(HfOx),其中x是大于一的整数、氮化钛(TiN)、氮化钽(TaN)、钨(W)以及氮化钛铝(TiAlN)。
现在参考图2,示出了用于选择性蚀刻衬底上的氮化硅并干燥清洁衬底的衬底处理室100的实施例。衬底处理室100包括下室区102和上室区104。下室区102由室侧壁表面108、室底部表面110和气体分配装置114的下表面限定。上室区104由气体分配装置114的上表面和圆顶118的内表面限定。在一些实施例中,圆顶118是球形的,然而也可以使用具有平顶和扁平感应线圈的圆筒形上室区。
衬底支撑件122被布置在下室区104中。在一些实施例中,衬底支撑件122包括静电卡盘(ESC),然而也可以使用其他类型的衬底支撑件。在蚀刻和干燥清洁处理过程中,衬底126被布置在衬底支撑件122的上表面上。在一些实施例中,衬底126的温度可以由加热器板125、可选的具有流动通道的冷却板(未示出)和/或一个或多个传感器127控制,然而也可以使用任何其他合适的衬底加热器。
在一些实施例中,气体分配装置114包括喷头(例如,具有多个间隔开的孔129的板128)。该多个间隔开的孔129自板128的上表面延伸到板128的下表面。在一些实施例中,该多个孔具有0.1”(英寸)至0.75”的直径,然而也可以使用其他尺寸。在一些实施例中,板128是由诸如铝之类的导电材料制成的。在其他实施例中,板128是由诸如陶瓷之类的非导电材料制成的并包括嵌入的电极。
在一些实施例中,气体分配装置114的径向外端可以向上延伸并可以限定气体流动通道134。该气体流动通道134与多个孔136流体连通以便将气体从气体流动通道134引导至上室区104。在一些实施例中,多个孔136以如通过附图标记138示出的相对于板128的上表面成径向向内的锐角引导来自气体流动通道134的气体流。
感应线圈140被布置成围绕圆顶118的外部。感应线圈140在被激励时,产生在圆顶118内部的电磁场。气体扩散器142从气体输送系统150-1分配进料气体或进料气体混合物。在一些实施例中,使用扩散器142和/或多个孔136可以将气体引导至上室区。
在一些实施例中,气体输送系统150-1包括一个或多个气体源152、一个或多个阀154、一个或多个质量流量控制器(MFC)156、以及混合歧管158,然而也可以使用其他类型的气体输送系统。可以使用另一个气体输送系统150-2以供应进料气体或进料气体混合物至气体流动通道134(除了来自气体扩散器142的进料气体之外或者取代该进料气体)。
等离子体发生器170可以用于产生输出到感应线圈140的RF功率。等离子体在上室区104中产生。在一些实施例中,等离子体发生器170包括RF源172和匹配网络174。匹配网络174使RF源172的阻抗与感应线圈140的阻抗相匹配。在一些实施例中,气体分配装置114被接地。可以使用阀178和泵180来控制下室区102和上室区104内部的压强并分别从下室区102和上室区104排空反应物。
控制器176与气体输送系统150-1和150-2、阀178、泵180、加热器板125、和/或等离子体发生器170连通以控制处理气体流、清扫气体流、RF等离子体和室压。等离子体区190在上室区104中产生。附图标记190、192和194示出了来自气体扩散器142的处理气体流。
在一些实施例中,通过位于圆顶118的大气侧上的感应线圈使等离子体维持在圆顶118内部。使用气体扩散器142和/或孔136从室的顶部引入进料气体并且使用接地的气体分配装置114将等离子体约束在圆顶118内。冷等离子体扩散通过气体分配装置114并与位于下室区102中的衬底126反应。
将等离子体约束在圆顶118中使得等离子体物质能够体积复合并且预期的蚀刻物质能扩散通过气体分配装置114。在一些实施例中,不向衬底126施加偏置。结果,衬底126上没有活化鞘层并且离子不以任何有限能量击中衬底。一些量的离子将穿过气体分配装置114扩散出等离子体区。然而,扩散的等离子体的量的数量级比位于圆顶118内部的等离子体的量的数量级低。等离子体中的绝大多数离子通过高压下的体积复合而消失。在气体分配装置114的上表面处的表面复合损失也降低气体分配装置114以下的离子密度。
在其他实施例中,向衬底支撑件施加RF偏置。RF偏置发生器184选择性地向衬底支撑件提供RF偏置。可以在RF偏置发生器184和衬底支撑件之间使用匹配网络(未示出)。
施加到感应线圈的RF功率与RF偏置可以具有相同的或不同的频率或功率电平。在一些实施例中,施加到感应线圈的RF功率与RF偏置都可以为13.56MHz,然而也可以使用其他频率。仅举例而言,可以以例如2MHz、27MHz的频率或另外的频率提供RF偏置,而可以以13.56MHz的频率提供施加到感应线圈的RF。在一些实施例中,施加到感应线圈的RF功率可以处于1kW至5kW的范围内。在其他实施例中,施加到感应线圈的RF功率可以处于1kW至2.5kW的范围内。在一些实施中,可以100W至1kW的范围提供RF偏置功率,然而也可以使用其他功率电平。在一些实施例中,处理室中的压强处于0.3托至10托的范围内。在其他实施例中,处理室中的压强处于0.5托至5托的范围内。在一些实施例中,将衬底维持在0℃至120℃的温度。
现在参考图3A,示出了一种用于选择性蚀刻氮化硅的方法200。在204,将衬底布置在下室区中的衬底支撑件上。在208,供应蚀刻气体混合物至上室区。该蚀刻气体混合物包括用于促进氮化硅蚀刻、钝化多晶硅和钝化二氧化硅的一种或多种气体。在216,在上室区中引燃等离子体。此外,任选地可以供应RF偏置至下室区中的衬底支撑件。在220,蚀刻衬底持续预定的时间段。在224,在预定时间段后熄灭等离子体。在228,任选地可以供应干燥清洁气体混合物至上室区并且可以引燃等离子体持续预定的时间段。在干燥清洁处理过程中,可以供应RF偏置至衬底支撑件。在230中,在预定时间段后,熄灭等离子体。在234,可以循环的方式使蚀刻和干燥清洁的处理重复一次或多次。
现在参考图3B,另一种处理是从氮化硅蚀刻转变到等离子体干燥清洁而不熄灭等离子体。在240,使化学物质转变成干燥清洁气体混合物,而不熄灭等离子体。干燥清洁处理进行预定的时间段。在干燥清洁处理过程中,可以向衬底支撑件提供RF偏置。在242,可以循环的方式使蚀刻和干燥清洁的处理重复一次或多次,而不熄灭等离子体。在244,熄灭等离子体。替代地,当返回到蚀刻时可以熄灭等离子体,然后再次引燃等离子体以便进行蚀刻。
在一些实施例中,用于促进氮化物蚀刻的气体包括三氟化氮(NF3),二氟甲烷(CH2F2),四氟甲烷(CF4),氟甲烷(CH3F),分子氧、分子氮和一氧化二氮的组合(O2/N2/N2O),以及它们的组合。在一些实施例中,用于促进二氧化硅钝化的气体包括CH3F、CH2F2、和/或O2/N2/N2O。在一些实施例中,用于促进多晶硅钝化的气体包括O2/N2/N2O、N2O、或O2/N2。在一些实施例中,干燥清洁气体包括二氧化碳CO2、二氧化碳和氩(CO2/Ar)、一氧化碳(CO)、一氧化碳和氩(CO/Ar)或前述气体的组合、N2、或N2O。在一些实施例中,可以提供诸如氩(Ar)之类的等离子体稳定和稀释气体。
在一些实施例中,蚀刻气体混合物包括氮化物蚀刻气体、多晶硅钝化促进气体和二氧化硅钝化促进气体。在一些实施例中,氮化物蚀刻气体包括选自由NF3、CH2F2、CF4和CH3F以及任选地O2/N2/N2O组成的组中的至少一种气体。
在第一实施例中,蚀刻气体混合物包括NF3/CH2F2/O2/N2/N2O/CO2。流速的实例列于下文表1中。在这个实施例中,NF3促进氮化物蚀刻。CH2F2促进氮化物蚀刻并钝化二氧化硅。O2/N2/N2O促进氮化物蚀刻并促进多晶硅和二氧化硅钝化。在蚀刻处理之后执行干燥清洁步骤和/或可以循环执行蚀刻处理和干燥清洁处理。
在第二实施例中,蚀刻气体混合物包括CF4/CH3F/O2/N2/N2O/CO2。在这个实施例中,CF4促进氮化物蚀刻。流速的实例列于下文表1中。CH3F促进氮化物蚀刻并促进二氧化硅钝化。O2/N2/N2O促进氮化物蚀刻并促进多晶硅和二氧化硅钝化。在蚀刻处理之后执行等离子体干燥清洁步骤和/或可以循环执行蚀刻处理和干燥清洁处理。
现在参考图4-5,干燥等离子体去除处理避免了图案塌陷并能更好地控制顶部和底部凹进量。本文描述的系统和方法提供了独特的方法来用自由基R1钝化除氮化物之外的表面。干燥清洁或循环处理在蚀刻处理之后或者在主要蚀刻步骤之间除去钝化剂。在高压下操作的电感耦合等离子体源产生高密度的亚稳态的分子形式的自由基R1。该处理相比于聚合物化学物质具有增强的对于表面钝化的化学选择性。表面钝化可以用诸如自由基R2之类的其他自由基清除。
在图4中,来自下游等离子体的自由基由氮化物化学吸附。在氮化硅上的解吸速率很高。在氟化反应期间,氮化硅由F反应除去。来自下游等离子体的自由基由二氧化硅中的氧化物化学吸附。将氧化物上的解吸速率控制成非常低的速率。在氟化反应期间,通过分子钝化保护二氧化硅免受氟攻击。在图5中,在蚀刻后或者在蚀刻步骤之间,由干燥清洁气体提供的自由基除去多余的氟。
现在参考图6-7,分别监测上述不进行干燥清洁处理和进行干燥清洁处理的氮化硅蚀刻的氟水平。在图6中,曲线图示出了在氮化硅蚀刻后,在没有进行干燥清洁处理的情况下的氟浓度。在图7中,根据本公开示出了使用选择性蚀刻处理和干燥清洁处理后的碳、氮、氧、氟和硅浓度的曲线图。
本文描述的选择性氮化硅蚀刻提供了相对于多晶硅和二氧化硅的高选择性。在一些实施例中,在特征的底部处没有多晶硅损失并且蚀刻速率大于1000:1。此外,没有观察到点蚀。此外,二氧化硅选择性显示小于
Figure GDA0001198907340000121
的二氧化硅变薄损失,
Figure GDA0001198907340000122
的二氧化硅变薄损失是大于1000:1的选择性。鳍状物(fins)是陡峭的并且没有残余。本文描述的选择性氮化硅蚀刻通过增加蚀刻速率而减少了氟的暴露时间。该方法在处理之间、在处理期间或在处理之后使用自由基R2消除氟。此外,该方法相对于其他材料选择性蚀刻氮化硅,该其他材料例如碳氧化硅(SiOC)、铪氧化物(HfOx)其中x是大于一的整数、氮化钛(TiN)、氮化钽(TaN)、钨(W)以及氮化钛铝(TiAlN)。
在下表1中,示出了各种气体的典型流速。然而,也可以使用其他流速。
Figure GDA0001198907340000123
表1
前面的描述在本质上仅仅是说明性的并且决不旨在限制本公开、其应用或用途。本公开的广义教导可以各种形式来实施。因此,尽管本公开包括具体的实施例,但是本公开的真实范围不应被如此限制,因为其他的修改会在研究本附图、说明书和以下的权利要求书之后变得显而易见。应理解,方法内的一个或多个步骤可以不同的顺序(或同时)执行,而不改变本公开的原理。此外,尽管以上将这些实施方式中的每一个都描述为具有特定的特征,然而相对于本公开的任何实施方式描述的那些特征中的任一个或多个特征可以在其它实施方式中的任一实施方式中被实现和/或与其它实施方式中的任一实施方式的特征组合,即使没有明确描述该组合也如此。换句话说,所描述的实施方式不是互相排斥的,一个或多个实施方式彼此互换仍然落入本公开的范围内。
使用各种术语描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,该术语包括“连接”、“接合”、“耦合”、“邻接”、“下一个”、“在……顶部上”、“上面”、“下面”、以及“设置”。除非明确描述为“直接”,否则当在上述公开中描述第一元件与第二元件之间的关系时,该关系既可以是直接关系,其中该第一元件与该第二元件之间不存在其他中间元件,也可以是间接关系,其中该第一元件与该第二元件之间存在(或者在空间上或者在功能上)一个或多个中间元件。如本文所使用的,短语A、B和C中的至少一个应被解释为是指使用非排他性逻辑“或”的逻辑(A或B或C),而不应该被解释为是指“至少一个A、至少一个B、以及至少一个C”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是晶片厂(fab)主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后被从远程计算机传送到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路,它们结合以控制室上的工艺。
示例的系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据将要由工具执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、群集工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。

Claims (26)

1.一种用于选择性蚀刻衬底上的氮化硅层的方法,其包括:
将衬底布置在衬底处理室的衬底支撑件上,
其中所述衬底处理室包括上室区、布置在所述上室区的外部的感应线圈、包括所述衬底支撑件的下室区和布置在所述上室区和所述下室区之间的气体分配装置,以及
其中所述气体分配装置包括与所述上室区和所述下室区流体连通的多个孔;
供应蚀刻气体混合物到所述上室区;
通过供给电力到所述感应线圈以在所述上室区中引燃感应耦合等离子体,其中所述蚀刻气体混合物蚀刻氮化硅、促进二氧化硅钝化以及促进多晶硅钝化;
选择性蚀刻所述衬底上的所述氮化硅层;
在预定时间段后熄灭所述感应耦合等离子体;并且
在所述选择性蚀刻后,通过供应干燥清洁气体混合物到所述衬底处理室并在所述衬底处理室中引燃等离子体持续预定的时间段来干燥清洁所述衬底。
2.根据权利要求1所述的方法,其中所述蚀刻气体混合物包括氮化硅蚀刻促进剂,所述氮化硅蚀刻促进剂包括选自由三氟化氮(NF3)、二氟甲烷(CH2F2)、四氟甲烷(CF4)、和氟甲烷(CH3F)组成的组中的至少一种气体。
3.根据权利要求2所述的方法,其中所述氮化硅蚀刻促进剂包括选自由分子氧(O2)、分子氮(N2)、和一氧化二氮(N2O)组成的组中的至少一种气体。
4.根据权利要求1所述的方法,其中所述蚀刻气体混合物包括二氧化硅钝化促进剂,所述二氧化硅钝化促进剂包括选自由氟甲烷(CH3F)和二氟甲烷(CH2F2)组成的组中的至少一种气体。
5.根据权利要求4所述的方法,其中所述二氧化硅钝化促进剂还包括选自由分子氧(O2)、分子氮(N2)、和一氧化二氮(N2O)组成的组中的至少一种气体。
6.根据权利要求1所述的方法,其中所述蚀刻气体混合物包括多晶硅钝化促进剂,所述多晶硅钝化促进剂包括选自由分子氧(O2)、分子氮(N2)、和一氧化二氮(N2O)组成的组中的至少一种气体。
7.根据权利要求1所述的方法,其还包括使使用所述蚀刻气体混合物的所述蚀刻和使用所述干燥清洁气体混合物的所述干燥清洁重复一次或多次。
8.根据权利要求1所述的方法,其中所述干燥清洁气体混合物包括选自由二氧化碳(CO2)和一氧化碳(CO)组成的组中的至少一种气体。
9.根据权利要求8所述的方法,其中所述干燥清洁气体混合物还包括选自包含氩(Ar)、氖(Ne)和氦(He)的组中的至少一种气体。
10.根据权利要求1所述的方法,其中所述干燥清洁气体混合物包括选自由分子氮(N2)或一氧化二氮(N2O)组成的组中的至少一种气体。
11.根据权利要求1所述的方法,其中所述衬底处理室中的压强处于0.5至5托的压强范围内。
12.根据权利要求1所述的方法,其中在所述蚀刻过程中,向所述衬底支撑件供给射频(RF)偏置。
13.根据权利要求1所述的方法,其中在所述蚀刻过程中,不向所述衬底支撑件供给射频(RF)偏置。
14.根据权利要求1所述的方法,其中所述气体分配装置包括喷头,所述喷头包括多个孔。
15.根据权利要求14所述的方法,其中所述多个孔具有0.1”到0.75”的范围内的直径。
16.根据权利要求1所述的方法,其还包括在所述蚀刻过程中使所述气体分配装置接地。
17.根据权利要求1所述的方法,其中所述蚀刻气体混合物包括三氟化氮(NF3)、二氟甲烷(CH2F2)、分子氧(O2)、分子氮(N2)、一氧化二氮(N2O)和二氧化碳(CO2)。
18.根据权利要求1所述的方法,其中所述蚀刻气体混合物包括四氟甲烷(CF4)、氟甲烷(CH3F)、分子氧(O2)、分子氮(N2)、一氧化二氮(N2O)和二氧化碳(CO2)。
19.根据权利要求1所述的方法,其中相对于二氧化硅选择性蚀刻所述衬底上的所述氮化硅层。
20.根据权利要求1所述的方法,其中相对于多晶硅选择性蚀刻所述衬底上的所述氮化硅层。
21.根据权利要求1所述的方法,其中相对于碳氧化硅(SiOC)选择性蚀刻所述衬底上的所述氮化硅层。
22.根据权利要求1所述的方法,其中相对于铪氧化物(HfOx)选择性蚀刻所述衬底上的所述氮化硅层。
23.根据权利要求1所述的方法,其中相对于氮化钛(TiN)选择性蚀刻所述衬底上的所述氮化硅层。
24.根据权利要求1所述的方法,其中相对于氮化钽(TaN)选择性蚀刻所述衬底上的所述氮化硅层。
25.根据权利要求1所述的方法,其中相对于钨(W)选择性蚀刻所述衬底上的所述氮化硅层。
26.根据权利要求1所述的方法,其中相对于氮化钛铝(TiAlN)选择性蚀刻所述衬底上的所述氮化硅层。
CN201610902633.0A 2015-10-15 2016-10-17 用于超高选择性的氮化物蚀刻的系统和方法 Active CN106601612B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562241827P 2015-10-15 2015-10-15
US62/241,827 2015-10-15
US15/271,381 US10192751B2 (en) 2015-10-15 2016-09-21 Systems and methods for ultrahigh selective nitride etch
US15/271,381 2016-09-21

Publications (2)

Publication Number Publication Date
CN106601612A CN106601612A (zh) 2017-04-26
CN106601612B true CN106601612B (zh) 2020-09-08

Family

ID=58524280

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610902633.0A Active CN106601612B (zh) 2015-10-15 2016-10-17 用于超高选择性的氮化物蚀刻的系统和方法

Country Status (3)

Country Link
US (1) US10192751B2 (zh)
KR (1) KR20170054227A (zh)
CN (1) CN106601612B (zh)

Families Citing this family (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6545261B2 (ja) 2014-10-17 2019-07-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 付加製造プロセスを使用する、複合材料特性を有するcmpパッド構造
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
KR20180099776A (ko) 2016-01-26 2018-09-05 어플라이드 머티어리얼스, 인코포레이티드 웨이퍼 에지 링 리프팅 솔루션
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10504720B2 (en) * 2016-11-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Etching using chamber with top plate formed of non-oxygen containing material
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
WO2019195025A1 (en) * 2018-04-02 2019-10-10 Lam Research Corporation Capping layer for a hafnium oxide-based ferroelectric material
CN111971802A (zh) * 2018-04-02 2020-11-20 朗姆研究公司 用氮化铪层使氧化铪铁电性能改性
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US10600623B2 (en) 2018-05-28 2020-03-24 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN112654655A (zh) 2018-09-04 2021-04-13 应用材料公司 先进抛光垫配方
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
TW202030859A (zh) 2018-10-26 2020-08-16 美商蘭姆研究公司 三端子記憶體元件的自對準垂直集成
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
TW202228863A (zh) * 2020-08-25 2022-08-01 荷蘭商Asm Ip私人控股有限公司 清潔基板的方法、選擇性沉積的方法、及反應器系統
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
CN113471049B (zh) 2021-06-30 2022-07-26 北京屹唐半导体科技股份有限公司 用于处理工件的方法及等离子体刻蚀机、半导体器件
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
KR102646804B1 (ko) * 2021-08-25 2024-03-12 주식회사 테스 실리콘 질화물층을 포함하는 기판을 처리하는 방법
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102792428A (zh) * 2010-03-31 2012-11-21 朗姆研究公司 用于硅蚀刻的无机快速交变处理
CN103177952A (zh) * 2011-12-21 2013-06-26 中国科学院微电子研究所 低温高覆盖性侧墙制造方法
CN104838479A (zh) * 2012-09-20 2015-08-12 应用材料公司 硅-碳-氮化物的选择性蚀刻

Family Cites Families (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5329965A (en) 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
US5605179A (en) 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5744695A (en) 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
KR100295518B1 (ko) 1997-02-25 2001-11-30 아끼구사 나오유끼 질화실리콘층의에칭방법및반도체장치의제조방법
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
JP3586075B2 (ja) 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
JP3830670B2 (ja) 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
EP1096351A4 (en) 1999-04-16 2004-12-15 Fujikin Kk FLUID SUPPLY DEVICE OF THE PARALLEL BYPASS TYPE, AND METHOD AND DEVICE FOR CONTROLLING THE FLOW OF A VARIABLE FLUID TYPE PRESSURE SYSTEM USED IN SAID DEVICE
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
JP2001023955A (ja) * 1999-07-07 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
JP2002110570A (ja) 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
JP3856730B2 (ja) 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
JP4502590B2 (ja) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US20040168719A1 (en) 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
CN100454200C (zh) 2003-06-09 2009-01-21 喜开理株式会社 相对压力控制系统和相对流量控制系统
JP4195837B2 (ja) 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US7137400B2 (en) 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7095179B2 (en) 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7412986B2 (en) 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
JP4623282B2 (ja) * 2005-03-10 2011-02-02 信越化学工業株式会社 半導体装置の製造方法
US7621290B2 (en) 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7862683B2 (en) * 2005-12-02 2011-01-04 Tokyo Electron Limited Chamber dry cleaning
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP4788920B2 (ja) 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
JP4814706B2 (ja) 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
US8196609B2 (en) 2006-08-23 2012-06-12 Horiba Stec, Co., Ltd. Integrated gas panel apparatus
US7757541B1 (en) 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US9405298B2 (en) 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US8104323B2 (en) 2006-12-05 2012-01-31 Horiba Stec, Co., Ltd. Flow controller, flow measuring device testing method, flow controller testing system, and semiconductor manufacturing apparatus
WO2008072614A1 (ja) 2006-12-12 2008-06-19 Horiba Stec, Co., Ltd. 流量比率制御装置
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US8334015B2 (en) 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
CN101903840B (zh) 2007-12-27 2012-09-05 株式会社堀场Stec 流量比率控制装置
EP2247819B1 (en) 2008-01-18 2022-11-02 Pivotal Systems Corporation Method and apparatus for in situ testing of gas flow controllers
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
WO2009117565A2 (en) 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
ATE545900T1 (de) 2008-08-13 2012-03-15 Shell Int Research Verfahren zur steuerung eines gasstroms zwischen mehreren gasströmen
US8089046B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
CN102473634B (zh) 2009-08-20 2015-02-18 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN102754201A (zh) 2009-10-26 2012-10-24 苏威氟有限公司 用于生产tft基质的蚀刻方法
US20120244715A1 (en) 2009-12-02 2012-09-27 Xactix, Inc. High-selectivity etching system and method
WO2011078242A1 (ja) 2009-12-25 2011-06-30 株式会社堀場エステック マスフローコントローラシステム
US9324572B2 (en) 2010-03-04 2016-04-26 Tokyo Electron Limited Plasma etching method, method for producing semiconductor device, and plasma etching device
CN103025413B (zh) 2010-08-02 2015-10-14 巴塞尔聚烯烃股份有限公司 混合和分离流体流的方法和设备
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US9673037B2 (en) * 2011-05-31 2017-06-06 Law Research Corporation Substrate freeze dry apparatus and method
JP5377587B2 (ja) 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
EP2817616B1 (en) 2012-02-22 2017-05-10 Agilent Technologies, Inc. Mass flow controllers and methods for auto-zeroing flow sensor without shutting off a mass flow controller
JP5881467B2 (ja) 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US9301383B2 (en) 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP5616416B2 (ja) 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
TWI826650B (zh) * 2012-11-26 2023-12-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6193679B2 (ja) 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
TW201634738A (zh) 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102792428A (zh) * 2010-03-31 2012-11-21 朗姆研究公司 用于硅蚀刻的无机快速交变处理
CN103177952A (zh) * 2011-12-21 2013-06-26 中国科学院微电子研究所 低温高覆盖性侧墙制造方法
CN104838479A (zh) * 2012-09-20 2015-08-12 应用材料公司 硅-碳-氮化物的选择性蚀刻

Also Published As

Publication number Publication date
US20170110335A1 (en) 2017-04-20
US10192751B2 (en) 2019-01-29
KR20170054227A (ko) 2017-05-17
CN106601612A (zh) 2017-04-26

Similar Documents

Publication Publication Date Title
CN106601612B (zh) 用于超高选择性的氮化物蚀刻的系统和方法
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
US9837286B2 (en) Systems and methods for selectively etching tungsten in a downstream reactor
US9633867B2 (en) Method and apparatus for anisotropic tungsten etching
CN108630578B (zh) 超高选择性的氮化物蚀刻以形成FinFET器件
CN107039265B (zh) 硬掩膜的自限性平坦化
TWI777975B (zh) 用以減少泵抽排氣系統中之排出物積累的系統及方法
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
CN107665803B (zh) 用于蚀刻复合三维结构的压力吹扫蚀刻方法
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
US9691590B2 (en) Selective removal of boron doped carbon hard mask layers
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
KR102675485B1 (ko) 수평 표면들 상에 SiN의 선택적인 증착

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant