CN107665803B - 用于蚀刻复合三维结构的压力吹扫蚀刻方法 - Google Patents

用于蚀刻复合三维结构的压力吹扫蚀刻方法 Download PDF

Info

Publication number
CN107665803B
CN107665803B CN201710589164.6A CN201710589164A CN107665803B CN 107665803 B CN107665803 B CN 107665803B CN 201710589164 A CN201710589164 A CN 201710589164A CN 107665803 B CN107665803 B CN 107665803B
Authority
CN
China
Prior art keywords
predetermined pressure
etching
layers
chamber
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710589164.6A
Other languages
English (en)
Other versions
CN107665803A (zh
Inventor
皮利翁·帕克
乔迪普·古哈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN107665803A publication Critical patent/CN107665803A/zh
Application granted granted Critical
Publication of CN107665803B publication Critical patent/CN107665803B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32825Working under atmospheric pressure or higher
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

本发明涉及用于蚀刻复合三维结构的压力吹扫蚀刻方法。一种用于蚀刻衬底和去除副产物的方法包括:a)设置处理室的处理参数以用于选择性干法蚀刻处理;b)对于所述选择性干法蚀刻处理,将所述处理室的处理压强设定为在1托至10托的范围内的第一预定压强;c)在第一时间段期间相对于所述处理室中的衬底的第二膜材料选择性地蚀刻所述衬底的第一膜材料;d)将所述处理室中的压强降低到第二预定压强,所述第二预定压强比所述第一预定压强小3/4或者小更多,以及e)在所述第二预定压强下吹扫所述处理室持续第二时间段。

Description

用于蚀刻复合三维结构的压力吹扫蚀刻方法
技术领域
本公开涉及衬底处理系统和方法,更具体地涉及用于相对于其他膜材料选择性蚀刻一种膜材料并去除蚀刻副产物的衬底处理系统和方法。
背景技术
这里提供的背景描述是为了一般地呈现本公开的上下文的目的。目前所命名的发明人的工作,在该背景技术部分以及本说明书的在申请时不会以其他方式被认为是现有技术的方面中描述的程度上,既不明确地也不隐含地被承认为针对本公开的现有技术。
衬底处理系统可用于蚀刻诸如半导体晶片之类的衬底上的膜。衬底处理系统通常包括处理室、气体分配装置和衬底支撑件。在处理期间,衬底被布置在衬底支撑件上。可以将不同的气体混合物引入到处理室中,并且等离子体可以用于活化化学反应。
NAND闪速存储器使用密集的三维(3D)结构,以便增加存储器容量,同时保持每位的低成本,而不降低性能。创建3D结构涉及沉积和蚀刻多层不同的膜。蚀刻3D结构的膜特别困难,因为诸如氟之类的蚀刻副产品经常被捕获在3D结构中,从而导致缺陷和器件故障。
当集成一些半导体器件,如3-D NAND闪速存储器器件时,一种类型的膜(例如氮化硅(SiN)膜)需要相对另一种类型的膜(例如二氧化硅(SiO2)、多晶硅等)以非常高的选择性蚀刻。湿蚀刻处理(如热磷酸)通常用于选择性地蚀刻SiN膜。热磷酸具有几个限制,包括满足低密度氧化物的选择性要求、颗粒和缺陷控制(特别是氧化物鳍)、缓慢的蚀刻速率、表面张力导致的图案崩塌、由于昂贵的湿化学品和化学废物管理而导致的高成本。
发明内容
一种用于蚀刻衬底和去除副产物的方法包括:a)设置处理室的处理参数以用于选择性干法蚀刻处理;b)对于所述选择性干法蚀刻处理,将所述处理室的处理压强设定为在1托至10托的范围内的第一预定压强;c)在第一时间段期间相对于所述处理室中的衬底的第二膜材料选择性地蚀刻所述衬底的第一膜材料;d)将所述处理室中的压强降低到第二预定压强,所述第二预定压强比所述第一预定压强小3/4或者小更多,以及e)在所述第二预定压强下吹扫所述处理室持续第二时间段。
在其他特征中,所述方法包括重复a)至e)N次,其中N是大于1的整数。
在其他特征中,所述方法包括重复b)至e)N次,其中N是大于1的整数。
在其他特征中,所述方法包括在所述N次中的至少一次期间改变所述第一预定压强和所述第一时间段中的至少一个。
在其他特征中,所述方法包括在所述N次中的至少一次期间改变所述第二预定压强和所述第二时间段中的至少一个。
在其他特征中,所述选择性干法蚀刻处理使用远程等离子体。在b)至e)期间保持所述远程等离子体。所述远程等离子体在c)之前点燃并且在c)之后熄灭。使用包含氟气的处理气体产生所述远程等离子体。
在其他特征中,所述处理室包括:上室区域;设置在所述上室区域外侧的感应线圈;下室区域,其包括衬底支撑件;和设置在所述上室区域和所述下室区域之间的气体分配装置。所述气体分配装置包括与所述上室区域和所述下室区域流体连通的多个孔。通过向所述感应线圈供应功率而在所述上室区域中产生电感耦合等离子体。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于蚀刻衬底和去除副产物的方法,其包括:
a)设置处理室的处理参数以用于选择性干法蚀刻处理;
b)对于所述选择性干法蚀刻处理,将所述处理室的处理压强设定为在1托至10托的范围内的第一预定压强;
c)在第一时间段期间相对于所述处理室中的衬底的第二膜材料选择性地蚀刻所述衬底的第一膜材料;以及
d)将所述处理室中的压强降低到第二预定压强,所述第二预定压强比所述第一预定压强小3/4或者小更多,以便在所述第二预定压强下吹扫所述处理室持续第二时间段。
2.根据条款1所述的方法,其还包括重复a)至d)N次,其中N是大于1的整数。
3.根据条款1所述的方法,其还包括重复b)至d)N次,其中N是大于1的整数。
4.根据条款3所述的方法,其还包括在所述N次中的至少一次期间改变所述第一预定压强和所述第一时间段中的至少一个。
5.根据条款3所述的方法,其还包括在所述N次中的至少一次期间改变所述第二预定压强和所述第二时间段中的至少一个。
6.根据条款1所述的方法,其中所述选择性干法蚀刻处理使用远程等离子体。
7.根据条款6所述的方法,其中在b)至d)期间保持所述远程等离子体。
8.根据条款6所述的方法,其中所述远程等离子体在c)之前点燃并且在c)之后熄灭。
9.根据条款6所述的方法,其中使用包含氟气的处理气体产生所述远程等离子体。
10.根据条款1所述的方法,其中所述处理室包括:
上室区域;
设置在所述上室区域外侧的感应线圈;
下室区域,其包括衬底支撑件;和
设置在所述上室区域和所述下室区域之间的气体分配装置。
11.根据条款10所述的方法,其中:
所述气体分配装置包括与所述上室区域和所述下室区域流体连通的多个孔;并且
通过向所述感应线圈供应功率而在所述上室区域中产生电感耦合等离子体。
12.一种用于蚀刻衬底和去除副产物的方法,其包括:
a)设置处理室的处理参数以用于蚀刻处理;
b)对于所述蚀刻处理,将所述处理室的处理压强设定为在1托至3托的范围内的第一预定压强;
c)在第一时间段期间相对于所述处理室中的衬底的第二膜材料选择性地蚀刻所述衬底的第一膜材料;
d)将所述处理室中的压强降低到第二预定压强,所述第二预定压强比所述第一预定压强小3/4或者小更多,以便在所述第二预定压强下吹扫所述处理室持续第二时间段;以及
e)重复b)至d)N次,其中N是大于1的整数。
13.根据条款12所述的方法,其还包括在所述N次中的至少一次期间改变所述第一预定压强和所述第一时间段中的至少一个。
14.根据条款12所述的方法,其还包括在所述N次中的至少一次期间改变所述第二预定压强和所述第二时间段中的至少一个。
15.根据条款12所述的方法,其中所述蚀刻处理使用远程等离子体。
16.根据条款15所述的方法,其中在b)至d)期间保持所述远程等离子体。
17.根据条款15所述的方法,其中所述远程等离子体在c)之前点燃并且在c)之后熄灭。
18.根据条款15所述的方法,其中使用包含氟气的处理气体产生所述远程等离子体。
19.根据条款12所述的方法,其中所述处理室包括:
上室区域;
设置在所述上室区域外侧的感应线圈;
下室区域,其包括衬底支撑件;和
设置在所述上室区域和所述下室区域之间的气体分配装置。
20.根据条款19所述的方法,其中:
所述气体分配装置包括与所述上室区域和所述下室区域流体连通的多个孔;并且
通过向所述感应线圈供应功率而在所述上室区域中产生电感耦合等离子体。
21.一种用于蚀刻衬底和去除副产物的方法,其包括:
设置处理室的处理参数以用于选择性干法蚀刻处理;
对于所述选择性干法蚀刻处理,将所述处理室的处理压强设定为第一预定压强;
在第一时间段期间相对于所述处理室中的衬底的第二膜材料选择性地蚀刻所述衬底的第一膜材料;以及
将所述处理室中的压强降低到第二预定压强,所述第二预定压强比所述第一预定压强小,以便在所述第二预定压强下吹扫所述处理室持续第二时间段。
22.根据条款21所述的方法,其中所述衬底包括3D结构。
根据详细描述、权利要求和附图,本公开的其他适用领域将变得显而易见。详细描述和具体示例仅意图用于说明的目的,并不旨在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1A和1B是根据本公开的包括堆叠的交替层的第一膜材料的衬底的示例的侧视截面图,该第一膜材料被相对于第二膜材料选择性蚀刻;
图2是示出根据本公开的用于选择性干法蚀刻和去除副产物的方法的示例的流程图;
图3A至图3C是示出根据本公开的处理周期和压强的变化的示例的曲线图;
图4和图5是示出根据本公开的用于选择性干法蚀刻和去除副产物的方法的其他示例的流程图;以及
图6是根据本公开的用于选择性地蚀刻氮化硅膜的电感耦合等离子体(ICP)衬底处理室的示例的功能框图。
在附图中,附图标记可以重复使用以标识类似和/或相同的元件。
具体实施方式
本公开涉及在使用干法蚀刻处理相对于衬底的另一种类型的膜材料选择性蚀刻该衬底的一种类型的膜材料期间提高蚀刻效率的系统和方法。在一些示例中,衬底是包括3-D结构的存储器器件,但可以使用其他类型的器件。本公开描述了用于在选择性干法蚀刻处理之后而没有额外的等离子体处理的情况下选择性蚀刻和去除副产物的系统和方法。本文描述的方法还有助于在衬底中的除气。
在一些示例中,根据本公开的系统和方法在蚀刻步骤与在蚀刻步骤之后的吹扫步骤之间产生压强差。在一些示例中,可以根据结构的几何形状和生成的副产物的量来修改蚀刻步骤和吹扫步骤。例如,可以根据需要修改蚀刻/吹扫步骤的数量、特定气体流量、压强和周期。
仅举例而言,根据本公开的方法可以用于蚀刻3D NAND器件中的对SiO2膜有选择性的SiN膜。在其他示例中,根据本公开的方法可以用于在3D NAND器件中沉积钨(W)之后蚀刻其他3D结构,例如钨(W)(相对于SiO2是选择性的)凹陷。
在图1A-1B中,衬底6包括布置在一个或多个下层16上的一个或多个堆叠8。堆叠8包括交替层10,例如鳍12和中间层14。在一些示例中,鳍12由SiO2制成,并且中间层14由SiN制成。在处理过程中,层14需要相对于鳍12的端部向内蚀刻,如图1B所示。可以理解,堆叠8被布置成非常接近。除了选择性蚀刻之外,还需要从衬底6去除蚀刻处理的副产物。
现在参考图2,示出了用于相对于衬底的第二类型的膜选择性蚀刻第一类型的膜并去除蚀刻副产物的方法40。在42处,设置处理室的选择性干法蚀刻处理参数。在44处,对于选择性干法蚀刻处理,将室压强设定为第一预定压强值。在46处,执行选择性干法蚀刻处理以在第一预定时间段内相对于另一种类型的膜选择性地去除一种类型的膜。
在一些示例中,优化选择性干法蚀刻的处理参数以用于选择性去除SiN。于2016年2月12日提交的名称为“Ultrahigh Selective Nitride Etch to Form FinFET Devices”的美国专利临时申请序列No.62/294,603中描述了用于进行选择性干法蚀刻的系统和方法的示例,其全部内容通过引用并入本文。于2014年12月18日提交的名称为“SelectiveNitride Etch”的美国专利申请序列No.14/576,020中描述了用于进行选择性干法蚀刻的系统和方法的其他示例,其全部内容通过引用并入本文。
在48处,室压强从用于蚀刻的第一预定压强值显著降低到用于吹扫的第二预定压强值。在一些示例中,第一预定压强在1托至10托的范围内并被减小3/4或减小更多。在50处,设置处理室的吹扫参数。在52处,执行吹扫步骤以在第二预定时间段内在第二预定压强下去除干法蚀刻处理的副产物。
仅举例而言,选择性干法蚀刻步骤可以在2托(T)下进行。在吹扫步骤期间,压强从2T降低到小于或等于500mT或更低,但可以使用其他压强值。在一些示例中,在吹扫步骤期间的压强降低到小于或等于200mT,但是尽管可以使用其他压强值。由于在吹扫步骤期间压强较低,蚀刻副产物被有效地从NAND器件的复合3D结构中抽出,并且衬底表面上的蚀刻副产物的浓度下降。该降低在衬底表面的外部位置和3D结构的内部位置之间产生了陡变的(steep)化学势梯度。化学势梯度增加了蚀刻副产物从3D结构内部的扩散。在一个蚀刻/吹扫循环期间抽出的蚀刻副产物的增加有助于在随后的循环中提高蚀刻处理的效率,因为副产物在处理中间被去除。在一些示例中,在3至20分钟的组合时间段内进行3至10次蚀刻/吹扫循环。在一些示例中,在8至10分钟的组合时间段内进行6次蚀刻/吹扫循环。
在蚀刻期间使用氟处理气体的示例中,低压吹扫步骤从3D结构中提取过量的氟,并防止随后的由氟引起的对3D结构的损坏。在一些示例中,吹扫步骤可以在两个或更多个蚀刻循环结束时或在每个蚀刻循环之间执行。蚀刻/吹扫时间段可以是恒定的或可变的时间段。此外,射频等离子体功率可以在蚀刻和吹扫步骤期间变化或保持恒定。此外,蚀刻步骤和吹扫步骤压强可以是恒定的或变化的。吹扫步骤可以用惰性气体进行,以及在处理室中没有其他处理气体的情况下,在无等离子体时用低压处理气体进行,或在有等离子体时用低压处理气体进行。
如果在54处确定要执行额外的蚀刻/吹扫循环,则该方法返回到42。否则该方法结束。
现在参考图3A至3C,在选择性干法蚀刻和/或吹扫步骤期间的处理时间段和处理压强可以分别对于每个循环保持相同,如图3A所示。替代地,在循环中的一个或多个循环的干法蚀刻和/或吹扫步骤期间,可以分别改变(增加或减少)处理时间段和/或处理压强。在图3B中,压强是变化的。在图3C中,处理时间段是变化的。可以理解,能构思其他组合。
现在参考图4,示出了用于相对于衬底的第二膜选择性地蚀刻第一膜并去除蚀刻副产物的方法60的另一示例。如可以理解的,如果等离子体能够在吹扫步骤期间使用的较低压强下保持点燃,则在吹扫步骤(如图2所示)期间,远程等离子体可以保持点燃。替代地,等离子体可以在吹扫步骤期间熄灭。在62处,在执行步骤46之前,远程等离子体在62处被激励。在执行步骤46之后,远程等离子体在64处熄灭。
在一些示例中,使用选择性干法蚀刻处理来在3D NAND存储器器件中相对于SiO2选择性地蚀刻SiN,但可以使用其他类型的膜或器件。在一些示例中,蚀刻/吹扫处理包括多个蚀刻/吹扫循环。在一些示例中,该处理采用4到10个处理循环。例如,蚀刻/吹扫过程可以采用6个蚀刻/吹扫循环。在一些示例中,待蚀刻的SiN膜位于3D NAND存储器器件的凹陷区域中。
在一些示例中,选择性干法蚀刻期间的室压强在1托(Torr)和10托之间的范围内,但可以使用更高或更低的室压强。在一些示例中,选择性干法蚀刻期间的室压强在1托和3托之间的范围内,但可以使用更高或更低的室压强。在一些示例中,吹扫步骤期间的室压强在100毫托和1托之间的范围内,但可以使用更高或更低的室压强。在一些示例中,吹扫步骤期间的室压强在100毫托和500毫托之间的范围内,但可以使用更高或更低的室压强。在一些示例中,相对于在选择性干法蚀刻处理期间使用的室压强,吹扫步骤期间的室压强降低了3/4至19/20,但可以使用更高或更低的比例。在一些示例中,相对于在选择性干法蚀刻处理期间使用的室压强,吹扫步骤期间的室压强降低了3/4至9/10,但可以使用更高或更低的比例。
现在参考图5,示出了根据本公开的用于选择性干法蚀刻和去除副产物的衬底处理室100的示例。在一些示例中,衬底处理室100用于相对于3D NAND结构中的SiO2膜蚀刻SiN膜。尽管示出和描述了特定衬底处理室,但是本文所描述的方法可以通过其他类型的衬底处理系统实现。在一些示例中,衬底处理室100包括下游电感耦合等离子体(ICP)源。可以提供可选的电容耦合等离子体(CCP)源。
衬底处理室100包括下室区域102和上室区域104。下室区域102由室侧壁表面108、室底表面110和气体分配装置114的下表面限定。在一些示例中,省去了气体分配装置114
上室区域104由气体分配装置114的上表面和圆顶118的内表面限定。在一些示例中,圆顶118搁置在第一环形支撑件121上。在一些示例中,第一环形支撑件121包括用于将处理气体输送到上室区域104的一个或多个间隔开的孔123,如下文将进一步描述的。在一些示例中,处理气体通过一个或多个间隔开的孔123以相对于包括气体分配装置114的平面成锐角沿向上方向输送,但也可以使用其它角度/方向。在一些示例中,第一环形支撑件121中的气体流动通道134向一个或多个间隔开的孔123供应气体。
第一环形支撑件121可以搁置在第二环形支撑件125上,第二环形支撑件125限定用于将处理气体从气体流动通道129输送到下室区域102的一个或多个间隔开的孔127。在一些示例中,气体分配装置114中的孔131与孔127对准。在其他示例中,气体分配装置114具有较小的直径,并且不需要孔131。在一些示例中,处理气体通过一个或多个间隔开的孔127以相对于包括气体分配装置114的平面成锐角朝向衬底以向下方向输送,但也可以使用其它角度/方向。
在其他示例中,上室区域104是具有平坦顶表面的圆柱形,并且可以使用一个或多个平坦的感应线圈。在其他示例中,单个室可以与位于喷头和衬底支撑件之间的间隔物一起使用。
衬底支撑件122布置在下室区域102中。在一些示例中,衬底支撑件122包括静电卡盘(ESC),但也可以使用其他类型的衬底支撑件。在蚀刻期间,衬底126布置在衬底支撑件122的上表面上。在一些示例中,衬底126的温度可以由加热板130、具有流体通道的可选冷却板和一个或多个传感器(未示出)控制;但也可以使用任何其它合适的衬底支撑件温度控制系统。
在一些示例中,气体分配装置114包括喷头(例如,具有多个间隔开的孔133的板128)。多个间隔开的孔133从板128的上表面延伸到板128的下表面。在一些示例中,间隔开的孔133具有在0.4英寸至0.75英寸范围内的直径,并且喷头由诸如铝之类的导电材料或诸如具有由导电材料制成的嵌入电极的陶瓷之类的非导电材料制成。
一个或多个感应线圈140围绕圆顶118的外部部分布置。当通电时,一个或多个感应线圈140在圆顶118内部产生电磁场。在一些示例中,使用上部线圈和下部线圈。气体注入器142从气体输送系统150-1注入一种或多种气体混合物。
在一些示例中,气体输送系统150-1包括一个或多个气体源152、一个或多个阀154、一个或多个质量流量控制器(MFC)156和混合歧管158,但也可以使用其它类型的气体输送系统。气体分离器(未示出)可用于改变气体混合物的流率。另外的气体输送系统150-2可用于向气体流动通道129和/或134提供蚀刻气体或蚀刻气体混合物(附加于或代替来自气体注入器142的蚀刻气体)。
合适的气体输送系统在2015年12月4日提交的共同转让的美国专利申请序列No.14/945,680(名称为“Gas Delivery System”)中显示和描述,其全部内容通过引用并入本文。在2006年1月7日提交的名称为“Substrate Processing System with MultipleInjection Points and Dual Injector”的共同转让的美国临时专利申请No.62/275,837中示出和描述了合适的单气体或双气体注入器和其他气体注入位置,其全部内容通过引用并入本文。
在一些示例中,气体注入器142包括将气体沿向下的方向引导的中心注入位置以及使气体沿相对于向下的方向以一定角度注入的一个或多个侧注入位置。在一些示例中,气体输送系统150-1以第一流率将气体混合物的第一部分输送到气体注入器142的中心注入位置,并以第二流率将气体混合物的第二部分输送到气体注入器142的侧注入位置。在其他示例中,不同的气体混合物由气体注入器142输送。在一些示例中,气体输送系统150-1将调节气体输送到气体流动通道129和134和/或处理室的其他位置,如下文将要描述的。
等离子体发生器170可以用于产生输出到一个或多个感应线圈140的RF功率。等离子体190在上室区域104中产生。在一些示例中,等离子体发生器170包括RF发生器172和匹配网络174。匹配网络174将RF发生器172的阻抗与一个或多个感应线圈140的阻抗匹配。在一些示例中,气体分配装置114连接到诸如接地之类的参考电位。阀178和泵180可以用于控制下室区域102和上室区域104内部的压强并且用于抽空反应物。
控制器176与气体输送系统150-1和150-2、阀178、泵180和/或等离子体发生器170通信,以控制处理气体、吹扫气体、RF等离子体的流动和室压强。在一些示例中,通过一个或多个感应线圈140在圆顶118内维持等离子体。使用气体注入器142(和/或孔123)从室的顶部引入一种或多种气体混合物,并且使用气体分配装置114限制等离子体在圆顶118内。
将等离子体约束在圆顶118内使得等离子体物质能够体积复合并且通过气体分配装置114排出所需的蚀刻剂物质。在一些示例中,不施加RF偏置到衬底126。结果,在衬底126上没有活性鞘,并且离子不以任何有限能量击中衬底。一定量的离子将通过气体分配装置114从等离子体区域扩散出来。然而,扩散的等离子体的量比位于圆顶118内部的等离子体的量的数量级低。等离子体中的大多数离子通过高压下的体积复合而损失。在气体分配装置114的上表面处的表面复合损失也降低了气体分配装置114下面的离子密度。
在一些示例中,提供RF偏置发生器184,并且RF偏置发生器184包括RF发生器186和匹配网络188。RF偏置可以用于在气体分配装置114和衬底支撑件之间产生等离子体,或者在衬底126上产生自偏置以吸引离子。控制器176可以用于控制RF偏置。
根据本公开的系统和方法提供了选择性干法蚀刻处理,其中将副产物去除,从而提高生产量并降低了拥有的成本。这里描述的方法使得在复杂的3D结构中选择性地去除膜而没有图案崩塌更可行。选择性干法蚀刻后的低压吹扫顺序提高了蚀刻效率,并且有助于减少3D结构中的氟,从而防止由于氟侵蚀导致的3D结构的损坏。
根据本公开的方法在衬底表面和3D结构的内部部分之间形成化学势梯度,从而增加蚀刻副产物的扩散。
在一些示例中,上述处理室可以配置有用于单个站的相对较小的体积(55L)和高的总气体流速。由于高气体流速,停留时间非常短,这有助于非常快速地吹扫主蚀刻气体。在一些示例中,处理室使用电感耦合等离子体(ICP)源来产生高密度等离子体,其通过喷头扩散出来并接触晶片。由于反应物质的下游性质,SiN膜相对于SiO2以非常高的选择性被蚀刻。高密度的自由基穿过接地的喷头,并使膜的表面化学改性。解吸期间,在SiN表面形成悬挂键。氟自由基开始去除氮化物。在其他表面上,氧化物和聚合物(poly)形成热力学稳定的单层,其不受氟侵蚀的影响。由于3D结构是复杂的,因此挥发性副产物将被困在3D结构内部的概率很高。本文所述的压强/吹扫处理有效地去除了副产物。
前面的描述本质上仅仅是说明性的,并且决不意图限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,尽管本公开包括特定示例,但是本公开的真实范围不应当如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是关于本公开的任何实施方式描述的那些特征中的任何一个或多个可以在任何其他实施方式的特征中实现和/或与任何其他实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用包括“连接”、“接合”、“耦合”、“相邻”、“邻近”、“在...之上”、“在...上方”、“在…下方”和“设置”的各种术语来描述元件之间(例如,在模块、电路元件、半导体层等之间)的空间和功能关系。除非明确地描述为“直接的”,否则当在上述公开中描述的第一和第二元件之间的关系时,该关系可以是其中在第一和第二元件之间不存在其他中间元件的直接关系,但是也可以是其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件的间接关系。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个,B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,所述系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,所述半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制其操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何处理,包括处理气体的输送、温度设置(例如加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置和操作设置、晶片输送进出工具以及其他输送工具和/或连接到特定系统或与特定系统接口的装载锁。
广义地说,控制器可以定义为具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件的电子设备。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、限定为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单个的设置(或程序文件)的形式传送到控制器的指令,所述单个的设置(或程序文件)定义用于在半导体晶片上或为半导体晶片或系统执行特定处理的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一个或多个层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制备过程中完成一个或多个处理步骤。
在一些实现方式中,控制器可以是计算机的一部分或耦合到计算机,所述计算机与系统集成、耦合到系统、以其他方式联网到系统或这些的组合。例如,该控制器可以在“云”中,或在晶片厂(fab)主机计算机系统的全部或部分中,其使得能够对晶片处理进行远程访问。计算机可以实现对系统的远程访问以监控制备操作的目前进展,研究过去的制备操作的历史,从多个制备操作来研究趋势或性能标准,改变当前处理的参数,设置当前处理之后的处理步骤,或开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将所述参数和/或设置从远程计算机传送到系统。在一些示例中,控制器以数据的形式接收指令,所述指令指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,对于要执行的处理的类型和与控制器接口或由控制器控制的工具的类型,参数可以是特定的。因此,如上所述,控制器可以是分布式的,例如通过包括一个或多个联网在一起并朝着共同目的(例如,本文所述的处理和控制)而工作的离散控制器。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通讯的室上的一个或多个集成电路,它们结合以控制在室上的处理。
示例系统可以包括但不限于,等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制备和/或制造相关联或可以在半导体晶片的制备和/或制造中使用的任何其他半导体处理系统。
如上所述,根据将由工具执行的一个或多个工艺步骤,控制器可以与一个或多个其它工具电路或模块、其他工具部件、群集工具、其它工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在半导体制造工厂中将晶片容器往返工具位置和/或装载端口输送的材料运输中使用的工具通信。

Claims (19)

1.一种用于蚀刻衬底和去除副产物的方法,所述衬底包括堆叠,所述堆叠包含多个第一层和多个第二层,所述第一层与所述第二层交替,所述方法包括:
a)设置处理室的处理参数以用于选择性干法蚀刻处理;
b)对于所述选择性干法蚀刻处理,将所述处理室的处理压强设定为在1托至10托的范围内的第一预定压强;
c)在第一时间段期间在所述处理室中相对于所述多个第二层中的每一个选择性地蚀刻所述多个第一层中的每一个的一部分;
d)将所述处理室中的压强降低到第二预定压强,所述第二预定压强比所述第一预定压强小3/4或者小更多,以便在所述第二预定压强下吹扫所述处理室持续第二时间段;
e)在所述第二预定压强下吹扫所述处理室持续所述第二时间段;以及
f)重复a)至e)或b)至e)N次,其中N是大于1的整数。
2.根据权利要求1所述的方法,其还包括在所述N次中的至少一次期间改变所述第一预定压强和所述第一时间段中的至少一个。
3.根据权利要求1所述的方法,其还包括在所述N次中的至少一次期间改变所述第二预定压强和所述第二时间段中的至少一个。
4.根据权利要求1所述的方法,其中所述选择性干法蚀刻处理使用远程等离子体。
5.根据权利要求4所述的方法,其中在b)至e)期间保持所述远程等离子体。
6.根据权利要求4所述的方法,其中所述远程等离子体在c)之前点燃并且在c)之后熄灭。
7.根据权利要求4所述的方法,其中使用包含氟气的处理气体产生所述远程等离子体。
8.根据权利要求1所述的方法,其中所述处理室包括:
上室区域;
设置在所述上室区域外侧的感应线圈;
下室区域,其包括衬底支撑件;和
设置在所述上室区域和所述下室区域之间的气体分配装置。
9.根据权利要求8所述的方法,其中:
所述气体分配装置包括与所述上室区域和所述下室区域流体连通的多个孔;并且
通过向所述感应线圈供应功率而在所述上室区域中产生电感耦合等离子体。
10.一种用于蚀刻衬底和去除副产物的方法,所述衬底包括堆叠,所述堆叠包含多个第一层和多个第二层,所述第一层与所述第二层交替,所述方法包括:
a)设置处理室的处理参数以用于蚀刻处理;
b)对于所述蚀刻处理,将所述处理室的处理压强设定为在1托至3托的范围内的第一预定压强;
c)在第一时间段期间在所述处理室中相对于所述多个第二层中的每一个选择性地蚀刻所述多个第一层中的每一个的一部分;
d)将所述处理室中的压强降低到第二预定压强,所述第二预定压强比所述第一预定压强小3/4或者小更多,以便在所述第二预定压强下吹扫所述处理室持续第二时间段;
e)在所述第二预定压强下吹扫所述处理室持续所述第二时间段;以及
f)重复b)至e)N次,其中N是大于1的整数。
11.根据权利要求10所述的方法,其还包括在所述N次中的至少一次期间改变所述第一预定压强和所述第一时间段中的至少一个。
12.根据权利要求10所述的方法,其还包括在所述N次中的至少一次期间改变所述第二预定压强和所述第二时间段中的至少一个。
13.根据权利要求10所述的方法,其中所述蚀刻处理使用远程等离子体。
14.根据权利要求13所述的方法,其中在b)至e)期间保持所述远程等离子体。
15.根据权利要求13所述的方法,其中所述远程等离子体在c)之前点燃并且在c)之后熄灭。
16.根据权利要求13所述的方法,其中使用包含氟气的处理气体产生所述远程等离子体。
17.根据权利要求10所述的方法,其中所述处理室包括:
上室区域;
设置在所述上室区域外侧的感应线圈;
下室区域,其包括衬底支撑件;和
设置在所述上室区域和所述下室区域之间的气体分配装置。
18.根据权利要求17所述的方法,其中:
所述气体分配装置包括与所述上室区域和所述下室区域流体连通的多个孔;并且
通过向所述感应线圈供应功率而在所述上室区域中产生电感耦合等离子体。
19.一种用于蚀刻衬底和去除副产物的方法,所述衬底包括堆叠,所述堆叠包含多个第一层和多个第二层,所述第一层与所述第二层交替,所述方法包括:
a)设置处理室的处理参数以用于选择性干法蚀刻处理;
b)对于所述选择性干法蚀刻处理,将所述处理室的处理压强设定为第一预定压强;
c)在第一时间段期间在所述处理室中相对于所述多个第二层中的每一个选择性地蚀刻所述多个第一层中的每一个的一部分;
d)将所述处理室中的压强降低到第二预定压强,所述第二预定压强比所述第一预定压强小,以便在所述第二预定压强下吹扫所述处理室持续第二时间段;
e)在所述第二预定压强下吹扫所述处理室持续所述第二时间段;以及
f)重复a)至e)或b)至e)N次,其中N是大于1的整数。
CN201710589164.6A 2016-07-27 2017-07-19 用于蚀刻复合三维结构的压力吹扫蚀刻方法 Active CN107665803B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/220,785 US9870932B1 (en) 2016-07-27 2016-07-27 Pressure purge etch method for etching complex 3-D structures
US15/220,785 2016-07-27

Publications (2)

Publication Number Publication Date
CN107665803A CN107665803A (zh) 2018-02-06
CN107665803B true CN107665803B (zh) 2021-10-26

Family

ID=60935047

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710589164.6A Active CN107665803B (zh) 2016-07-27 2017-07-19 用于蚀刻复合三维结构的压力吹扫蚀刻方法

Country Status (4)

Country Link
US (1) US9870932B1 (zh)
KR (1) KR102549146B1 (zh)
CN (1) CN107665803B (zh)
TW (1) TWI721196B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10741495B2 (en) * 2018-01-18 2020-08-11 Globalfoundries Inc. Structure and method to reduce shorts and contact resistance in semiconductor devices
WO2019200311A1 (en) * 2018-04-12 2019-10-17 Seaboard International, Inc. Frac sand separator system
JP7481823B2 (ja) * 2018-11-05 2024-05-13 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
CN111146086B (zh) * 2018-11-05 2024-05-03 东京毅力科创株式会社 蚀刻方法和等离子体处理装置
KR102328573B1 (ko) * 2020-01-17 2021-11-17 성균관대학교산학협력단 C-free 할로겐 기반의 가스를 이용한 실리콘 산화막 대비 높은 식각 선택비를 갖는 실리콘 질화막 건식 식각 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02128421A (ja) * 1988-11-08 1990-05-16 Tokyo Electron Ltd プラズマ処理装置
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
CN101728230A (zh) * 2008-10-17 2010-06-09 北京北方微电子基地设备工艺研究中心有限责任公司 半导体基片加工方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0464696B1 (en) 1990-06-29 1997-10-29 Applied Materials, Inc. Two-step reactor chamber self cleaning process
US6362110B1 (en) * 2000-03-30 2002-03-26 Lam Research Corporation Enhanced resist strip in a dielectric etcher using downstream plasma
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US7939450B2 (en) * 2007-09-21 2011-05-10 Tokyo Electron Limited Method and apparatus for spacer-optimization (S-O)
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US8985152B2 (en) 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment
US9595466B2 (en) * 2015-03-20 2017-03-14 Applied Materials, Inc. Methods for etching via atomic layer deposition (ALD) cycles

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH02128421A (ja) * 1988-11-08 1990-05-16 Tokyo Electron Ltd プラズマ処理装置
CN101728230A (zh) * 2008-10-17 2010-06-09 北京北方微电子基地设备工艺研究中心有限责任公司 半导体基片加工方法

Also Published As

Publication number Publication date
TWI721196B (zh) 2021-03-11
TW201810395A (zh) 2018-03-16
KR20180012697A (ko) 2018-02-06
KR102549146B1 (ko) 2023-06-28
US9870932B1 (en) 2018-01-16
CN107665803A (zh) 2018-02-06
US20180033657A1 (en) 2018-02-01

Similar Documents

Publication Publication Date Title
CN106601612B (zh) 用于超高选择性的氮化物蚀刻的系统和方法
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
US9837286B2 (en) Systems and methods for selectively etching tungsten in a downstream reactor
CN107665803B (zh) 用于蚀刻复合三维结构的压力吹扫蚀刻方法
CN107039265B (zh) 硬掩膜的自限性平坦化
US11011388B2 (en) Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
US20160148813A1 (en) Gas injection method for uniformly processing a semiconductor substrate in a semiconductor substrate processing apparatus
CN108630578B (zh) 超高选择性的氮化物蚀刻以形成FinFET器件
CN110537241B (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁
US20160013020A1 (en) Systems and methods for producing energetic neutrals
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
CN107086178B (zh) 用于选择性蚀刻膜的系统和方法
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
KR102510611B1 (ko) 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant