CN108630578B - 超高选择性的氮化物蚀刻以形成FinFET器件 - Google Patents

超高选择性的氮化物蚀刻以形成FinFET器件 Download PDF

Info

Publication number
CN108630578B
CN108630578B CN201810208164.1A CN201810208164A CN108630578B CN 108630578 B CN108630578 B CN 108630578B CN 201810208164 A CN201810208164 A CN 201810208164A CN 108630578 B CN108630578 B CN 108630578B
Authority
CN
China
Prior art keywords
gas
substrate
plasma
chamber region
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810208164.1A
Other languages
English (en)
Other versions
CN108630578A (zh
Inventor
夸梅·伊森
杨邓良
皮利翁·帕克
费萨尔·雅各布
朴俊洪
马克·川口
艾夫林·安格洛夫
朱吉
常萧伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202310365702.9A priority Critical patent/CN116631901A/zh
Publication of CN108630578A publication Critical patent/CN108630578A/zh
Application granted granted Critical
Publication of CN108630578B publication Critical patent/CN108630578B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

本发明涉及超高选择性的氮化物蚀刻以形成FinFET器件。用于选择性地蚀刻衬底上的层的衬底处理系统包括上室区域、围绕上室区域布置的感应线圈和包括衬底支撑件以支撑衬底的下室区域。气体分配装置布置在上室区域和下室区域之间并且包括具有多个孔的板。冷却充气室冷却气体分配装置,并且吹扫气体充气室将吹扫气体引导到下室中。孔的表面积与体积之比大于或等于4。控制器选择性地将蚀刻气体混合物供应到上室并将吹扫气体供应到吹扫气体充气室,并且在上室中激励等离子体以相对于衬底的至少一个其他暴露层选择性地蚀刻衬底的层。

Description

超高选择性的氮化物蚀刻以形成FinFET器件
技术领域
本公开涉及衬底处理装置,并且更具体地涉及氮化硅的选择性蚀刻。
背景技术
这里提供的背景描述是为了一般地呈现本公开的背景的目的。在该背景技术部分以及在提交时不会以其他方式认为是现有技术的描述的方面中描述的程度上,目前署名的发明人的工作既不明确地也不隐含地被承认为针对本公开的现有技术。
衬底处理系统可以用于在诸如半导体晶片之类的衬底上蚀刻膜。衬底处理系统通常包括处理室、气体分配装置和衬底支撑件。在处理期间,衬底布置在衬底支撑件上。可以将不同的气体混合物引入到处理室中并且可以使用射频(RF)等离子体来激活化学反应。
当集成诸如鳍式场效应晶体管(FinFET)器件之类的一些半导体衬底时,氮化硅膜需要相对于其他暴露的膜材料以非常高的选择性进行蚀刻。例如,在FinFET器件集成期间使用的伪氮化物膜(dummy nitride film)需要被选择性蚀刻。
热磷酸是用于在这些器件中蚀刻氮化硅膜的主要化学物质。热磷酸有几个局限性,包括满足超过10nm节点的外延硅(epi-Si)、硅磷(SiP)和硅锗(SiGe)的选择性要求、颗粒和缺陷控制、表面张力引起的图案崩溃、和来自碳氮氧化硅(SiOCN)膜的碳(C)的表面损耗。
发明内容
用于选择性地蚀刻衬底上的层的衬底处理系统包括:上室区域、围绕上室区域布置的感应线圈和包括衬底支撑件以支撑衬底的下室区域。气体分配装置设置在上室区域和下室区域之间并且包括具有与上室区域和下室区域流体连通的多个孔的板。孔的表面积与体积之比大于或等于4。RF发生器向感应线圈供应RF功率。气体输送系统选择性地供应气体混合物。控制器被配置为使得气体输送系统选择性地将蚀刻气体混合物供应到上室并且在上室中激励等离子体以相对于衬底的至少一个其他暴露层选择性蚀刻衬底的层。
在其他特征中,气体分配装置包括使气体分配装置中的流体循环以控制气体分配装置的温度的冷却充气室(plenum)以及将吹扫气体引导通过气体分配装置进入下室的吹扫气体充气室。
在其他特征中,控制器进一步被配置为在预定的蚀刻时间段之后将等离子体转变为蚀刻后气体混合物。蚀刻后气体混合物包含氢物质和氧化物物质。控制器被配置为在不熄灭等离子体的情况下将来自蚀刻气体混合物的等离子体转变成蚀刻后气体混合物。
在其他特征中,所述板的厚度在5mm至25mm的范围内,所述孔的直径在1mm至5mm的范围内,所述多个孔的数量在100至5000的范围内,并且所述板的直径在6”(英寸)至20”的范围内。
在其他特征中,衬底的层包括相对于至少一个其他暴露层被选择性蚀刻的氮化硅。所述至少一个其他暴露层选自由二氧化硅(SiO2)、碳氧氮化硅(SiOCN)、硅锗(SiGe)、非晶硅(a-Si)和外延硅(epi-Si)组成的组。在其他特征中,蚀刻气体混合物包括促进氮化物蚀刻和硅(Si)、硅锗(SiGe)和氧化物中的至少一种的钝化的气体。促进氮化物蚀刻的所述气体包括选自由三氟化氮(NF3),二氟甲烷(CH2F2),四氟甲烷(CF4),氟代甲烷(CH3F),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体。促进钝化的所述气体包括选自由氟代甲烷(CH3F),二氟甲烷(CH2F2),甲烷(CH4),硫化羰(COS),硫化氢(H2S),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合及这些的组合组成的组中的一种或多种气体。
用于选择性地蚀刻衬底上的层的衬底处理系统包括衬底处理室,所述衬底处理室包括上室区域和下室区域,所述下室区域包括用于支撑衬底的衬底支撑件。气体分配装置布置在上室区域和下室区域之间并且包括与上室区域和下室区域流体连通的多个孔。孔的表面积与体积之比大于或等于4。等离子体供应源在所述上室区域中产生等离子体或向所述上室区域供应等离子体中的至少一种,以相对于所述衬底的至少一个其他暴露层选择性地蚀刻所述衬底的层。
在其他特征中,气体分配装置包括冷却充气室,所述冷却充气室用于使气体分配装置的一部分中的流体循环以控制气体分配装置的温度。气体分配装置还包括吹扫气体充气室,以将吹扫气体从所述气体分配装置沿进入所述下室的方向朝向所述衬底支撑件引导。该气体分配装置包括:冷却充气室,其使流体循环以控制气体分配装置的温度;以及吹扫气体充气室,其将吹扫气体从气体分配装置引导到下室中。
在其他特征中,气体分配装置的厚度在5mm到25mm的范围内,并且孔的直径在1mm到5mm的范围内。孔的数量的范围从100到5000,气体分配装置的直径在6”至20”的范围内。衬底的层包括相对于至少一个其他暴露层被选择性蚀刻的氮化硅。所述至少一个其他暴露层选自由二氧化硅(SiO2)、碳氧氮化碳(SiOCN)、硅锗(SiGe)、非晶硅(a-Si)和外延硅(epi-Si)组成的组。
在其他特征中,所述等离子体供应源使用等离子体气体混合物产生等离子体,所述等离子体气体混合物包括促进氮化物蚀刻以及促进硅(Si)、硅锗(SiGe)和氧化物中的至少一种的钝化的气体。促进氮化物蚀刻的所述气体包括选自由三氟化氮(NF3),二氟甲烷(CH2F2),四氟甲烷(CF4),氟代甲烷(CH3F),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体。促进钝化的所述气体包括选自由氟代甲烷(CH3F),二氟甲烷(CH2F2),甲烷(CH4),硫化羰(COS),硫化氢(H2S),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体
用于选择性地蚀刻衬底上的层的方法包括提供衬底处理室,该衬底处理室包括布置在上室区域和下室区域之间的气体分配装置。下室区域包括用于支撑衬底的衬底支撑件。气体分配装置包括板,该板包括与上室区域和下室区域流体连通的多个孔。这些孔的表面积与体积之比大于或等于4。该方法还包括将蚀刻气体混合物供应到上室区域。该方法进一步包括在上室区域中激励等离子体以相对于衬底的至少一个其他暴露层选择性地蚀刻衬底的层。
在其他特征中,该方法包括将流体供应到气体分配装置中的冷却充气室以控制气体分配装置的温度以及向所述气体分配装置中的吹扫气体充气室供应吹扫气体。吹扫气体充气室将吹扫气体从气体分配装置朝向所述衬底支撑件引导。
在其他特征中,该方法包括在预定蚀刻时间段之后将所述等离子体转变成蚀刻后气体混合物。蚀刻后气体混合物包含氢物质和氧化物物质。在不熄灭等离子体的情况下执行从蚀刻气体混合物到蚀刻后气体混合物的转变。所述板的厚度在5mm至25mm的范围内,所述孔的直径在1mm至5mm的范围内,所述孔的数量在100至5000的范围内,并且所述板的直径板在6”到20”的范围内。
在其他特征中,衬底的层包括相对于至少一个其他暴露层被选择性蚀刻的氮化硅。所述至少一个其他暴露层选自由二氧化硅(SiO2)、碳氧氮化硅(SiOCN)、硅锗(SiGe)、非晶硅(a-Si)和外延硅(epi-Si)组成的组。
在其他特征中,所述蚀刻气体混合物包括促进氮化物蚀刻和硅(Si)、硅锗(SiGe)和氧化物中的至少一种的钝化的气体。促进氮化物蚀刻的气体包括选自由三氟化氮(NF3),二氟甲烷(CH2F2),四氟甲烷(CF4),氟代甲烷(CH3F),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体。促进钝化的所述气体包括选自由氟代甲烷(CH3F),二氟甲烷(CH2F2),甲烷(CH4),硫化羰(COS),硫化氢(H2S),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于选择性地蚀刻衬底上的层的衬底处理系统,其包括:
上室区域;
围绕所述上室区域布置的感应线圈;
包括用于支撑衬底的衬底支撑件的下室区域;
气体分配装置,所述气体分配装置布置在所述上室区域和所述下室区域之间并且包括具有与所述上室区域和所述下室区域流体连通的多个孔的板,其中所述孔的表面积与体积之比大于或等于4;
RF发生器,其用于向所述感应线圈供应RF功率;
气体输送系统,其用于选择性地供应气体混合物;以及
控制器,所述控制器被配置为使所述气体输送系统选择性地将蚀刻气体混合物供应至所述上室并在所述上室中激励等离子体以相对于所述衬底的至少一个其他暴露层选择性蚀刻所述衬底的层。
2.根据条款1所述的衬底处理系统,其中所述板包括用于使所述气体分配装置中的流体循环以控制所述气体分配装置的温度的冷却充气室和用于将吹扫气体引导通过所述气体分配装置进入所述下室的吹扫气体充气室。
3.根据条款2所述的衬底处理系统,其中所述控制器进一步被配置成在预定蚀刻时间段后将所述等离子体转变成蚀刻后气体混合物,且其中所述蚀刻后气体混合物包括氢物质和氧化物物质。
4.根据条款3所述的衬底处理系统,其中所述控制器被配置成在不熄灭所述等离子体的情况下将来自所述蚀刻气体混合物的所述等离子体转变成所述蚀刻后气体混合物。
5.根据条款1所述的衬底处理系统,其中,所述板的厚度在5mm至25mm的范围内,所述孔的直径在1mm至5mm的范围内,所述孔的数量在100到5000的范围内,并且所述板的直径在6”到20”的范围内。
6.根据条款1所述的衬底处理系统,其中所述衬底的所述层包括相对于所述至少一个其他暴露层被选择性蚀刻的氮化硅。
7.根据条款6所述的衬底处理系统,其中所述至少一个其他暴露层选自由二氧化硅(SiO2)、碳氧氮化硅(SiOCN)、硅锗(SiGe)、非晶硅(a-Si)和外延硅(epi-Si)组成的组。
8.根据条款1所述的衬底处理系统,其中所述蚀刻气体混合物包括促进氮化物蚀刻和硅(Si)、硅锗(SiGe)和氧化物中的至少一种的钝化的气体。
9.根据条款8所述的衬底处理系统,其中促进氮化物蚀刻的所述气体包括选自由三氟化氮(NF3),二氟甲烷(CH2F2),四氟甲烷(CF4),氟代甲烷(CH3F),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体。
10.根据条款8所述的衬底处理系统,其中促进钝化的所述气体包括选自由氟代甲烷(CH3F),二氟甲烷(CH2F2),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合,甲烷(CH4),硫化羰(COS),硫化氢(H2S)及这些的组合组成的组中的一种或多种气体。
11.一种用于选择性地蚀刻衬底上的层的衬底处理系统,其包括:
衬底处理室,其包括:
上室区域;
包括用于支撑衬底的衬底支撑件的下室区域;和
气体分配装置,其布置在所述上室区域和所述下室区域之间并且包括与所述上室区域和所述下室区域流体连通的多个孔,
其中所述孔的表面积与体积之比大于或等于4;以及
等离子体供应源,其用于在所述上室区域中产生等离子体或向所述上室区域供应等离子体中的至少一种,以相对于所述衬底的至少一个其他暴露层选择性地蚀刻所述衬底的层。
12.根据条款11所述的衬底处理系统,其中所述气体分配装置包括冷却充气室,所述冷却充气室用于使所述气体分配装置的一部分中的流体循环以控制所述气体分配装置的温度。
13.根据条款11所述的衬底处理系统,其中所述气体分配装置进一步包括吹扫气体充气室,以将吹扫气体从所述气体分配装置沿进入所述下室的方向朝向所述衬底支撑件引导。
14.根据条款11所述的衬底处理系统,其中所述气体分配装置包括:
冷却充气室,其用于使流体循环以控制所述气体分配装置的温度;和
吹扫气体充气室,其用于将吹扫气体从所述气体分配装置引导到所述下室中。
15.根据条款11所述的衬底处理系统,其中,所述气体分配装置的厚度在5mm到25mm的范围内,并且所述孔的直径在1mm到5mm的范围内。
16.根据条款11所述的衬底处理系统,其中,所述多个孔的数量在100至5000的范围内,并且所述气体分配装置的直径在6”至20”的范围内。
17.根据条款11所述的衬底处理系统,其中所述衬底的所述层包括相对于所述至少一个其他暴露层被选择性蚀刻的氮化硅。
18.根据条款11所述的衬底处理系统,其中所述至少一个其他暴露层选自由二氧化硅(SiO2)、碳氧氮化碳(SiOCN)、硅锗(SiGe)、非晶硅(a-Si)和外延硅(epi-Si)组成的组。
19.根据条款11所述的衬底处理系统,其中所述等离子体供应源使用等离子体气体混合物产生等离子体,所述等离子体气体混合物包括促进氮化物蚀刻以及促进硅(Si)、硅锗(SiGe)和氧化物中的至少一种的钝化的气体。
20.根据条款9所述的衬底处理系统,其中:
促进氮化物蚀刻的所述气体包括选自由三氟化氮(NF3),二氟甲烷(CH2F2),四氟甲烷(CF4),氟代甲烷(CH3F),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体;以及
促进钝化的所述气体包括选自由氟代甲烷(CH3F),二氟甲烷(CH2F2),甲烷(CH4),硫化羰(COS),硫化氢(H2S),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体。
21.一种用于选择性地蚀刻衬底上的层的方法,其包括:
提供衬底处理室,所述衬底处理室包括:
布置在上室区域和下室区域之间的气体分配装置,
所述下室区域包括用于支撑衬底的衬底支撑件,以及
所述气体分配装置包括板,所述板包括与所述上室区域和所述下室区域流体连通的多个孔,
其中所述孔的表面积与体积之比大于或等于4;
将蚀刻气体混合物供应到所述上室区域;以及
在所述上室区域中激励等离子体以相对于所述衬底的至少一个其他暴露层选择性地蚀刻所述衬底的层。
22.根据条款21所述的方法,其还包括:
将流体供应到所述气体分配装置中的冷却充气室以控制所述气体分配装置的温度;以及
向所述气体分配装置中的吹扫气体充气室供应吹扫气体,其中所述吹扫气体充气室将所述吹扫气体从所述气体分配装置朝向所述衬底支撑件引导。
23.根据条款22所述的方法,其还包括在预定蚀刻时间段之后将所述等离子体转变成蚀刻后气体混合物,其中所述蚀刻后气体混合物包括氢物质和氧化物物质。
24.根据条款23所述的方法,其中从所述蚀刻气体混合物到所述蚀刻后气体混合物的转变是在不熄灭所述等离子体的情况下进行的。
25.根据条款21所述的方法,其中所述板的厚度在5mm至25mm的范围内,所述孔的直径在1mm至5mm的范围内,所述孔的数量在100到5000的范围内,并且所述板的直径在6”到20”的范围内。
26.根据条款21所述的方法,其中所述衬底的所述层包括相对于所述至少一个其他暴露层被选择性蚀刻的氮化硅。
27.根据条款26所述的方法,其中所述至少一个其他暴露层选自由二氧化硅(SiO2)、碳氧氮化硅(SiOCN)、硅锗(SiGe)、非晶硅(a-Si)和外延硅(epi-Si)组成的组。
28.根据条款21所述的方法,其中所述蚀刻气体混合物包括促进氮化物蚀刻和硅(Si)、硅锗(SiGe)和氧化物中的至少一种的钝化的气体。
29.根据条款28所述的方法,其中促进氮化物蚀刻的所述气体包括选自由三氟化氮(NF3),二氟甲烷(CH2F2),四氟甲烷(CF4),氟代甲烷(CH3F),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体。
30.根据条款28所述的方法,其中促进钝化的所述气体包括选自由氟代甲烷(CH3F),二氟甲烷(CH2F2),甲烷(CH4),硫化羰(COS),硫化氢(H2S),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体。
根据详细描述、权利要求书和附图,本公开的其他应用领域将变得显而易见。详细描述和具体示例仅用于说明的目的,并不意图限制本公开的范围。
附图说明
从详细描述和附图中将更全面地理解本公开,其中:
图1是根据本公开的在氮化硅膜的选择性蚀刻期间的FinFET器件的示例的透视图;
图2是根据本公开的用于选择性地蚀刻氮化硅膜的电感耦合等离子体(ICP)衬底处理室的示例的功能框图;
图3-4是根据本公开示出峰值电压与各种不同类型的等离子体和压力的ICP功率之间的函数关系的曲线图;
图5根据本发明示出了蚀刻速率与ICP处理室的衬底支撑件温度之间的函数关系;
图6根据本发明示出了没有后蚀刻处理、具有O2后蚀刻处理以及H:O后蚀刻处理的衬底;
图7是示出了动能在更高和更低温度下与分子的比例之间的函数关系的示例的曲线图;
图8示出了在气体分配装置的板中形成的通孔的尺寸的示例;
图9是根据本公开的包括单个充气室的气体分配装置的示例的侧截面图;
图10是根据本公开的包括双充气室的气体分配装置的示例的侧截面图;
图11是根据本公开的混合温度曲线;以及
图12是示出了用于相对于衬底的至少一个其他暴露层选择性地蚀刻衬底的一个层的方法的示例的流程图。
在附图中,附图标记可以被重复使用以识别相似和/或相同的元件。
具体实施方式
本公开涉及产生自由基以促进氮化物蚀刻同时选择性钝化除氮化硅之外的膜的工艺。该工艺在自由基吸附到表面和反应物从表面解吸之间是热力学平衡的。高自由基产生导致高的SiN去除速率和低的跨晶片的(across-wafer)变化。自由基产生可以通过功率、压力、化学过程和/或气体稀释来调节。在一些示例中,自由基以H模式等离子体状态(而不是E模式等离子体状态)产生,以为表面钝化提供高自由基解离。
该工艺相对于诸如二氧化硅(SiO2)、碳氧氮化碳(SiOCN)间隔材料、硅锗(SiGe)、非晶硅(a-Si)和外延硅(epi-Si)之类的其他暴露膜选择性地去除氮化硅。该工艺无残留物,不留下固体副产物,并且在蚀刻后允许表面脱氟。该工艺能够实现完全干式蚀刻工艺,而不会在高深宽比三维结构中发生图案塌陷。
在一些示例中,该工艺使用电感耦合等离子体(ICP)源来产生自由基和离子。在一些示例中,使用电容耦合等离子体(CCP)源来选择性地产生自由基。
等离子体包括物理蚀刻衬底的离子和化学蚀刻衬底的自由基。通过减少或消除离子和高能量自由基可以实现改进的选择性。虽然使用下游等离子体可能会减少或消除离子,但高能量自由基仍可能导致不希望的膜损失。本文描述的系统和方法用于减少或过滤自由基的高能量尾部(tail)以减少不希望的膜损失。
如下面将进一步描述的,根据本公开的系统和方法使用一个或多个特征减少自由基能量。气体分配装置可被设计成经由具有预定表面积与体积(S/V)比的孔传送气体。气体分配装置的温度可以使用流体(例如液体或气体)流过的流体充气室来稳定和均匀地控制。可以使用气体分配装置中的另一充气室将吹扫气体引入气体分配装置下方的下室中。衬底和气体分配装置之间的间隙可被调节以提高选择性。
现在参考图1,示出了FinFET器件10的示例。尽管在此描述了FinFET器件,但是可以在其他器件上使用选择性蚀刻工艺。FinFET器件10包括非晶硅层14和二氧化硅(SiO2)层16。氮化硅层18布置在SiO2间隔件或层22、低k间隔件24和SiN间隔件或层26之上。FinFET器件10还可以包括硅锗(SiGe)28。在SiN层18的蚀刻期间,各种不同的膜类型(包括SiO2膜、低k膜和SiGe膜)暴露于蚀刻。蚀刻SiN膜需要在不损坏或基本上除去SiO2膜、低k膜和/或SiGe膜的情况下进行。除了这些类型的膜之外,衬底还可以包括其他膜材料,诸如可以在蚀刻期间暴露的碳氧氮化硅(SiOCN)和/或epi-Si。
现在参考图2,示出了根据本公开的用于选择性地蚀刻衬底的SiN膜的衬底处理室100的示例。虽然示出并描述了特定的衬底处理室,但是本文描述的方法可以在其他类型的衬底处理系统上实施。在一些示例中,衬底处理室100包括下游电感耦合等离子体(ICP)源。可以提供可选的电容耦合等离子体(CCP)源。
衬底处理室100包括下室区域102和上室区域104。下室区域102由室侧壁表面108、室底部表面110和气体分配装置114的下表面限定。在一些示例中,气体分配装置114被省略。
上室区域104由气体分配装置114的上表面和上室壁118的内表面限定(例如圆顶形室)。在一些示例中,上室壁118搁置在第一环形支撑件121上。在一些示例中,第一环形支撑件121包括用于将工艺气体输送到上室区域104的一个或多个气体流动通道和/或孔123,如将在下面进一步描述的。气体流动通道和/或孔123可以围绕上室区域104的周边均匀地间隔开。在一些示例中,工艺气体相对于包括气体分配装置114的平面沿向上的方向成锐角地通过一个或多个气体流动通道和/或孔123输送,但是也可以使用其他角度/方向。在一些示例中,第一环形支撑件121中的充气室134将气体供应到一个或多个间隔开的气体流动通道和/或孔123。
第一环形支撑件121可以搁置在第二环形支撑件125上,该第二环形支撑件125限定用于将工艺气体输送到下室区域102的一个或多个气体流动通道和/或孔127。在一些示例中,气体分配装置114中的孔131与气体流动通道和/或孔127对齐。在其他示例中,气体分配装置114具有较小的直径,并且不需要孔131。在一些示例中,工艺气体通过一个或多个间隔开的气体流动通道和/或孔127相对于包括气体分配装置114的平面成锐角地沿朝向衬底向下的方向输送,但是也可以使用其他角度/方向。
在其他示例中,上室区域104是具有平坦顶表面的圆柱形,并且可以使用一个或多个扁平感应线圈。在其他示例中,单个室可以与位于喷头和衬底支撑件之间的间隔件一起使用。
衬底支撑件122布置在下室区域102中。在一些示例中,衬底支撑件122包括静电卡盘(ESC),但是也可以使用其他类型的衬底支撑件。在蚀刻期间,衬底126被布置在衬底支撑件122的上表面上。在一些示例中,衬底126的温度可以由加热板141、具有流体通道的可选冷却板以及一个或多个传感器(未示出)来控制;但是也可以使用任何其他合适的衬底支撑件温度控制系统。在一些示例中,温度控制器143可以用于控制衬底支撑件122的加热和冷却。加热可以由加热器板141执行并且冷却可以通过具有流体通道145的冷却板来执行。
温度控制器147可以用于通过将加热/冷却流体供应到气体分配装置114中的充气室来控制气体分配装置114的温度。温度控制器143和/或147可以进一步包括流体源、泵、控制阀和温度传感器(均未示出)。
在一些示例中,气体分配装置114包括喷头(例如,具有多个间隔开的孔129的板128)。多个间隔开的孔129从板128的上表面延伸到板128的下表面。在一些示例中,间隔开的孔129具有在0.4”到0.75”范围内的直径,并且喷头由诸如铝之类的导电材料或具有由导电材料制成的嵌入式电极的诸如陶瓷之类的非导电材料制成。在下面进一步描述的其他示例中,可以使用更小的孔129来增加表面积与体积之比。
一个或多个感应线圈140围绕上室壁118的外部布置。当通电时,一个或多个感应线圈140在上室壁118内产生电磁场。在一些示例中,使用上线圈和下线圈。气体注射器142将来自气体输送系统150-1的一种或多种气体混合物注射到上室区域104中。
在一些示例中,气体输送系统150-1包括一个或多个气体源152、一个或多个阀154、一个或多个质量流量控制器(MFC)156和混合歧管158,但也可以使用其他类型的气体输送系统。气体分流器(未示出)可以用于改变气体混合物的流速。另一种气体输送系统150-2可以用于将蚀刻气体、调节气体、吹扫气体或其他气体混合物供应到气体流动通道和/或孔123和/或127(外加来自气体注射器142的蚀刻气体或代替来自气体注射器142的蚀刻气体)。
合适的气体输送系统在2015年12月4日提交的标题为“Gas Delivery System”的共同转让的美国专利申请序列No.14/945,680中示出和描述,其全部内容通过引用并入本文。合适的单或双气体注射器和其他气体注射位置在2016年1月7日提交的标题为“Substrate Processing System with Multiple Injection Points and DualInjector”的共同转让的美国临时专利申请序列No.62/275,837中示出和描述,其全部内容通过引用并入本文。
在一些示例中,气体注射器142包括沿向下方向引导气体的中心注射位置以及以相对于向下方向成一定角度注射气体的一个或多个侧注射位置。在一些示例中,气体输送系统150-1以第一流率将气体混合物的第一部分输送至中心注射位置,并以第二流率将气体混合物的第二部分输送至气体注射器142的一个或多个侧注射位置。在其他示例中,不同的气体混合物由气体注射器142输送。在一些示例中,气体输送系统150-2将调节气体输送到气体流动通道和/或孔123和127和/或输送到处理室中的其他位置,如下所述。例如,气体输送系统150-2也可以将气体输送到气体分配装置114中的充气室(下面结合图11进行描述的)。
等离子体发生器170可以用于产生输出到一个或多个感应线圈140的RF功率。等离子体190在上室区域104中产生。在一些示例中,等离子体发生器170包括RF发生器172和匹配网络174。匹配网络174将RF发生器172的阻抗与一个或多个感应线圈140的阻抗相匹配。在一些示例中,气体分配装置114连接到诸如地之类的参考电位。阀178和泵180可以用于控制下室区域102和上室区域104内的压力并且排空反应物。
控制器176与气体输送系统150-1和150-2、阀178、泵180和/或等离子体发生器170通信以控制工艺气体的流动、吹扫气体、调节气体、RF等离子体和室压力。在一些示例中,等离子体通过一个或多个感应线圈140维持在上室壁118内部。使用气体注射器142(和/或气体流动通道和/或孔123)从室的顶部部分引入一种或多种气体混合物,并且使用气体分配装置114将等离子体限制在上室壁118内。
将等离子体限制在上室壁118中允许等离子体物质的体积复合以及通过气体分配装置114流出期望的蚀刻剂物质。在一些示例中,不向衬底126施加RF偏置。结果,在衬底126上没有活性鞘(active sheath),并且离子不以任何有限能量撞击衬底。一些量的离子将通过气体分配装置114扩散出等离子体区域。然而,扩散的等离子体的量比位于上室壁118内的等离子体的量的数量级低。等离子体中的大多数离子是在高压下通过体积复合而丢失。气体分配装置114的上表面处的表面复合损失还降低了气体分配装置114下方的离子密度。
在其他示例中,RF偏置发生器184被提供并且包括RF发生器186和匹配网络188。RF偏置可以用于在气体分配装置114和衬底支撑件之间产生等离子体或者用于在衬底126上产生自偏置以吸引离子。控制器176可以用于控制RF偏置。
在一些示例中,等离子体气体混合物包括促进氮化物蚀刻和Si、SiGe和氧化物钝化的气体。促进氮化物蚀刻的气体包括三氟化氮(NF3),二氟甲烷(CH2F2),四氟甲烷(CF4),氟代甲烷(CH3F),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合中的一种或多种气体。在一些示例中,用于促进氧化物钝化的气体包括甲烷(CH4)、CH3F、CH2F2、硫化羰(COS)、硫化氢(H2S)和/或O2/N2/N2O中的一种或多种。在一些示例中,用于促进硅和SiGe钝化的气体包括O2/N2/N2O、N2O或O2/N2中的一种或多种。在一些示例中,可以在等离子体气体化学物质中提供氩(Ar)以提供载气效应并增加等离子体密度。Ar具有低电子亲和力。在一些示例中,Ar以在蚀刻期间供应的等离子体气体混合物的0%至15%的范围内供应。
下面显示了各种气体的合适流速:
气体 典型流速(sccm) 范围(sccm)
<![CDATA[NF<sub>3</sub>]]> 100 0-500
<![CDATA[CH<sub>2</sub>F<sub>2</sub>]]> 100 0-500
<![CDATA[CF<sub>4</sub>]]> 100 0-500
<![CDATA[CH<sub>3</sub>F]]> 100 0-500
<![CDATA[CHF<sub>3</sub>]]> 100 0-500
<![CDATA[CH<sub>4</sub>]]> 100 0-500
<![CDATA[SiF<sub>4</sub>]]> 100 0-500
<![CDATA[SF<sub>6</sub>]]> 100 0-500
<![CDATA[H<sub>2</sub>]]> 1000 0-3000
COS 100 0-500
<![CDATA[H<sub>2</sub>S]]> 100 0-500
Ar 2000 1000-5000
<![CDATA[O<sub>2</sub>]]> 3000 1000-5000
<![CDATA[N<sub>2</sub>]]> 3000 1000-5000
<![CDATA[N<sub>2</sub>O]]> 5000 1000-8000
<![CDATA[CO<sub>2</sub>]]> 100 0-5000
在一些示例中,执行后蚀刻处理以使最终表面脱氟。在一些示例中,从蚀刻到蚀刻后处理的过渡是在不熄灭等离子体的情况下进行的。在一些示例中,后蚀刻处理包括含氢物质和含氧化物物质。氢源的示例包括但不限于:H2、NH3、CH4、C2H4、C3H6、C2H6等。氧源的示例包括但不限于:O2、CO2、N2O、H2O、O3等。在一些示例中,H源的供应范围为25%至75%,氧源的供应范围为75%至25%。在一些示例中,蚀刻后处理执行预定时间段。
上述蚀刻工艺对SiN具有高选择性。相对于其他可获得的膜材料的选择性包括:
参数 选择性
SiN/PE Ox ≥100
SiN/间隔件低k 10-100
SiN/SiGe 10-100
SiN/Epi Si 10-100
所提出的工艺具有多个优点,包括能够增加FinFET缩放以实现更高深宽比和增加的密度的全干式去除工艺。与现有技术相比,该工艺具有更高的生产量和更低的缺陷。
现在参考图3-4,随着ICP功率增加,等离子体从E模式等离子体状态转变为H模式等离子体状态。发生E至H模式转变的功率电平受到压力、化学物质(所使用的具体F气体种类)和混合物中其它气体的化学性质(例如添加低电子亲和性气体如Ar)的影响。蚀刻机制名义上使表面F终止。在一些示例中,如下所述进行后蚀刻处理。
对于图3中的示例,E至H模式转变发生在约2200W的ICP功率下。在图4中,示出了用于其他等离子体气体化学物质的E到H模式转变。等离子体-1对应于N2O、O2、N2、CO2和NF3的等离子体气体混合物。NF3是一种强电负性物质。等离子体-2对应于N2O、O2、N2、CO2、NF3和Ar的等离子体气体混合物。Ar具有低电子亲和力。等离子体-3对应于N2O、O2、N2、CO2、Ar和CF4的等离子体气体混合物。CF4是一种弱电负性F物质。等离子体-4对应于在高压下的N2O、O2、N2、CO2和NF3的等离子体气体混合物。如图4所示,过渡到H模式的变化取决于气体化学成分、ICP室压力和ICP功率。
在一些示例中,用于氮化硅蚀刻的机制发生在三个步骤中:首先在表面上化学吸附自由基,随后从表面解吸产物,最后导致氟化步骤。在化学吸附期间,来自下游等离子体的自由基附着到氮化物的氮原子上。在解吸期间,副产物物质的解吸速率很高,基本上用作N萃取过程。在氟化过程中,氮化物中的硅通过F反应被除去。相反,来自下游等离子体的自由基附着于氧化物。氧化物上的解吸率非常低。氧化物免受自由基的F攻击。
现在参考图5,示出了SiN和SiO2(例如使用原硅酸四乙酯(TEOS))的差分蚀刻速率。自由基通量有助于实现高蚀刻速率和高选择性。
在图6中,针对SiN蚀刻示出了粒子性能的图,其中1)不进行后蚀刻处理(PET),2)仅用氧PET处理,以及3)用氧和氢PET(1:1比例)处理。在一些实施例中,基于O2的等离子体是略微(marginally)有效的,因为凝结随时间增加,如图6中可见。在一些示例中,具有1:1比率的基于H2/O2的等离子体是有效的,因为凝结随时间稳定,如图6所示。
现在参考图7,等离子体包括物理蚀刻衬底的离子和化学蚀刻衬底的自由基。通过减少或消除离子和高能量自由基可以实现改进的选择性。尽管使用下游等离子体可能会减少或消除离子,但高能量自由基仍可能导致不希望的膜损失。本文描述的系统和方法用于减少或过滤自由基的高能量尾部(如图7所示)以减少不希望的膜损失。
根据本公开的系统和方法使用一个或多个特征减少自由基能量。现在参考图8-9,气体分配装置114可被设计成使用包括具有预定表面积与体积(S/V)比的孔129的板128来输送气体。其中一个孔的孔表面积由2πrh*L定义,其中rh是孔的半径,L是气体分配装置的厚度。单孔的孔体积由πrh 2*L定义。因此,S/V比由下式给出:
Figure GDA0002410135160000171
其中N是孔的数量。
根据本公开的气体分配装置114的S/V比大于或等于4。在一些示例中,S/V比大于或等于5、6、7、8、9、10、11或更大,单位为(1/cm)。现有气体分配装置的S/V比的典型值通常小于2。较高的S/V比增加了离子通量去除率和高热能自由基去除率。换句话说,高能量尾部减少了。在一些示例中,气体分配装置的厚度在5mm至25mm的范围内。在一些示例中,与10mm至25mm范围内的典型孔直径相比,孔直径在1mm至5mm的范围内。在一些示例中,板128的厚度在5mm至25mm的范围内。在一些示例中,孔的数量在100至5000的范围内。板直径在6”至20”的范围内。
在图9中,可以使用气体分配装置来控制气体分配装置的温度,该气体分配装置包括流体(例如液体或气体)流过的流体充气室。流体入口310接收诸如气体或液体之类的流体。流体入口310与第一充气室314流体接触。流体流入流体入口310、通过第一充气室314(围绕气体分配装置114的周边)并流出流体出口320。在一些示例中,气体分配装置114包括其中形成第一充气室314的环形径向外部部分316。在一些示例中,环形径向外部部分316在轴向方向上比气体分配装置114的径向内部部分厚。流过第一充气室314的流体吸收热量以冷却气体分配装置114或气体分配装置114从流体吸收热量以加热气体分配装置114。结果,气体分配装置114的温度更均匀。
现在参考图10,在一些示例中,气体分配装置114可以包括用于将吹扫气体输送到下室区域102的第二充气室350。在一些示例中,第二充气室350延伸贯穿位于衬底126上方的气体分配装置114的整个表面。通向第二充气室350的气体入口354连接到吹扫气体源,例如上述的气体输送系统150-2。多个气孔360延伸穿过气体分配装置114的下表面,以将气体从第二充气室350朝向衬底126向下引导。在一些示例中,气体分配装置114可以使用两个或更多个具有相对表面的板制成,所述相对表面被加工以形成一个或两个充气室,然后使用密封件、焊接或其他方法将这些板连接在一起。
吹扫气体被引入到气体分配装置114下方的下室区域102中。吹扫气体比等离子体气体温度更低。吹扫气体与下游等离子体气体混合以降低总体气体温度。根据相对流速,吹扫气可以将到达衬底的等离子体气体的温度从300℃降低到100℃以下。Tmix的温度可以如下确定:
Figure GDA0002410135160000181
Qmain是下游等离子体气体流量,Qpurge是吹扫气体流量,Tmain是下游等离子体气体温度,Tpurge是等离子体气体温度。
现在参考图11,示出了等离子体气体流速和吹扫气体流速的示例,其中等离子体气体具有300℃的温度并且吹扫气体具有25℃的温度。
与现有设计相比,衬底126与气体分配装置114的底表面之间的间隙g可以增大。在一些示例中,间隙在20mm至80mm的范围内。通常,增大间隙往往会减少到达晶片表面的高能量物质。
使用较高的S/V比与本文所述的其他特征的组合可以用于将等离子体能量降低至小于1eV。使用这些特征实现的较低等离子体能量与不具有本文所述特征的下游等离子体的较高等离子体能量(在1eV至5eV范围内)相比是有利的。结果,从针对没有前述特征的下游等离子体的约10埃的膜损失显著降低到针对具有这些特征的下游等离子体的小于2埃(或1单层)的膜损失。
现在参考图12,示出了用于相对于衬底的一个或多个其他暴露层选择性地蚀刻衬底的一个层的方法300。在308处,将衬底布置在衬底处理系统的下室区域中。在312处,将蚀刻气体混合物供应至上室区域。在318处,将冷却流体供应到上室区域与下室区域之间的气体分配装置。在322处,将吹扫气体供应到气体分配装置。在328处,在上室中激励等离子体持续预定的蚀刻时间段以相对于衬底的另一层选择性地蚀刻衬底的一个层。在332处,将蚀刻气体混合物转变为蚀刻后气体混合物持续预定的蚀刻后时间段。在334处,等离子体熄灭。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,尽管本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是关于本公开的任何实施方式描述的那些特征中的任何一个或多个可以在任何其他实施方式的特征中实现和/或与任何其他实施方式的特征组合,即使该组合没有明确描述也如此。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间的空间和功能关系(例如,模块之间、电路元件之间、半导体层之间等),各种术语包括“连接”、“接合”、“耦合”、“相邻”、“邻近”、“在...顶部”、“在...上方”、“在……下方”和“设置”。当在上述公开中描述第一和第二元件之间的关系时,除非明确地描述为“直接”,否则该关系可以是在第一和第二元件之间不存在其它中间元件的直接关系,但也可以是其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件的间接关系。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,系统控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括工艺气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
概括地说,系统控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器或系统的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,系统控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能度量,以改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,系统控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,系统控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、CVD室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (21)

1.一种用于选择性地蚀刻衬底上的层的衬底处理系统,其包括:
上室区域;
围绕所述上室区域布置的感应线圈;
包括用于支撑衬底的衬底支撑件的下室区域;
气体分配装置,所述气体分配装置布置在所述上室区域和所述下室区域之间并且包括具有与所述上室区域和所述下室区域流体连通的多个孔的板,其中所述孔的表面积与所述孔的体积之比大于或等于4(cm-1);
RF发生器,其用于向所述感应线圈供应RF功率;
气体输送系统,其用于选择性地供应气体混合物;以及
控制器,所述控制器被配置为使所述气体输送系统选择性地将蚀刻气体混合物供应至所述上室区域并在所述上室区域中激励等离子体并且相对于所述衬底的暴露于所述等离子体的至少一个其他层选择性蚀刻所述衬底的暴露于所述等离子体的氮化硅层,所述衬底的所述至少一个其他层选自由硅锗(SiGe)和外延硅(epi-Si)组成的组。
2.根据权利要求1所述的衬底处理系统,其中所述板包括用于使所述气体分配装置中的流体循环以控制所述气体分配装置的温度的冷却充气室和用于将吹扫气体引导通过所述气体分配装置进入所述下室区域的吹扫气体充气室。
3.根据权利要求2所述的衬底处理系统,其中所述控制器进一步被配置成在预定蚀刻时间段后将所述等离子体转变成蚀刻后气体混合物,且其中所述蚀刻后气体混合物包括氢物质和氧化物物质。
4.根据权利要求3所述的衬底处理系统,其中所述控制器被配置成在不熄灭所述等离子体的情况下将来自所述蚀刻气体混合物的所述等离子体转变成所述蚀刻后气体混合物。
5.根据权利要求1所述的衬底处理系统,其中,所述板的厚度在5mm至25mm的范围内,所述孔的直径在1mm至5mm的范围内,所述孔的数量在100到5000的范围内,并且所述板的直径在6”到20”的范围内。
6.根据权利要求1所述的衬底处理系统,其中所述蚀刻气体混合物包括促进氮化物蚀刻和硅(Si)、硅锗(SiGe)和氧化物中的至少一种的钝化的气体。
7.根据权利要求6所述的衬底处理系统,其中促进氮化物蚀刻的所述气体包括选自由三氟化氮(NF3),二氟甲烷(CH2F2),四氟甲烷(CF4),氟代甲烷(CH3F),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体。
8.根据权利要求6所述的衬底处理系统,其中促进钝化的所述气体包括选自由氟代甲烷(CH3F),二氟甲烷(CH2F2),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合,甲烷(CH4),硫化羰(COS),硫化氢(H2S)及这些的组合组成的组中的一种或多种气体。
9.一种用于选择性地蚀刻衬底上的层的衬底处理系统,其包括:
衬底处理室,其包括:
上室区域;
包括用于支撑衬底的衬底支撑件的下室区域;和
气体分配装置,其布置在所述上室区域和所述下室区域之间并且包括与所述上室区域和所述下室区域流体连通的多个孔,
其中所述孔的表面积与所述孔的体积之比大于或等于4(cm-1);
其中所述气体分配装置包括:
冷却充气室,所述冷却充气室用于使所述气体分配装置的一部分中的流体循环并且控制所述气体分配装置的温度;以及
吹扫气体充气室,其用于将吹扫气体从所述气体分配装置沿进入所述下室区域的方向朝向所述衬底支撑件引导;以及
等离子体供应源,其用于在所述上室区域中产生等离子体或将等离子体供应到所述上室区域中的至少一者以相对于所述衬底的暴露于所述等离子体的至少一个其他层选择性蚀刻所述衬底的暴露于所述等离子体的氮化硅层,所述衬底的所述至少一个其他层选自由二氧化硅(SiO2)、碳氧氮化硅(SiOCN)、硅锗(SiGe)、非晶硅(a-Si)和外延硅(epi-Si)组成的组。
10.根据权利要求9所述的衬底处理系统,其中,所述气体分配装置的厚度在5mm到25mm的范围内,并且所述孔的直径在1mm到5mm的范围内。
11.根据权利要求9所述的衬底处理系统,其中,所述多个孔的数量在100至5000的范围内,并且所述气体分配装置的直径在6”至20”的范围内。
12.根据权利要求9所述的衬底处理系统,其中所述等离子体供应源使用等离子体气体混合物产生等离子体,所述等离子体气体混合物包括促进氮化物蚀刻以及促进硅(Si)、硅锗(SiGe)和氧化物中的至少一种的钝化的气体。
13.根据权利要求9所述的衬底处理系统,其中:
促进氮化物蚀刻的所述气体包括选自由三氟化氮(NF3),二氟甲烷(CH2F2),四氟甲烷(CF4),氟代甲烷(CH3F),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体;以及
促进钝化的所述气体包括选自由氟代甲烷(CH3F),二氟甲烷(CH2F2),甲烷(CH4),硫化羰(COS),硫化氢(H2S),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体。
14.一种用于选择性地蚀刻衬底上的层的方法,其包括:
提供衬底处理室,所述衬底处理室包括:
布置在上室区域和下室区域之间的气体分配装置,
所述下室区域包括用于支撑衬底的衬底支撑件,以及
所述气体分配装置包括板,所述板包括与所述上室区域和所述下室区域流体连通的多个孔,
其中所述孔的表面积与所述孔的体积之比大于或等于4;
将蚀刻气体混合物供应到所述上室区域;以及
在所述上室区域中激励等离子体并且相对于所述衬底的暴露于所述等离子体的至少一个其他层选择性蚀刻所述衬底的暴露于所述等离子体的氮化硅层,所述衬底的所述至少一个其他层选自由硅锗(SiGe)和外延硅(epi-Si)组成的组。
15.根据权利要求14所述的方法,其还包括:
将流体供应到所述气体分配装置中的冷却充气室以控制所述气体分配装置的温度;以及
向所述气体分配装置中的吹扫气体充气室供应吹扫气体,其中所述吹扫气体充气室将所述吹扫气体从所述气体分配装置朝向所述衬底支撑件引导。
16.根据权利要求15所述的方法,其还包括在预定蚀刻时间段之后将所述等离子体转变成蚀刻后气体混合物,其中所述蚀刻后气体混合物包括氢物质和氧化物物质。
17.根据权利要求16所述的方法,其中从所述蚀刻气体混合物到所述蚀刻后气体混合物的转变是在不熄灭所述等离子体的情况下进行的。
18.根据权利要求14所述的方法,其中所述板的厚度在5mm至25mm的范围内,所述孔的直径在1mm至5mm的范围内,所述孔的数量在100到5000的范围内,并且所述板的直径在6”到20”的范围内。
19.根据权利要求14所述的方法,其中所述蚀刻气体混合物包括促进氮化物蚀刻和硅(Si)、硅锗(SiGe)和氧化物中的至少一种的钝化的气体。
20.根据权利要求19所述的方法,其中促进氮化物蚀刻的所述气体包括选自由三氟化氮(NF3),二氟甲烷(CH2F2),四氟甲烷(CF4),氟代甲烷(CH3F),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体。
21.根据权利要求19所述的方法,其中促进钝化的所述气体包括选自由氟代甲烷(CH3F),二氟甲烷(CH2F2),甲烷(CH4),硫化羰(COS),硫化氢(H2S),分子氧、分子氮和一氧化二氮(O2/N2/N2O)的组合以及这些的组合组成的组中的一种或多种气体。
CN201810208164.1A 2017-03-14 2018-03-14 超高选择性的氮化物蚀刻以形成FinFET器件 Active CN108630578B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310365702.9A CN116631901A (zh) 2017-03-14 2018-03-14 超高选择性的氮化物蚀刻以形成FinFET器件

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/458,292 2017-03-14
US15/458,292 US11469079B2 (en) 2017-03-14 2017-03-14 Ultrahigh selective nitride etch to form FinFET devices

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310365702.9A Division CN116631901A (zh) 2017-03-14 2018-03-14 超高选择性的氮化物蚀刻以形成FinFET器件

Publications (2)

Publication Number Publication Date
CN108630578A CN108630578A (zh) 2018-10-09
CN108630578B true CN108630578B (zh) 2023-04-28

Family

ID=63519608

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201810208164.1A Active CN108630578B (zh) 2017-03-14 2018-03-14 超高选择性的氮化物蚀刻以形成FinFET器件
CN202310365702.9A Pending CN116631901A (zh) 2017-03-14 2018-03-14 超高选择性的氮化物蚀刻以形成FinFET器件

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310365702.9A Pending CN116631901A (zh) 2017-03-14 2018-03-14 超高选择性的氮化物蚀刻以形成FinFET器件

Country Status (4)

Country Link
US (2) US11469079B2 (zh)
KR (2) KR102521089B1 (zh)
CN (2) CN108630578B (zh)
TW (2) TW202333194A (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10699911B2 (en) * 2017-11-07 2020-06-30 Tokyo Electron Limited Method of conformal etching selective to other materials
US10818507B2 (en) * 2018-05-11 2020-10-27 Tokyo Electron Limited Method of etching silicon nitride layers for the manufacture of microelectronic workpieces
US10510553B1 (en) * 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
US10991594B2 (en) * 2018-06-22 2021-04-27 Tokyo Electron Limited Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces
CN112397411A (zh) * 2019-08-13 2021-02-23 台湾积体电路制造股份有限公司 包含抽出装置的制程系统及其监测方法
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002016056A (ja) * 2000-06-29 2002-01-18 Nec Corp リモートプラズマcvd装置及び膜形成方法
CN101136309A (zh) * 2006-05-04 2008-03-05 台湾积体电路制造股份有限公司 蚀刻装置、浸润槽及蚀刻方法
CN101599453A (zh) * 2008-06-04 2009-12-09 株式会社半导体能源研究所 制造半导体衬底的方法
CN104752171A (zh) * 2013-12-30 2015-07-01 台湾积体电路制造股份有限公司 间隙填充材料及方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
CA2126731A1 (en) * 1993-07-12 1995-01-13 Frank Jansen Hollow cathode array and method of cleaning sheet stock therewith
AU3145197A (en) * 1996-06-28 1998-01-21 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition
US20020000020A1 (en) * 1998-05-21 2002-01-03 Jimmie C. Walker Rechargeable industrial broom
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
JP2001164371A (ja) * 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
US6883802B2 (en) * 2002-06-26 2005-04-26 Prosoft Software, Inc. Puzzle
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US20040219790A1 (en) * 2003-04-30 2004-11-04 Wilson Aaron R Etching methods, RIE methods, and methods of increasing the stability of photoresist during RIE
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
WO2009114617A1 (en) * 2008-03-14 2009-09-17 Applied Materials, Inc. Methods for oxidation of a semiconductor device
BG66633B1 (bg) * 2011-03-28 2017-12-29 Ивайло Попов Адаптивен познавателен метод
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9627533B2 (en) * 2015-02-05 2017-04-18 International Business Machines Corporation High selectivity nitride removal process based on selective polymer deposition
JP6532066B2 (ja) * 2015-03-30 2019-06-19 東京エレクトロン株式会社 原子層をエッチングする方法
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9397197B1 (en) * 2015-09-23 2016-07-19 International Business Machines Corporation Forming wrap-around silicide contact on finFET
US9653359B2 (en) * 2015-09-29 2017-05-16 International Business Machines Corporation Bulk fin STI formation
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
CN206432233U (zh) * 2017-01-19 2017-08-22 江苏鲁汶仪器有限公司 刻蚀机的下电极载片台
CN107910284B (zh) * 2017-12-15 2018-10-12 广东工业大学 一种面向第三代半导体材料的加工装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002016056A (ja) * 2000-06-29 2002-01-18 Nec Corp リモートプラズマcvd装置及び膜形成方法
CN101136309A (zh) * 2006-05-04 2008-03-05 台湾积体电路制造股份有限公司 蚀刻装置、浸润槽及蚀刻方法
CN101599453A (zh) * 2008-06-04 2009-12-09 株式会社半导体能源研究所 制造半导体衬底的方法
CN104752171A (zh) * 2013-12-30 2015-07-01 台湾积体电路制造股份有限公司 间隙填充材料及方法

Also Published As

Publication number Publication date
CN108630578A (zh) 2018-10-09
US20180269070A1 (en) 2018-09-20
KR20230066515A (ko) 2023-05-16
US20230084901A1 (en) 2023-03-16
CN116631901A (zh) 2023-08-22
US11469079B2 (en) 2022-10-11
TW202333194A (zh) 2023-08-16
TWI791492B (zh) 2023-02-11
KR102521089B1 (ko) 2023-04-11
TW201843696A (zh) 2018-12-16
KR20180105072A (ko) 2018-09-27

Similar Documents

Publication Publication Date Title
CN106601612B (zh) 用于超高选择性的氮化物蚀刻的系统和方法
CN108630578B (zh) 超高选择性的氮化物蚀刻以形成FinFET器件
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US20150371864A1 (en) Low temperature gas-phase carbon removal
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
US9741584B1 (en) Densification of dielectric film using inductively coupled high density plasma
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
CN107665803B (zh) 用于蚀刻复合三维结构的压力吹扫蚀刻方法
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
WO2020185557A1 (en) Apparatus for cleaning plasma chambers
KR20190133789A (ko) 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant