JP2001164371A - プラズマcvd装置およびプラズマcvd成膜法 - Google Patents

プラズマcvd装置およびプラズマcvd成膜法

Info

Publication number
JP2001164371A
JP2001164371A JP34815799A JP34815799A JP2001164371A JP 2001164371 A JP2001164371 A JP 2001164371A JP 34815799 A JP34815799 A JP 34815799A JP 34815799 A JP34815799 A JP 34815799A JP 2001164371 A JP2001164371 A JP 2001164371A
Authority
JP
Japan
Prior art keywords
gas
plasma
substrate
plate
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP34815799A
Other languages
English (en)
Inventor
Katsuhisa Yuda
克久 湯田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP34815799A priority Critical patent/JP2001164371A/ja
Priority to TW089125858A priority patent/TW473866B/zh
Priority to US09/729,193 priority patent/US20010003014A1/en
Priority to KR10-2000-0073606A priority patent/KR100416027B1/ko
Publication of JP2001164371A publication Critical patent/JP2001164371A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 気相化学反応を用いて成膜を行うリモートプ
ラズマCVDにおいて、気相化学反応の過剰進行抑制と
均一膜形成を両立させる。提供する。 【解決手段】 酸素ガス18を高周波印加電極1に供給
し、酸素ラジカル及び酸素分子21を、酸素プラズマ2
2外の基板処理領域Rで導入されるモノシランガス19
と反応させ、基板3表面に成膜を行うリモートプラズマ
CVDにおいて、モノシランガス19を基板処理領域R
に導入する導入孔が配置されたプラズマ閉じ込め電極2
0と、基板3(被堆積基板)の垂直方向の距離が、基板
処理領域Rにおける成膜時平均自由行程λgの1500
倍以下となっており、かつ前記プラズマ閉じ込め電極2
0は、中空構造であってモノシランガス19(中性ガ)
を板内で均一化するためのガス拡散板(第1のガス拡散
板及び第2のガス拡散板)が設けられている。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、プラズマCVD装
置およびこれを用いたプラズマCVD方法に関し、特
に、プラズマ生成領域と基板処理領域とを分離するリモ
ートプラズマCVD装置、およびリモートプラズマCV
Dによる大面積均一に緻密な膜の形成方法に係わるもの
である。
【0002】
【従来の技術】プラズマダメージを抑制しながら基板へ
の膜形成を行うプラズマCVD装置の1つに、プラズマ
生成領域と基板処理領域Rとを分離するリモートプラズ
マCVD装置がある。このリモートプラズマ装置を用い
たCVD膜の形成は、半導体デバイスプロセスにおいて
高信頼性デバイスや高性能デバイスを作製するための、
薄膜作成の処理プロセスとして、非常に重要な技術とな
っている。
【0003】大面積フラットパネルディスプレイのスイ
ッチングトランジスタ形成プロセスと駆動回路トランジ
スタ形成プロセス、および大口径シリコンウエハプロセ
スなどの大型基板に対応できるリモートプラズマCVD
装置としては、例えば特開平5−21393に開示され
ているような平行平板リモートプラズマCVD装置が開
示されている。
【0004】この従来例のリモートプラズマCVD装置
における、平行平板型リモートプラズマCVD装置は、
図7に示すように、従来の平行平板プラズマCVD装置
において基板3の設置される対向電極2と高周波印加電
極1との間に、複数の孔が開いたメッシュプレートを用
いたプラズマ閉じ込め電極8が設けられている。そし
て、平行平板型リモートプラズマCVD装置は、このプ
ラズマ閉じ込め電極8と高周波印加電極1との間でプラ
ズマ6を閉じこめるものである。プラズマ閉じ込め電極
8と高周波印加電極1の平行平板間で閉じこめた大面積
均一なプラズマ6から、基板処理領域Rに中性ラジカル
4などのガスを供給するため、基板処理領域Rに供給さ
れた中性ラジカル4などの基板直上面内分布は大面積均
一となり、基板3における薄膜形成処理が大面積基板に
対しても均一に行えるという特長を有する。
【0005】さらに、上述の従来例においては、メッシ
ュプレートの孔、すなわちラジカル4の通過孔5の付近
に、中性ガス10を噴射する中性ガス噴射孔9が設けら
れており、ラジカル4と中性ガス10との気相反応を用
いるプロセスにおいても、基板3に対する膜の生成処理
において大面積均一な処理が可能となっている。
【0006】すなわち、図7に示す平行平板リモートプ
ラズマCVD装置により、基板処理領域Rにおいて気相
化学反応を伴う成膜(薄膜の生成処理)を行う場合に
は、反応に寄与する第1のガスのプラズマ(プラズマ
6)を形成し、このプラズマからプラズマ閉じ込め電極
8のラジカル通過孔5を通し、励起された第1のガスの
ラジカル(ラジカル4)及び励起されていない第1のガ
スを基板処理領域Rに供給し、中性ガス噴射孔9から供
給される第2のガスと反応させて、薄膜生成に必要な成
膜前駆体を形成する。
【0007】例えば、モノシラン(SiH4)と酸素(O
2)との反応による酸化シリコン成膜を行う場合には、
第1のガスを酸素とし、第2のガスをモノシランとす
る。このとき、ラジカル通過孔5及び中性ガス噴射孔9
が、プラズマ閉じ込め電極8に多数開口されているた
め、第2のガス(中性ガス10)が多数の中性ガス噴射
孔9から均一に供給されれば、基板処理領域Rにおける
上記気相反応は、基板3の直上面内で均一に起こり、基
板3の表面に均一な膜を形成することができる。
【0008】上述してきた理由から、平行平板型リモー
トプラズマCVD装置は、大型ガラス基板上に薄膜トラ
ンジスタのゲート絶縁膜となる酸化シリコン(SiO2)
膜や窒化シリコン膜(Si3N4もしくはSixNy)、同じ
く大型ガラス基板上に薄膜トランジスタの活性層やゲー
ト電極となる非晶質シリコン膜、さらに大型Si基板上
にトランジスタ素子の層間絶縁膜となる酸化シリコン膜
や窒化シリコン膜などを成膜する方法として有望視され
ている。
【0009】
【発明が解決しようとする課題】上述したようにラジカ
ル通過孔5の付近に中性ガス噴射孔9を設けて、中性ガ
ス噴射孔9から面内均一な中性ガス10の供給を行おう
とすると、上述した従来例(特開平5−21393)に
開示されているように、中空構造のプラズマ閉じ込め電
極8を用いることになる。この中空構造のプラズマ閉じ
込め電極8においては、図8の閉じ込め電極側面図およ
び図9の閉じ込め電極上面図に示すようにラジカル通過
孔5と中性ガス通過孔9とが各々独立に(分離されて)
設けられており、中空領域内でラジカル4と中性ガス1
0とが混ざり、中空領域内でラジカル4と中性ガス10
とが反応することはない。
【0010】ここで中空構造のプラズマ閉じ込め電極8
に真空チャンバ外部から中性ガス10を供給する方法と
して、従来例において開示されているのは、図9または
図10に示すように、プラズマ閉じ込め電極8側面部に
設けられた中性ガス導入管12から、中性ガス10をプ
ラズマ閉じ込め電極8の中空領域内に供給する方法であ
る。
【0011】この従来例の方法では、プラズマ閉じ込め
電極8における中空部内の圧力が基板処理領域Rの成膜
圧力と同程度、すなわち数十mTorr〜数百mTor
rと低圧である。このため図11の概念図に模式的に示
すように、中性ガス導入管12とプラズマ閉じ込め電極
8との接続部付近の中性ガス噴射孔9から大部分の中性
ガス10が噴射されてしまい、中性ガス導入管12から
遠い噴射孔9からは少量の中性ガス10しか噴射されく
なるので、基板3の表面に面内均一な中性ガス10の噴
射が困難となってしまうという欠点がある。
【0012】このように、表面への面内均一な中性ガス
10の噴射が困難な状況において、基板3表面に面内均
一な膜を形成するためには、中性ガス10を噴射するプ
ラズマ閉じ込め電極8と基板3との距離Dを長くすれば
よい。すなわち、第2のガス(中性ガス10)が面内不
均一に基板処理領域Rに供給され、第1のガスと気相化
学反応を起こすと、第2のガスが供給された付近では、
気相化学反応の結果生成された反応生成物(成膜前駆
体)の基板3直上面内分布も不均一となる。
【0013】しかしながら、上記距離Dが長ければ、第
2のガスおよび反応生成物が基板3まで移動する間に、
基板3表面に対して平行な方向へ拡散する時間が十分与
えられるので、基板3表面に到達する時点では、基板3
表面における面内分布が均一化する。この成膜方法にお
いては、CVDチャンバの幅Wに対して、プラズマ閉じ
込め電極8と基板3との距離Dが大きいと均一化作用を
得やすくなる。
【0014】例えば、500mm×600mmのガラス
基板に成膜を行う場合には、CVDチャンバの幅Wは、
800mm程度になり、プラズマ閉じ込め電極と基板と
の距離D13を同じ長さの800mm程度にすると十分
に均一化作用が現れる。しかしながら気相化学反応によ
る成膜においては、上述のように中性ガス10を噴射す
る噴射孔9の設けられたプラズマ閉じ込め電極8と、被
堆積基板(基板3)との距離Dを長くしてしまうと、中
性ラジカルを含む第1のガスと第2のガスとの気相反応
が過剰に進み、基板処理領域Rにおける気相中で、粒
(成膜前駆体)成長が進んでしまい、この成長した粒が
被堆積基板表面に堆積するため、生成された膜が疎密に
なりやすいという問題が生じる。
【0015】例えば、モノシランと酸素の気相化学反応
による酸化シリコン成膜を行う場合には、基板処理領域
Rにおける気相中で、パーティクル状のSiOx粒(成膜前
駆体)が成長することになる。上述の様に生成された疎
密な膜は欠陥密度が高いため、リーク電流が大きく絶縁
耐圧も低くなるため、薄膜トランジスタのゲート絶縁膜
などに使用することはできない。
【0016】本発明は、このような背景の下になされた
もので、気相化学反応によるリモートプラズマCVD方
法での成膜において、過剰な気相化学反応による粒成長
を起こさずに、被堆積基板上に緻密で面内均一な膜堆積
が行える、成膜前駆体を供給することのできるリモート
プラズマCVD装置およびリモートプラズマCVD成膜
法を提供する事にある。
【0017】
【課題を解決するための手段】上記の目的を達成するた
め本発明は、第2のガスを基板処理領域に導入する導入
孔が配置されたプラズマ閉じ込め電極板と被堆積基板の
垂直方向の距離が、基板処理領域における成膜時平均自
由行程λgの1500倍以下となっており、かつ前記プ
ラズマ閉じ込め電極板は中空構造であって第2のガスを
板内で均一化するためのガス拡散板が設けられているこ
とを特徴としている。拡散板によりプラズマ閉じ込め電
極板内で第2のガスが均一化されて基板処理領域に導入
されるため、基板直上面内での均一な気相化学反応が起
こり、かつ第2ガスが基板処理領域に導入されてから基
板に到達するまでに起こる様々な素化学反応の回数が制
限され、過剰反応による気相での粒成長が問題ないレベ
ルに抑制されるため、被堆積基板上に面内均一で緻密な
膜を形成することが出来る。また本発明では、第2のガ
スを基板処理領域に導入する導入孔が配置されプラズマ
閉じ込め電極と被堆積基板の間に位置するガス供給板と
被堆積基板の垂直方向の距離が、基板処理領域における
成膜時平均自由行程λgの1500倍以下となってお
り、かつ前記ガス供給板は中空構造であって第2のガス
を板内で均一化するためのガス拡散板が設けられている
ことを特徴としている。拡散板によりガス供給板内で第
2のガスが均一化されて基板処理領域に導入されるた
め、基板直上面内での均一な気相化学反応が起こり、か
つ第2ガスが基板処理領域に導入されてから基板に到達
するまでに起こる様々な素化学反応の回数が制限され、
過剰反応による気相での粒成長が問題ないレベルに抑制
されるため、被堆積基板上に面内均一で緻密な膜を形成
することが出来る。
【0018】請求項1記載の発明は、プラズマCVD装
置において、被堆積基板が設置される基板処理領域と、
第1のガスのプラズマを形成するプラズマ生成領域と、
前記基板処理領域とプラズマ生成領域とを分離して前記
第1のガスのプラズマを閉じ込め、第1のガスの前記プ
ラズマから中性ラジカルを含む第1のガスを通過させる
孔が配置されたプラズマ閉じ込め電極板を有するプラズ
マCVD装置であって、前記プラズマ閉じ込め電極板が
中空構造であり、内部に第2のガスをこのプラズマ閉じ
込め電極板内で均一化するためのガス拡散板が設けられ
ており、前記中性ラジカルとの気相化学反応によって前
記被堆積基板に所望の膜を形成する第2のガスを、前記
基板処理領域に導入する導入孔が前記プラズマ閉じ込め
電極板に配置され、前記プラズマ閉じ込め電極板と前記
被堆積基板との垂直方向の距離が、基板処理領域におけ
る成膜時平均自由行程λgの1500倍以下となってい
ることを特徴とする。
【0019】請求項2記載の発明は、請求項1記載のプ
ラズマCVD装置において、前記ガス拡散板が、プラズ
マ閉じ込め電極内で互いに平行に位置する複数枚の拡散
板であることを特徴とする。
【0020】請求項3記載の発明は、プラズマCVD成
膜方法において、プラズマ生成領域において第1のガス
のプラズマを形成する第1の過程と、前記プラズマ生成
領域において前記プラズマをプラズマ閉じ込め電極板に
より閉じ込める第2の過程と、プラズマ閉じ込め電極板
が、配置された孔を通して、前記プラズマから中性ラジ
カルを含む第1のガスを基板処理領域へ通過させる第3
の過程と、前記プラズマ閉じ込め電極板が、内部に設け
られた、第2のガスを均一化するガス拡散板により、被
堆積基板が設置される基板処理領域へ均一化された第2
のガスを供給する第4の過程と、前記中性ラジカルを含
む第1のガスと前記第2のガスとの気相化学反応によっ
て、被堆積基板に所望の膜を形成する第5の過程とを有
し、前記プラズマ閉じ込め電極板と前期被堆積基板との
垂直方向の距離が、基板処理領域における成膜時平均自
由行程λgの1500倍以下となっていることを特徴と
する。
【0021】請求項4記載の発明は、プラズマCVD装
置において、被堆積基板が設置される基板処理領域と、
第1のガスのプラズマを形成するプラズマ生成領域と、
前記基板処理領域とプラズマ生成領域とを分離して前記
第1のガスのプラズマを閉じ込め、第1のガスの前記プ
ラズマから中性ラジカルを含む第1のガスを通過させる
孔が配置されたプラズマ閉じ込め電極板を有するプラズ
マCVD装置であって、前記中性ラジカルを含む第1の
ガスとの気相化学反応によって、前記被堆積基板に所望
の膜を形成する第2のガスを基板処理領域に導入する複
数の導入孔が設けられたガス供給板を前記プラズマ閉じ
込め電極板と前記被堆積基板との間に有し、前記ガス供
給板は中空構造であって、内部に第2のガスを板内で均
一化するためのガス拡散板が設けられており、前記ガス
供給板と被堆積基板の垂直方向の距離が基板処理領域に
おける成膜時平均自由行程λgの1500倍以下となっ
ていることを特徴とする。
【0022】請求項5記載の発明は、請求項4記載のプ
ラズマCVD装置において、前記ガス拡散板が、ガス供
給坂内で互いに平行に位置する複数枚の拡散板であるこ
とを特徴とする。
【0023】請求項6記載の発明は、プラズマCVD成
膜方法において、プラズマ生成領域において第1のガス
のプラズマを形成する第1の過程と、前記プラズマ生成
領域において前記プラズマをプラズマ閉じ込め電極板に
より閉じ込める第2の過程と、プラズマ閉じ込め電極板
が、配置された孔を通して、前記プラズマから中性ラジ
カルを含む第1のガスをこのプラズマ閉じ込め電極板と
ガス供給板との間に供給する第3の過程と、前記ガス供
給板が、配置された複数の導入孔から中性ラジカルを含
む第1のガスを基板処理領域へ通過させる第4の過程
と、前記ガス供給板が、内部に設けられた、第2のガス
を均一化するガス拡散板により、被堆積基板が設置され
る基板処理領域へ均一化された第2のガスを供給する第
5の過程と、前記中性ラジカルを含む第1のガスと前記
第2のガスとの気相化学反応によって、被堆積基板に所
望の膜を形成する第6過程とを有し、前記ガス供給板と
前記被堆積基板との垂直方向の距離が、基板処理領域に
おける成膜時平均自由行程λgの1500倍以下となっ
ていることを特徴とする。
【0024】
【発明の実施の形態】以下、図面を参照して本発明の実
施形態について説明する。図1は本発明の一実施形態に
よるリモートプラズマCVD(化学的気相成長)装置の
構成の断面を示す概念図である。本発明の一実施形態を
酸素/シラン系の平行平板リモートプラズマCVD装置
における酸化シリコン膜形成を例にとり、以下に図を参
照して詳細に説明する。従来例と同様な構成について
は、同一の符号を付し、この構成の説明を省略する。
【0025】この図において、平行平板リモートプラズ
マCVD装置は、基本的には図1に示すように、真空排
気可能な真空チャンバ、高周波電源13,高周波印加電
極1,基板3を支持する対向電極2,中性ラジカルを含
むガスを通過(導入)させるラジカル通過孔5及び中性
ガス噴射孔5が設けられ、かつ電気的に接地されたプラ
ズマ閉じ込め電極20を備え、かつ、プラズマ閉じ込め
電極20の側面から中性ガス(例えば、モノシラン1
9)を導入する中性ガス導入管12によって構成されて
いる。
【0026】また、プラズマ閉じ込め電極20には、内
部にラジカル通過孔及び中性ガス噴射孔を有する拡散板
が設けらている。この拡散板を有するプラズマ閉じ込め
電極20の断面概略図を図2に示す。この図において、
プラズマ閉じ込め電極上部板26とプラズマ閉じ込め電
極下部板27とに挟まれた中空部に、モノシランガス
(中性ガス)19を均一に拡散するための複数のガス拡
散板、すなわち一実施形態では第1のガス拡散板23及
び第2のガス拡散板24が設けられて(配置されて)い
る。
【0027】図2においては、プラズマ閉じ込め電極上
部板26と第1のガス拡散板23との間にモノシランガ
ス19が供給され、モノシランガス19が第1のガス拡
散板23の孔9Aによって均一化され、さらに第2のガ
ス拡散板24の孔9Bによって均一化され、最後にプラ
ズマ閉じ込め電極下部板27に設けられた中性ガス噴射
孔9から、面内均一にモノシランガス19が基板3に向
かって噴射される。
【0028】ここで、孔9A,孔9B及び中性ガス噴射
孔9と、ラジカル通過孔5とは、酸素ラジカル及び酸素
分子21とモノシランガス19とが混合されないよう
に、分離されて(独立して)、プラズマ閉じ込め電極2
0内において、各々設けられている。上記の分離を行う
ために、ラジカル通過孔5は、モノシランの存在する領
域から隔離する壁で形成された繋がった孔であり、かつ
プラズマ閉じ込め電極上部板26とプラズマ閉じ込め電
極下部板27の間を貫通している。なお、図2では第1
の拡散板23と第2の拡散板24との2枚の拡散板を示
しているが、この拡散板は1枚でも2枚以上の複数枚で
も何枚でも良い。
【0029】プラズマ閉じ込め電極上部板26からプラ
ズマ閉じ込め電極下部板27の間に貫通されたラジカル
通過孔5の開口孔径は、発生させた酸素プラズマ22を
効率よく閉じ込められるように、発生させた酸素プラズ
マ22におけるプラズマのデバイ長の2倍以下程度の長
さに設定されている。
【0030】次に、図3は、プラズマ閉じ込め電極上部
板26とプラズマ閉じ込め電極下部板27の平面図を示
したものである。図3(a)はプラズマ閉じ込め電極上
部板26の平面図を示し、図3(b)はプラズマ閉じ込
め電極下部板27の平面図を示している。
【0031】ここで、図3(a)において、プラズマ閉
じ込め電極上部板26には、閉じ込められた酸素プラズ
マ22から、中性ラジカルを含むガスを通過させるラジ
カル通過孔5が所定の間隔に板内で均一に開孔されてい
る。また、図3(b)において、プラズマ閉じ込め電極
下部板27には、閉じ込められた酸素プラズマ22か
ら、中性ラジカルを含むガスを通過させるラジカル通過
孔5が所定の間隔に板内均一に開孔され、このラジカル
通過孔5と一致しない位置に、中性ガス噴射孔9が所定
の間隔に板内均一に開孔されている。
【0032】次に、図4は、ガス拡散板(第1のガス拡
散板23及び第2のガス拡散板24)の平面図を示して
いる。ここで、上記2枚のガス拡散板、第1のガス拡散
板23及び第2のガス拡散板24は、図2の第1のガス
拡散板23と第2のガス拡散板24に対応している。
【0033】図4(a)において、第1のガス拡散板2
3には、中性ラジカルを含むガスを通過させるラジカル
通過孔5が所定の間隔に板内で均一に開孔され、中性ガ
ス通過孔9が、中心付近の所定の領域Qの、ラジカル通
過孔5と一致しない位置に、均一に開孔されている。ま
た、図4(b)において、第2のガス拡散板24には、
中性ラジカルを含むガスを通過させるラジカル通過孔5
が所定の間隔に板内で均一に開孔され、中性ガス通過孔
9が、中心付近の所定の領域Pの、ラジカル通過孔5と
一致しない位置に、均一に開孔されている。
【0034】ここで、領域Pは、第1のガス拡散板23
と第2のガス拡散板24とを、プラズマ閉じ込め電極2
0に設置するときこの2枚の拡散板を重ねた場合、平面
視において上記領域Qを含み、かつ領域Qより広い領域
を示す。すなわち、第2のガス拡散板24において、中
性ガス通過孔9が第1のガス拡散板23での開孔位置と
同様の位置に開孔されているだけではなく、さらにその
外周領域にも中性ガス通過孔9が開孔されている。
【0035】拡散板内全面において、均一に中性ガス通
過の孔を開口してもよいが、上述したように、図4に示
すように複数の重ねられる拡散板の孔の位置を工夫する
ことにより、図11に示すように中性ガス導入管12付
近で大量のガスが基板処理領域Rに噴射されてしまうの
を防ぐことができ、基板3の表面に対して、より面内均
一な中性ガス(例えばモノシランガス19等)の供給を
行うことができる。
【0036】また、拡散板の構成において、第1のガス
拡散板23と第2のガス拡散板24とを、プラズマ閉じ
込め電極20に設置するときこの2枚の拡散板を重ねた
場合、複数の拡散板同士のモノシランガス(中性ガス)
19を流す孔、すなわち孔9Aと孔9Bとが、平面視に
おいて重ならないように(直線上に位置しないように)
設ける構成も可能である。
【0037】次に、図1,図2,図3および図4を参照
して、本発明の一実施形態によるリモートプラズマCV
D装置による、基板3表面への酸化シリコン膜の形成方
法について、以下に説明する。真空排気状態にある(所
定の圧力となっている)CVDチャンバ内で、高周波印
加電極1に酸素ガス18を導入し、この酸素ガス18が
高周波印加電極1の下面からプラズマ閉じ込め電極20
の方向に均一に供給され、拡散板(図4に示す第1のガ
ス拡散板23及び第2の拡散板24)を有するプラズマ
閉じ込め電極20との間で、高周波電源13から高周波
印加電極1に供給される高周波によりグロー放電を起こ
させる。
【0038】このグロー放電により発生された酸素プラ
ズマ22は、高周波印加電極1とプラズマ閉じ込め電極
20との間で効率よく閉じこめられる。その結果、例え
ば、酸素プラズマ22におけるプラズマ密度が1010
-3程度であるのに対し、プラズマ閉じ込め電極20と
対向電極2(または基板3)との間のプラズマ密度は1
5cm-3〜106cm-3程度となっている。
【0039】すなわち、酸素プラズマ22中には、電
子,酸素原子イオン,酸素分子イオン,酸素原子ラジカ
ル,酸素分子ラジカル、酸素分子が存在するが、プラズ
マ外に侵入する電子およびイオンは無視できる程度の量
であることを示している。したがって、酸素プラズマ2
2外において、すなわち基板処理領域Rに噴射されるモ
ノシランガス19と反応し、酸化シリコン膜成膜に寄与
するのは、酸素原子ラジカル,酸素分子ラジカル,およ
び励起されない酸素分子である。
【0040】そして、酸素ラジカルおよび酸素分子21
は、ラジカル通過孔5を通って基板処理領域Rに拡散
し、中性ガス噴射孔9から噴射されたモノシランガス1
9と気相化学反応を起こす。この気相化学反応により、
SiOx、SiOxHy、SiHyなどの酸化シリコン
前駆体(成膜前駆体)が形成され、この形成された酸化
シリコン前駆体が基板3表面に堆積されることにより、
基板3表面に酸化シリコン膜を形成する。
【0041】ここでプラズマ閉じ込め電極20と基板3
との距離D(垂直方向の距離)は、基板処理領域Rにお
ける酸素(酸素ラジカルおよび酸素分子21)/モノシ
ラン混合ガスの平均自由工程λgの1500倍以下(た
だし、「0」を超える数)になるよう設定されている。
この距離Dにより、気相化学反応が、過剰に進むのを抑
制しているため、SiOx、SiOxHy、SiHyな
どの酸化シリコン膜前駆体が、基板処理領域Rにおける
気相で、粒成長することによって、パーティクル状の大
きさに成長することがない。
【0042】例えば、ガス温度300℃、チャンバ圧力
250mTorrにおいて、酸素/モノシラン混合ガス
の平均自由工程λgは約60μmであるため、プラズマ
閉じ込め電極と基板との距離Dは90mm以下とすれば
よい。実際に、酸化シリコン膜の成膜を行った例とし
て、基板温度300℃、基板処理領域R圧力250mT
orr、高周波印加電極1を通してプラズマ領域へ供給
する酸素流量800sccm、中性ガス導入管12へ供
給するモノシランガス流量5sccmの条件で成膜した
酸化シリコン膜を、MOS(金属・酸化膜・半導体)キ
ャパシタのゲート絶縁膜としたときのリーク電流特性を
図5に示す。
【0043】図5において、プラズマ閉じ込め電極20
と基板3との距離Dを、300mmにした場合と、60
mmにした場合とで、リーク電流密度値が大きく変わっ
ている。すなわち、プラズマ閉じ込め電極20と基板3
との距離Dを、60mmとして形成した膜のリーク電流
特性は、シリコン熱酸化膜の電流特性に近く、良好であ
り、薄膜トランジスタのゲート絶縁膜や層間絶縁膜とし
て用いることが可能な電気的絶縁特性及び耐圧を有して
いる。
【0044】これに対して、プラズマ閉じ込め電極20
と基板3との距離Dを、300mmとして形成した膜の
リーク電流特性は、低電界領域から大きなリーク電流が
流れており、薄膜トランジスタのゲート絶縁膜や層間絶
縁膜には、電気的絶縁特性及び耐圧が低く、用いること
ができない。
【0045】上述した実験条件において、基板処理領域
Rにおける酸素/モノシラン混合ガスの平均自由工程λ
gは、約60μmである。このとき、電気的絶縁特性及
び耐圧が低い方のプラズマ閉じ込め電極20と基板3と
の距離D、すなわち、300mmは、上記平均自由工程
λgの約5000倍に相当する。
【0046】一方、電気的絶縁特性及び耐圧が低い方の
プラズマ閉じ込め電極20と基板3との距離D、すなわ
ち60mmは、上記平均自由工程λgの約1000倍に
相当する。上記平均自由工程λgの5000倍もプラズ
マ閉じ込め電極20と基板3との距離Dが大きいと、酸
素ラジカルおよび酸素分子21と、モノシランガス19
との気相化学反応が進みすぎ、基板処理領域Rにおける
気相中で粒成長したパーティクルが基板3表面に膜とし
て堆積し、基板3表面に粗密な膜を形成してしまったと
推察される。
【0047】これに対して、上記平均自由工程λgの1
000倍程度のプラズマ閉じ込め電極20と基板3との
距離Dであれば、酸素ラジカルおよび酸素分子21と、
モノシランガス19との気相化学反応は進みすぎること
が無く、気相中での粒成長が制限され、基板3表面にお
いてパーティクル状の酸化シリコン膜前駆体が膜として
堆積することはないと推察される。
【0048】また、上述したように、プラズマ閉じ込め
電極20と対向電極2との間のプラズマ密度は非常に低
くなっているために、通常の平行平板プラズマCVDに
比べて基板3へのプラズマダメージは非常に低く抑えら
れている。
【0049】この効果は、基板3の表面がMOS界面を
形成するシリコン表面の場合には、顕著に現れ、通常の
平行平板プラズマCVDで単結晶シリコン基板上にSi
O2膜を形成した場合に、そのMOS界面準位密度がミ
ッドギャップ付近で1011〜1012cm-2eV-1である
のに対し、平行平板リモートプラズマCVDで酸化シリ
コン膜を形成した場合には、〜1010cm-2eV-1程度
の低い界面準位密度となる。
【0050】以上、本発明の一実施形態を図面を参照し
て詳述してきたが、具体的な構成はこの実施形態に限ら
れるものではなく、本発明の要旨を逸脱しない範囲の設
計変更等があっても本発明に含まれる。例えば、図6を
用いて、第2の実施形態による平行平板リモートプラズ
マCVDを説明する。図6は、平行平板型リモートプラ
ズマCVD装置の構成の断面を示す概念図である。従来
例及び一実施形態と同様な構成については、同一の符号
を付し、この構成の説明を省略する。
【0051】この図において、図6の平行平板型リモー
トプラズマCVD装置が、図1の平行平板リモートプラ
ズマCVD装置と異なるのは、中性ガス導入管12が接
続されて中性ガス(モノシランガス19)をその中に導
入し、内部に設けられたガス拡散板でガス濃度を均一化
して基板側へ噴射するガス供給板29がプラズマ閉じ込
めの機能を有しないことである。
【0052】このため、拡散板を有するガス供給板29
のラジカル通過孔5は、ラジカル4の均一噴射が可能で
あればその孔径は任意である。また、電気的に接地せず
に電気的浮遊状態で使用することも可能である。すなわ
ち、ガス供給板29は、構成自体は一実施形態における
プラズマ閉じ込め電極20と同様であるが、接地されて
いない点とラジカル通過孔の孔径が異なる。
【0053】なお、このガス供給板29は、プラズマ閉
じ込め電極8と対向電極2との間に位置しており、ガス
供給板29と基板3との距離Fが基板処理領域Rにおけ
る酸素(酸素ラジカル及び酸素分子21)/モノシラン
混合ガスの平均自由工程λgの1500倍以下(ただ
し、「0」を超える数)になるよう設定されている。
【0054】上記以外については、第2の実施形態にお
ける、拡散板を有するガス供給板29と、一実施形態に
おける、拡散板を有するプラズマ閉じ込め電極20と
は、同様の構造を有している。また、ガス供給板29内
のガス拡散板の構造及びガス拡散板の数,ガス拡散板に
おけるラジカル通過孔及び中性ガスを通過させる孔の分
布の関係などに関する考え方は、一実施形態におけるプ
ラズマ閉じ込め電極20内に設けられるガス拡散板(第
1のガス拡散板及び第2のガス拡散板)と同様である。
【0055】また、ガス供給板29と基板3との距離F
に対する考え方も、一実施形態におけるプラズマ閉じ込
め電極20と基板3との距離Dに関する考え方と同様で
あり、酸素ラジカルおよび酸素分子21と、モノシラン
ガス19の気相化学反応が進みすぎることが無く、気相
中での粒成長が制限され、パーティクルが膜として基板
3の表面に堆積することはない。
【0056】以上の一実施形態及び第2の実施形態にお
いては、モノシランと酸素を用いた酸化シリコン膜形成
を例にあげて本発明の説明を行ったが、モノシランのか
わりにジシランなどの高次シランやTEOS(Tetr
aethoxysilane)などの液体Si原料など
でもよく、酸素のかわりに亜酸化窒素、酸化窒素などを
用いても良い。
【0057】また、上述した一実施形態及び第2の実施
形態におけるリモートプラズマCVD装置は、酸化シリ
コン膜形成を例にあげて説明を行ったが、モノシランと
アンモニアとの反応による窒化シリコン膜形成など、他
の材料系の気相化学反応を伴うプラズマCVD成膜に用
いても、生成された膜に対して、一実施形態及び第2の
実施形態で生成された膜と同様の効果を得ることができ
る。
【0058】さらに以上の実施の形態においては、平行
平板リモートプラズマCVD装置を用いた例をあげた
が、本発明は、プラズマ生成領域と基板処理領域Rとの
間に複数の孔が設けられた、プラズマ分離用のプラズマ
閉じ込め電極を有するプラズマCVD装置であれば、マ
イクロ波プラズマ、電子サイクロトロン共鳴プラズマ、
誘導結合プラズマ、ヘリコン波プラズマを用いたプラズ
マCVD装置など、どのような形態の装置であっても適
用される。
【0059】
【発明の効果】本発明のリモートプラズマCVD装置に
よれば、気相化学反応により成膜を行うリモートプラズ
マCVDにおいて、気相化学反応の過剰な進行を抑制す
ることができ、かつ、プラズマ領域外で噴射する中性ガ
スの濃度を、被堆積基板上において均一にすることがで
きる。したがって、本発明のリモートプラズマCVD装
置によれば、MOS素子のゲート絶縁膜や層間絶縁膜を
作製する際、パーティクルなどを含まない緻密な膜を大
面積基板に均一に形成することができる。
【図面の簡単な説明】
【図1】 本発明の第1の実施の形態における平行平板
リモートプラズマCVD装置の側面概略図である。
【図2】 本発明の第1の実施形態における、拡散板を
有するプラズマ閉じこめ電極の断面概略図である。
【図3】 本発明の第1の実施の形態における、拡散板
を有するプラズマ閉じこめ電極の上部板と下部板の平面
概略図である。
【図4】 本発明の第1の実施の形態における拡散板の
平面概略図である。
【図5】 堆積した酸化シリコン膜のリーク電流特性を
示した図である。
【図6】 本発明の第2の実施の形態における平行平板
リモートプラズマCVD装置の側面概略図である。
【図7】 従来例における平行平板リモートプラズマC
VD装置の側面概略図である。
【図8】 従来例における中空構造のプラズマ閉じ込め
電極の断面概略図である。
【図9】 従来例における中空構造のプラズマ閉じ込め
電極の平面概略図である。
【図10】 従来例において、中空構造のプラズマ閉じ
込め電極に真空チャンバ外部より中性ガスを供給する方
法を示した、平行平板リモートプラズマCVD装置の側
面概略図である。
【図11】 従来例における中空構造のプラズマ閉じ込
め電極において、ガスの噴射の様子を示すプラズマ閉じ
込め電極の断面概略図である。
【符号の説明】
1 高周波印加電極 2 対向電極 3 基板 4 ラジカル 5 ラジカル通過孔 6 プラズマ 7 チャンバ壁 8 プラズマ閉じ込め電極 9 中性ガス噴射孔 10 中性ガス 11 プラズマ分解用中性ガス 12 中性ガス導入管 13 高周波電源 16 真空排気 18 酸素ガス 19 モノシランガス 20 拡散板を有するプラズマ閉じ込め電極(プラズマ閉
じ込め電極) 21 酸素ラジカルおよび酸素分子 22 酸素プラズマ 23 第1のガス拡散板 24 第2のガス拡散板 26 プラズマ閉じ込め電極上部板 27 プラズマ閉じ込め電極下部板 29 拡散板を有するガス供給板
フロントページの続き Fターム(参考) 4K030 AA06 AA14 BA29 BA44 CA12 FA03 JA03 KA12 KA15 KA17 KA30 4M104 DD44 5F045 AA08 AA16 AB32 AC01 AC11 AD07 AE19 BB02 DP03 EF14 EH06 EH14 EH18

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 被堆積基板が設置される基板処理領域
    と、第1のガスのプラズマを形成するプラズマ生成領域
    と、前記基板処理領域とプラズマ生成領域とを分離して
    前記第1のガスのプラズマを閉じ込め、第1のガスの前
    記プラズマから中性ラジカルを含む第1のガスを通過さ
    せる孔が配置されたプラズマ閉じ込め電極板を有するプ
    ラズマCVD装置であって、 前記プラズマ閉じ込め電極板が中空構造であり、内部に
    第2のガスをこのプラズマ閉じ込め電極板内で均一化す
    るためのガス拡散板が設けられており、前記中性ラジカ
    ルを含む第1のガスとの気相化学反応によって前記被堆
    積基板に所望の膜を形成する第2のガスを、前記基板処
    理領域に導入する導入孔が前記プラズマ閉じ込め電極板
    に配置され、 前記プラズマ閉じ込め電極板と前記被堆積基板との垂直
    方向の距離が、基板処理領域における、前記中性ラジカ
    ルと前記第2のガスとの混合ガスの成膜時における平均
    自由行程λgの1500倍以下となっていることを特徴
    とするプラズマCVD装置。
  2. 【請求項2】 前記ガス拡散板が、プラズマ閉じ込め電
    極内で互いに平行に位置する複数枚の拡散板であること
    を特徴とする請求項1記載のプラズマCVD装置。
  3. 【請求項3】 プラズマ生成領域において第1のガスの
    プラズマを形成する第1の過程と、 前記プラズマ生成領域において前記プラズマをプラズマ
    閉じ込め電極板により閉じ込める第2の過程と、 プラズマ閉じ込め電極板が、配置された孔を通して、前
    記プラズマから中性ラジカルを基板処理領域へ通過させ
    る第3の過程と、 前記プラズマ閉じ込め電極板が、内部に設けられた、第
    2のガスを均一化するガス拡散板により、被堆積基板が
    設置される基板処理領域へ均一化された第2のガスを供
    給する第4の過程と、 前記中性ラジカルを含む第1のガスと前記第2のガスと
    の気相化学反応によって、被堆積基板に所望の膜を形成
    する第5の過程とを有し、 前記プラズマ閉じ込め電極板と前記被堆積基板との垂直
    方向の距離が、基板処理領域における成膜時平均自由行
    程λgの1500倍以下となっていることを特徴とする
    プラズマCVD成膜方法。
  4. 【請求項4】 被堆積基板が設置される基板処理領域
    と、第1のガスのプラズマを形成するプラズマ生成領域
    と、前記基板処理領域とプラズマ生成領域とを分離して
    前記第1のガスのプラズマを閉じ込め、第1のガスの前
    記プラズマから中性ラジカルを含む第1のガスを通過さ
    せる孔が配置されたプラズマ閉じ込め電極板を有するプ
    ラズマCVD装置であって、 前記中性ラジカルを含む第1のガスとの気相化学反応に
    よって、前記被堆積基板に所望の膜を形成する第2のガ
    スを基板処理領域に導入する複数の導入孔が設けられた
    ガス供給板を前記プラズマ閉じ込め電極板と前期被堆積
    基板との間に有し、 前記ガス供給板は中空構造であって、内部に第2のガス
    を板内で均一化するためのガス拡散板が設けられてお
    り、前記ガス供給板と前記被堆積基板の垂直方向の距離
    が基板処理領域における成膜時平均自由行程λgの15
    00倍以下となっていることを特徴とするプラズマCV
    D装置。
  5. 【請求項5】 前記ガス拡散板が、ガス供給坂内で互い
    に平行に位置する複数枚の拡散板であることを特徴とす
    る請求項4記載のプラズマCVD装置。
  6. 【請求項6】 プラズマ生成領域において第1のガスの
    プラズマを形成する第1の過程と、 前記プラズマ生成領域において前記プラズマをプラズマ
    閉じ込め電極板により閉じ込める第2の過程と、 プラズマ閉じ込め電極板が、配置された孔を通して、前
    記プラズマから中性ラジカルを含む第1のガスをこのプ
    ラズマ閉じ込め電極板とガス供給板との間に供給する第
    3の過程と、 前記ガス供給板が、配置された複数の導入孔から中性ラ
    ジカルを含む第1のガスを基板処理領域へ通過させる第
    4の過程と、 前記ガス供給板が、内部に設けられた、第2のガスを均
    一化するガス拡散板により、被堆積基板が設置される基
    板処理領域へ均一化された第2のガスを供給する第5の
    過程と、 前記中性ラジカルを含む第1のガスと前記第2のガスと
    の気相化学反応によって、被堆積基板に所望の膜を形成
    する第6の過程とを有し、 前記ガス供給板と前記被堆積基板との垂直方向の距離
    が、基板処理領域における成膜時平均自由行程λgの1
    500倍以下となっていることを特徴とするプラズマC
    VD成膜方法。
JP34815799A 1999-12-07 1999-12-07 プラズマcvd装置およびプラズマcvd成膜法 Pending JP2001164371A (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP34815799A JP2001164371A (ja) 1999-12-07 1999-12-07 プラズマcvd装置およびプラズマcvd成膜法
TW089125858A TW473866B (en) 1999-12-07 2000-12-05 Plasma CVD apparatus and plasma CVD method
US09/729,193 US20010003014A1 (en) 1999-12-07 2000-12-05 Plasma CVD apparatus and plasma CVD method
KR10-2000-0073606A KR100416027B1 (ko) 1999-12-07 2000-12-06 플라즈마 cvd 장치 및 플라즈마 cvd 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP34815799A JP2001164371A (ja) 1999-12-07 1999-12-07 プラズマcvd装置およびプラズマcvd成膜法

Publications (1)

Publication Number Publication Date
JP2001164371A true JP2001164371A (ja) 2001-06-19

Family

ID=18395137

Family Applications (1)

Application Number Title Priority Date Filing Date
JP34815799A Pending JP2001164371A (ja) 1999-12-07 1999-12-07 プラズマcvd装置およびプラズマcvd成膜法

Country Status (4)

Country Link
US (1) US20010003014A1 (ja)
JP (1) JP2001164371A (ja)
KR (1) KR100416027B1 (ja)
TW (1) TW473866B (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004111506A (ja) * 2002-09-17 2004-04-08 Anelva Corp シリコン酸化膜作製方法
KR100441297B1 (ko) * 2001-09-14 2004-07-23 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
WO2005050723A1 (ja) * 2003-11-19 2005-06-02 Tokyo Electron Limited プラズマ成膜装置及びプラズマ成膜方法
CN100386668C (zh) * 2004-05-12 2008-05-07 应用材料股份有限公司 采用气体扩散板通道设计的等离子体均匀度控制
WO2008123060A1 (ja) * 2007-03-28 2008-10-16 Canon Anelva Corporation 真空処理装置
JP2011238900A (ja) * 2010-04-16 2011-11-24 Canon Anelva Corp 磁気抵抗効果素子の製造方法
US8075690B2 (en) 2004-09-20 2011-12-13 Applied Materials, Inc. Diffuser gravity support
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
KR101813499B1 (ko) * 2016-06-20 2018-01-02 (주)제이하라 플라즈마 발생장치
US10083830B2 (en) 2007-11-02 2018-09-25 Canon Anelva Corporation Substrate cleaning method for removing oxide film
US10692686B2 (en) 2016-10-21 2020-06-23 Jehara Corporation Surface treatment apparatus using plasma
JP2022519663A (ja) * 2019-02-06 2022-03-24 エヴァテック・アーゲー イオンを生成する方法および装置

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6461972B1 (en) * 2000-12-22 2002-10-08 Lsi Logic Corporation Integrated circuit fabrication dual plasma process with separate introduction of different gases into gas flow
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
JP3671966B2 (ja) * 2002-09-20 2005-07-13 日新電機株式会社 薄膜形成装置及び方法
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100963287B1 (ko) * 2008-02-22 2010-06-11 주식회사 유진테크 기판처리장치 및 기판처리방법
CN102017057B (zh) * 2008-05-02 2012-11-28 欧瑞康太阳能股份公司(特吕巴赫) 用于基板的等离子体辅助处理的等离子体处理装置和方法
WO2010027841A2 (en) * 2008-08-27 2010-03-11 Ovshinsky Innovation High speed deposition of materials having low defect density
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP5432395B1 (ja) 2013-02-28 2014-03-05 三井造船株式会社 成膜装置及び成膜方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
CN109207965B (zh) * 2017-07-04 2020-11-10 上海稷以科技有限公司 平板电极结构和等离子体沉积设备
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
KR102527232B1 (ko) * 2018-01-05 2023-05-02 삼성디스플레이 주식회사 표시 장치의 제조장치 및 표시 장치의 제조방법
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3161394B2 (ja) * 1997-12-03 2001-04-25 日本電気株式会社 プラズマcvd装置

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100441297B1 (ko) * 2001-09-14 2004-07-23 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
JP2004111506A (ja) * 2002-09-17 2004-04-08 Anelva Corp シリコン酸化膜作製方法
US7658799B2 (en) 2003-11-19 2010-02-09 Tokyo Electron Limited Plasma film-forming apparatus and plasma film-forming method
KR100812829B1 (ko) * 2003-11-19 2008-03-11 동경 엘렉트론 주식회사 플라즈마 성막 장치 및 플라즈마 성막 방법
WO2005050723A1 (ja) * 2003-11-19 2005-06-02 Tokyo Electron Limited プラズマ成膜装置及びプラズマ成膜方法
CN100386668C (zh) * 2004-05-12 2008-05-07 应用材料股份有限公司 采用气体扩散板通道设计的等离子体均匀度控制
US9200368B2 (en) 2004-05-12 2015-12-01 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US10312058B2 (en) 2004-05-12 2019-06-04 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US10262837B2 (en) 2004-05-12 2019-04-16 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8075690B2 (en) 2004-09-20 2011-12-13 Applied Materials, Inc. Diffuser gravity support
WO2008123060A1 (ja) * 2007-03-28 2008-10-16 Canon Anelva Corporation 真空処理装置
US7780790B2 (en) 2007-03-28 2010-08-24 Canon Anelva Corporation Vacuum processing apparatus
US10083830B2 (en) 2007-11-02 2018-09-25 Canon Anelva Corporation Substrate cleaning method for removing oxide film
JP2011238900A (ja) * 2010-04-16 2011-11-24 Canon Anelva Corp 磁気抵抗効果素子の製造方法
KR101813499B1 (ko) * 2016-06-20 2018-01-02 (주)제이하라 플라즈마 발생장치
US10692686B2 (en) 2016-10-21 2020-06-23 Jehara Corporation Surface treatment apparatus using plasma
JP2022519663A (ja) * 2019-02-06 2022-03-24 エヴァテック・アーゲー イオンを生成する方法および装置

Also Published As

Publication number Publication date
US20010003014A1 (en) 2001-06-07
KR20010062151A (ko) 2001-07-07
TW473866B (en) 2002-01-21
KR100416027B1 (ko) 2004-01-31

Similar Documents

Publication Publication Date Title
JP2001164371A (ja) プラズマcvd装置およびプラズマcvd成膜法
KR100417011B1 (ko) 큰 면적의 화학 기상 성장막용 플라즈마 화학 기상 성장장치
JP4371543B2 (ja) リモートプラズマcvd装置及び膜形成方法
JP4382265B2 (ja) 酸化シリコン膜の形成方法及びその形成装置
JP4791637B2 (ja) Cvd装置とこれを用いた処理方法
KR19980087249A (ko) 산화 규소막, 그의 형성 방법 및 형성 장치
WO2009093459A1 (ja) 原子層成長装置および薄膜形成方法
JPH02114530A (ja) 薄膜形成装置
US20070221129A1 (en) Apparatus for depositing atomic layer using gas separation type showerhead
JP3682178B2 (ja) プラズマ処理方法及びプラズマ処理装置
JPH06333857A (ja) 成膜装置および成膜方法
TWI727316B (zh) 基板處理裝置
JP4890012B2 (ja) プラズマcvd装置
KR20130108803A (ko) 기판 처리 장치 및 기판 처리 방법
JP2630089B2 (ja) マイクロ波プラズマ処理装置
KR20180134809A (ko) 기판 처리 장치 및 기판 처리 방법
KR102146793B1 (ko) 기판 처리 장치
JP3272187B2 (ja) 半導体薄膜の形成装置及び形成方法
JP2993813B2 (ja) プラズマcvd装置
JP5078656B2 (ja) 原子層成長装置
TW202410259A (zh) 氣體噴射裝置、基板處理設備及沉積薄膜的方法
JP2022552122A (ja) 基板処理装置{substrate processing device}
JP2002016006A (ja) 表面処理装置及び表面処理方法
CN116356293A (zh) 气体供应单元和包括气体供应单元的衬底处理设备
JPH11288891A (ja) Cvd装置

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20030708