KR100417011B1 - 큰 면적의 화학 기상 성장막용 플라즈마 화학 기상 성장장치 - Google Patents

큰 면적의 화학 기상 성장막용 플라즈마 화학 기상 성장장치 Download PDF

Info

Publication number
KR100417011B1
KR100417011B1 KR10-2000-0066426A KR20000066426A KR100417011B1 KR 100417011 B1 KR100417011 B1 KR 100417011B1 KR 20000066426 A KR20000066426 A KR 20000066426A KR 100417011 B1 KR100417011 B1 KR 100417011B1
Authority
KR
South Korea
Prior art keywords
gas
plate
neutral gas
neutral
holes
Prior art date
Application number
KR10-2000-0066426A
Other languages
English (en)
Other versions
KR20010051570A (ko
Inventor
유다카츠히사
이케모토마나부
Original Assignee
닛뽄덴끼 가부시끼가이샤
아넬바 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 닛뽄덴끼 가부시끼가이샤, 아넬바 가부시기가이샤 filed Critical 닛뽄덴끼 가부시끼가이샤
Publication of KR20010051570A publication Critical patent/KR20010051570A/ko
Application granted granted Critical
Publication of KR100417011B1 publication Critical patent/KR100417011B1/ko

Links

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 CVD 장치는 제 1 및 제 2의 전극과, 중성 가스 도입 파이프, 및 상기 제 1 및 제 2의 전극 사이에 삽입되어 플라즈마 생성 영역과 기판 처리 영역을 분리하는 플라즈마 가둠 전극을 포함한다. 상기 플라즈마 가둠 전극은 상부 전극 플레이트와 하부 전극 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하며, 중성 가스로부터 고립시킨 상태에서 플라즈마 생성 영역에서 기판 처리 영역으로 래디컬을 제공하기 위해 마련된 래디컬 통과 구멍을 구비한다. 상기 플라즈마 가둠 전극은 상기 중성 가스 도입 파이프에 연결되며, 다수의 중성 가스 통과 구멍은 상기 중성 가스를 기판 처리 영역으로 공급하기 위해 상기 가스 확산 플레이트와 상기 하부 전극 플레이트의 각각에 대해 마련된다. 상기 상부 전극 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적은 상기 하부 전극 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적보다 더 작다.

Description

큰 면적의 화학 기상 성장막용 플라즈마 화학 기상 성장 장치{PLASAM CVD APPARATUS FOR LARGE AREA CVD FILM}
발명의 배경
발명의 분야
본 발명은 플라즈마 CVD 장치를 사용하는 플라즈마 CVD 방법에 관한 것이다. 특히, 본 발명은 플라즈마 생성 영역과 기판 처리 영역이 분리되고 큰 면적의 CVD막 형성에 적절한 플라즈마 CVD에 관한 것이다.
발명의 배경
플라즈마 손상을 방지하면서 기판 상에 막을 형성하기 위한 플라즈마 CVD 장치의 하나로서, 플라즈마 생성 영역과 기판 처리 영역이 분리된 리모트-플라즈마 CVD 장치(remote-plasma CVD apparatus)가 공지되어 있다. 이러한 리모트-플라즈마 CVD 장치를 사용하여 CVD막을 형성하는 방법은 반도체 장치 프로세스에서 고신뢰성과 높은 효율의 장치를 만드는 처리 프로세스로서 중요한 기술이다. 리모트-플라즈마 CVD 장치는 큰 면적의 플랫 패널 디스플레이 스위칭 트랜지스터 형성 프로세스, 드라이브 회로 트랜지스터 형성 프로세스 및 큰 직경의 실리콘 웨이퍼 프로세스와 같은 큰 크기의 기판 처리를 달성할 수 있다. 이러한 리모트-플라즈마 CVD 장치로서, 평행 플레이트 리모트-플라즈마 CVD 장치가 일본 특개평 제 5-21393호에 개시되어 있다. 도 1에 도시된 바와 같이, 평행 플레이트 리모트-플라즈마 CVD 장치는 고주파가 인가되는 전극(101)과 기판(103)이 설치되는 대응 전극(102)으로 구성된다. 다수의 구멍을 구비하는 메쉬 플레이트로서 플라즈마 가둠 전극(confining electrode; 108)이 고주파가 인가되는 전극(101)과 대향 전극(102) 사이에 제공된다. 플라즈마(106)는 고주파가 인가되는 전극(101)과 플라즈마 가둠 전극(108) 사이에 제한된다.
평행한 플레이트 사이에서 생성된 플라즈마를 이용하는 이러한 평행 플레이트 리모트-플라즈마 CVD 장치는 기판을 큰 면적으로 처리하는데 필요한 래디컬(radicals)을 균일하게 제공할 수 있다. 상기 언급된 일본 특개평 제 5-21393호에 개시된 장치는 래디컬(104)용 통과 구멍(105) 근처에 중성 가스(neutral gas) 주입 구멍(109)을 구비한다. 큰 면적의 균일한 처리는 래디컬(104)과 중성 가스(110)의 반응을 통해 가능하다. 이 때문에, 평행 플레이트 리모트-플라즈마 CVD 장치는 큰 크기의 유리 기판 상의 박막 트랜지스터의 게이트 절연막으로서 질화실리콘막과 산화실리콘막과, 큰 기판의 유리 기판 상에 박막 트랜지스터의 게이트 전극과 활성층과 같은 비정질 실리콘막, 및 큰 크기의 실리콘 기판 상에 트랜지스터 장치의 층간 절연막으로서 질화실리콘막과 산화실리콘막을 형성하기 위한 우수한 기술로 생각된다.
상기 언급된 바와 같이, 중성 가스 주입 구멍(109)은 래디컬 통과 구멍(105) 근처에 제공되고 중성 가스는 중성 가스 주입 구멍(109)으로부터 표면 상에 균일하게 제공된다. 이 때, 일본 특개평 제 5-21393호에 개시된 바와 같이, 중공 구조(hollow structure)의 플라즈마 가둠 전극(108)이 사용된다. 중공 구조의 플라즈마 가둠 전극(108)는 도 2 및 도 3에 도시된 바와 같이 래디컬 통과 구멍(105)과 중성 가스 통과 구멍(109)을 독립적으로 구비한다. 래디컬(104)과 중성 가스(103)는 중공 구조에서 절대 혼합되지 않는다.
진공 챔버(107)의 외부에서 중공 구조의 플라즈마 가둠 전극(108)으로 중성가스를 공급하는 방법으로서, 여러 방법이 고려되고 있다. 제 1의 방법에 있어서, 중성 가스(110)는 도 4에 도시된 바와 같이 중성 가스 도입 파이프(112)에 의해 플라즈마 영역(106)을 통해 플라즈마 가둠 전극(108)으로 위쪽 방향에서부터 제공된다. 또한, 제 2의 방법에 있어서, 중성 가스(110)는 도 5에 도시된 바와 같이 플라즈마 가둠 전극(108)으로 측면 방향에서부터 제공된다. 상기 언급된 일본 특개평 제 5-21393호에 개시된 방법은 후자의 형태이다.
도 4에 도시된 제 1의 방법에 있어서, 많은 중성 가스 도입 파이프(112)가 플라즈마 가둠 전극(108)에 대해 균일하게 제공되면, 중성 가스(110)는 기판의 표면 상에 균일하게 주입될 수 있다. 그러나, 이 경우에 있어서, 중성 가스 도입 파이프(112)는 플라즈마 생성 영역(106)을 통과한다. 결과적으로, 비정상적인 방전(117)이 플라즈마 가둠 전극(108) 전체 상의 중성 가스 도입 파이프 근처에서 쉽게 발생되어, 플라즈마 생성 상태가 불안정하게 된다.
또한, 도 5에 도시된 제 2의 방법에 있어서, 대부분의 가스는 중성 가스 도입 파이프(112)와 플라즈마 가둠 전극(108)의 접속부 근처에서 중성 가스 주입 구멍으로부터 주입된다. 결과적으로, 중공 구조의 플라즈마 가둠 전극(108)의 압력이 기판 처리 영역에서의 막 형성 압력과 동일한 수 십 내지 수 백 mtorr의 낮은 압력이기 때문에, 도 6에 개략적으로 도시된 바와 같이, 기판 상의 균일한 가스 주입이 어렵다.
상기의 문제점을 해결하기 위해서, 중공 구조의 플라즈마 가둠 전극(108)의 내부에, 종래의 평행 플레이트 플라즈마 CVD 장치의 가스 샤워 헤드(gas showerhead)에서 사용되는 것과 같은 가스 확산 플레이트를 정렬할 필요가 있다. 도 7에 도시된 바와 같이, 종래의 가스 샤워 헤드 구조는 중성 가스 도입 파이프(112)와, 다수의 구멍이 표면 상에 균일하게 마련된 확산 플레이트(114) 및 가스 주입 구멍이 표면 상에 균일하게 마련된 가스 주입 플레이트(115)로 구성된다. 종래의 평행 플레이트 플라즈마 CVD 장치에 있어서, 많은 수의 가스 공급 파이프가 가스 샤워 헤드에 연결될 수 있다. 따라서, 도 7에 도시된 구조에서도 균일한 가스 주입이 가능하다. 그러나, 이 경우에 있어서, 리모트 플라즈마 CVD 장치에서 상기 언급된 비정상적인 방전을 방지하면서 가스 샤워 헤드에 가스를 공급하는 것은 불가능하다. 또한, 도 7에 도시된 가스 확산 플레이트를 사용하는 방법에서 기판(103)의 표면 상에 중성 가스를 균일하게 주입하는 것이 어렵다.
상기의 설명과 관련하여, 플라즈마 CVD 장치가 일본 실용신안 공개 공보(JU-A-Heisei 1-86227)에 개시되었다. 이 문헌에 있어서, 플라즈마 CVD 장치는 박스 전극, 및 대향 전극으로 구성된다. 기판은 상기 전극 사이에 제공된다. 박스 전극은 고정된 중간 확산 플레이트와 이동 가능한 중간 확산 플레이트를 구비한다. 확산 플레이트는 다수의 구멍을 구비한다. 이동 가능한 중간 확산 플레이트의 위치를 조정함으로써, 가스가 통과할 수 있는 구멍의 수와 가스 통과 구멍의 면적이 조정된다.
또한, 일본 실용신안 공개 공보(JU-A-Heisei 7-27149)에도 플라즈마 CVD 장치가 개시되어 있다. 이 문헌에 있어서, 플라즈마 CVD 장치는 가스 도입 파이프(5)와 웨이퍼(W)에 평행한 전극 플레이트(3 및 4)를 구비하는 전극부(2)로 구성된다.가스(G)는 가스 도입 파이프(5)를 통해 도입되고, 전극 플레이트(3 및 4)를 통과하여, 웨이퍼(W)에 공급된다. 가스 확산 파이프(10a)는 가스 도입 파이프(5)로부터 방사 방향으로 구멍(11)을 갖도록 전극 플레이트(3 및 4)에 평행하게 제공된다. 가스 확산 파이프(10a)는 가스 도입 파이프(5)의 접속 단부(5a)에 접속되고 폐쇄된 단부를 갖는다.
따라서, 본 발명의 목적은 기판의 표면 상에 중성 가스를 균일하게 주입할 수 있는 플라즈마 CVD 장치를 제공하는 것이다.
본 발명의 다른 목적은 중성 가스 도입 파이프가 플라즈마 생성 영역에 삽입되는 경우에도, 비정상적인 방전이 발생하지 않는 플라즈마 CVD 장치를 제공하는 것이다.
본 발명의 한 양상을 달성하기 위해서, 플라즈마 CVD 장치는 제 1 및 제 2의 전극과, 중성 가스 도입 파이프, 및 상기 제 1 및 제 2의 전극 사이에 삽입되어 플라즈마 생성 영역과 기판 처리 영역을 분리하는 플라즈마 가둠 전극을 포함한다. 플라즈마 가둠 전극은 상부 전극 플레이트와 하부 전극 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하며, 중성 가스로부터 고립시킨 상태에서 플라즈마 생성 영역에서 기판 처리 영역으로 래디컬을 제공하기 위해 마련된 래디컬 통과 구멍을 구비한다. 플라즈마 가둠 전극은 중성 가스 도입 파이프에 연결되며, 다수의 중성 가스 통과 구멍은 중성 가스를 기판 처리 영역으로 공급하기 위해 가스 확산 플레이트와 하부 전극 플레이트의 각각에 대해 마련된다. 상부 전극 플레이트 측의 가스 확산 플레이트 내의 다수의 중성 가스 통과 구멍의 전체 구멍 면적은 하부 전극 플레이트 측의 가스 확산 플레이트 내의 다수의 중성 가스 통과 구멍의 전체 구멍 면적보다 더 작다.
여기서, 하부 전극 플레이트 측의 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수는 상부 전극 플레이트 측의 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수보다 더 많을 것이다.
또한, 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이할 것이다.
또한, 하부 전극 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 위치는 상부 전극 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 위치와 상이할 것이다.
또한, 하부 전극 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 영역은 상부 전극 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 영역의 외부 영역에 정렬될 것이다.
또한, 가스 도입 파이프는 결합될 플라즈마 가둠 전극의 측면 방향에서부터 플라즈마 가둠 전극의 측면부로 연장할 것이다. 또한, 가스 도입 파이프는 결합될 플라즈마 생성 영역의 주변부를 통과하도록 플라즈마 가둠 전극의 상부로 연장할 수도 있다.
본 발명의 다른 양상을 달성하기 위해서, 플라즈마 CVD 장치는 제 1 및 제 2의 전극과, 중성 가스 도입 파이프, 및 상기 제 1 및 제 2의 전극 사이에 삽입되어 플라즈마 생성 영역과 기판 처리 영역을 분리하기 위한 플라즈마 가둠 전극을 포함한다. 플라즈마 가둠 전극은 상부 전극 플레이트와 하부 전극 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하며, 중성 가스로부터 고립시킨 상태에서 플라즈마 생성 영역에서 기판 처리 영역으로 래디컬을 제공하기 위해 마련된 래디컬 통과 구멍을 구비한다. 플라즈마 가둠 전극은 중성 가스 도입 파이프에 연결되며, 다수의 중성 가스 통과 구멍은 중성 가스를 기판 처리 영역으로 공급하기 위해 가스 확산 플레이트와 하부 전극 플레이트의 각각에 대해 마련된다. 다수의 중성 가스 통과 구멍으로 이루어진 구멍 영역의 분포 밀도는 가스 확산 플레이트 각각의 중심부가 그 주변부보다 더 높다.
여기서, 하부 전극 플레이트 측의 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수는 상부 전극 플레이트 측의 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수보다 더 많을 것이다.
또한, 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이할 것이다.
또한, 하부 전극 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 위치는 상부 전극 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 위치와 상이할 것이다.
또한, 하부 전극 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 영역은 상부 전극 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 영역의 외부 영역에 정렬될 것이다.
또한, 가스 도입 파이프는 결합될 플라즈마 가둠 전극의 측면 방향에서부터 플라즈마 가둠 전극의 측면부로 연장할 것이다. 또한, 가스 도입 파이프는 결합될 플라즈마 생성 영역의 주변부를 통과하도록 플라즈마 가둠 전극의 상부로 연장할 수도 있다.
본 발명의 또 다른 양상을 달성하기 위해서, 플라즈마 CVD 장치는 제 1 및 제 2의 전극과, 중성 가스 도입 파이프와, 플라즈마 생성 영역을 분리하기 위해 제 1 및 제 2의 전극 사이에 삽입된 플라즈마 가둠 전극, 및 중성 가스를 공급하기 위해 플라즈마 가둠 전극과 제 2의 전극 사이에 삽입된 가스 공급부를 포함한다. 가스 공급부는 상부 플레이트와 하부 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하며, 중성 가스로부터 분리한 상태에서 래디컬을 공급하기 위해 마련된 래디컬 통과 구멍을 갖는다. 가스 공급부는 중성 가스 도입 파이프에 연결되고, 다수의 중성 가스 통과 구멍은 기판 처리 영역으로 중성 가스를 공급하기 위해 하부 플레이트와 가스 확산 플레이트 각각에 대해 마련된다. 상부 플레이트 측의 가스 확산 플레이트의 다수의 중성 가스 통과 구멍의 전체 구멍 면적은 하부 플레이트 측의 가스 확산 플레이트의 다수의 중성 가스 통과 구멍의 전체 구멍 면적보다 더 작다.
여기서, 가스 공급부의 하부 플레이트 측의 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수는 가스 공급부의 상부 플레이트 측의 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수보다 더 많을 것이다.
또한, 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이할 것이다.
또한, 가스 공급부의 하부 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 위치는 가스 공급부의 상부 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 위치와 상이할 것이다.
또한, 가스 공급부의 하부 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 영역은 가스 공급부의 상부 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 영역의 외부 영역에 정렬될 것이다.
또한, 가스 도입 파이프는 결합될 가스 공급부의 측면 방향에서부터 가스 공급부의 측면부로 연장할 것이다.
또한, 가스 도입 파이프는 결합될 플라즈마 생성 영역의 주변부를 통과하도록 가스 공급부의 상부로 연장할 수도 있다.
본 발명의 또 다른 양상을 달성하기 위해서, 플라즈마 CVD 장치는 제 1 및 제 2의 전극과, 중성 가스 도입 파이프와, 플라즈마 생성 영역을 분리하기 위해 제 1 및 제 2의 전극 사이에 삽입된 플라즈마 가둠 전극, 및 중성 가스를 공급하기 위해 플라즈마 가둠 전극과 제 2의 전극 사이에 삽입된 가스 공급부를 포함한다. 가스 공급부는 상부 플레이트와 하부 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하며, 중성 가스로부터 분리한 상태에서 래디컬을 공급하기 위해 마련된 래디컬 통과 구멍을 갖는다. 가스 공급부는 중성 가스 도입 파이프에 연결되고, 다수의 중성 가스 통과 구멍은 기판 처리 영역으로 중성 가스를 공급하기 위해 하부 플레이트와 가스 확산 플레이트 각각에 대해 마련된다. 다수의 중성 가스 통과 구멍으로 이루어진 구멍 영역의 분포 밀도는 가스 확산 플레이트 각각의 중심부가 그 주변부보다 더 높다.
여기서, 하부 전극 플레이트 측의 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수는 상부 전극 플레이트 측의 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수보다 더 많을 것이다.
또한, 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이할 것이다.
또한, 가스 공급부의 하부 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 위치는 가스 공급부의 상부 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 위치와 상이할 것이다.
또한, 가스 공급부의 상부 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 영역은 가스 공급부의 상부 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 영역의 외부 영역에 정렬된다.
또한, 가스 도입 파이프는 결합될 플라즈마 가둠 전극의 측면 방향에서부터 가스 공급부의 측면부로 연장할 것이다.
도 1은 제 1의 종래의 플라즈마 CVD 장치의 단면도.
도 2는 중공 구조를 갖는 도 1에 도시된 제 1의 종래의 플라즈마 CVD 장치의 플라즈마 가둠 전극을 상세히 도시하는 단면도.
도 3은 중공 구조를 갖는 제 1의 종래의 플라즈마 CVD 장치의 플라즈마 가둠 전극의 평면도.
도 4는 제 2의 플라즈마 CVD 장치를 도시하는 단면도.
도 5는 제 3의 종래의 플라즈마 CVD 장치의 단면도.
도 6은 제 3의 종래의 플라즈마 CVD장치의 전극 구조를 상세히 도시하는 단면도.
도 7은 제 4의 종래의 플라즈마 CVD 장치의 다른 전극을 도시하는 단면도.
도 8은 본 발명의 제 1의 실시예에 따른 플라즈마 CVD 장치를 도시하는 단면도.
도 9는 도 8에 도시된 플라즈마 CVD 장치의 일부를 상세히 도시하는 단면도.
도 10a 및 도 10b는 각각 전극의 상부 플레이트와 하부 플레이트를 도시하는 평면도.
도 11a 및 도 11b는 각각 제 1 및 제 2의 확산 플레이트를 도시하는 평면도.
도 12a 내지 도 12d는 확산 플레이트를 통과하는 가스의 농도 분포를 도시하는 그래프.
도 13a 내지 도 13c는 각각 제 1 내지 제 3의 확산 플레이트를 도시하는 평면도.
도 14a 및 도 14b는 각각 제 1 및 제 2의 확산 플레이트를 도시하는 평면도.
도 15는 본 발명의 제 2의 실시예에 따른 플라즈마 CVD 장치의 단면도.
도 16은 본 발명의 제 3의 실시예에 따른 플라즈마 CVD 장치의 단면도.
♠도면의 주요 부분에 대한 부호의 설명♠
3 : 대향 전극 5 : 플라즈마 가둠 전극
6 : 중성 가스 도입 파이프 7 : 가스 확산 플레이트부
8 : 플라즈마 가둠 전극 상부 플레이트
9 : 플라즈마 가둠 전극 하부 플레이트
11 : 제 1의 가스 확산 플레이트 12 : 제 2의 가스 확산 플레이트
13 : 래디컬 통과 구멍 14 : 제 1의 중성 가스 통과 구멍
15 : 제 2의 중성 가스 통과 구멍 16 : 제 3의 중성 가스 통과 구멍
본 발명의 플라즈마 CVD 장치가 첨부된 도면을 참조하여 하기에 설명될 것이다.
도 8은 본 발명의 제 1의 실시예에 따른 플라즈마 CVD 장치의 구조를 도시하는 도면이다. 플라즈마 CVD 장치는 예를 들면 산화실리콘막의 형성을 위해 사용된다. 도 8을 참조하면, 평행 플레이트 리모트 플라즈마 CVD와 같은 플라즈마 CVD 장치는 진공 챔버(1) 내에 마련된 플레이트 형상의 고주파 인가 전극(2)과, 플레이트 형상의 대향 전극(3) 및 플라즈마 가둠 전극(5)으로 구성된다. 대향 전극(3)은 고주파 인가 전극(2)에 대향하여 위치되고 이들 전극은 서로 평행하다. 기판(4)은 대향 전극(3)의 표면 상에 설치된다. 플라즈마 가둠 전극(5)은 고주파 인가 전극(2)과 대향 전극(3) 사이에 삽입되어 플라즈마를 가두게 된다. 플라즈마 가둠 전극(5)은 접지된다. 중성 가스 도입 파이프(6)는 진공 챔버(1)로부터 삽입되어 플라즈마 가둠 전극(5)과 연결된다. 중성 가스 도입 파이프(6)는 플라즈마 가둠 전극(5)으로 중성 가스를 공급한다. 중성 가스는 비여기 상태의 비이온화된 가스이다. 가스 확산 플레이트부(7)는 플라즈마 가둠 전극(5) 내에 정렬된다.
도 9에 도시된 바와 같이, 플라즈마 가둠 전극(5)은 플라즈마 가둠 전극 상부 플레이트(8)와 플라즈마 가둠 전극 하부 플레이트(9)로 구성된다. 플라즈마 가둠 전극(5)의 네 측면 또는 전체 측면은 측면 플레이트(도시되지 않음)에 의해 밀폐되어 있다. 가스 확산 플레이트부(7)는 플라즈마 가둠 상부 플레이트(8)와 플라즈마 가둠 전극 하부 플레이트(9) 사이의 공간에 놓여져서 중성 가스를 균일하게 확산시킨다. 가스 확산 플레이트부(7)는 제 1의 가스 확산 플레이트(11)와 제 2의가스 확산 플레이트(12)로부터 형성된다.
플라즈마 가둠 전극(5)은 다수의 래디컬 통과 구멍(13)을 구비한다. 다수의 래디컬 통과 구멍(13)은 래디컬이 플라즈마 가둠 전극(5)을 통해 하부 방향으로 흐를 수 있도록 형성된다. 다수의 래디컬 통과 구멍(13)은 적절한 구멍(13)의 분포를 갖도록 제공되고 정렬되어 래디컬이 균일한 표면 밀도로 확산될 수 있다. 래디컬 통과 구멍(13)은 생성된 산소 플라즈마의 디바이 길이(Debye length)의 두배 이하의 직경을 갖도록 생성된 산소 플라즈마를 효율적으로 가두는 것이 가능하다.
제 1의 가스 확산 플레이트(11)는 다수의 제 1의 중성 가스 통과 구멍(14)을 구비하며, 제 2의 가스 확산 플레이트(12)는 다수의 제 2의 중성 가스 통과 구멍(15)을 구비한다. 다수의 제 3의 중성 가스 통과 구멍(16)은 플라즈마 가둠 전극 하부 플레이트(9) 내에 형성된다.
도 10a 및 도 10b는 플라즈마 가둠 전극 상부 플레이트(8)와 플라즈마 가둠 전극 하부 플레이트(9) 상에 형성된 구멍의 예를 각각 도시한다. 래디컬 통과 구멍(13)은 플라즈마 가둠 전극 상부 플레이트(8)에 균일하게 형성된다. 래디컬 통과 구멍(13)과 제 3의 중성 가스 통과 구멍(16)은 플라즈마 가둠 전극 하부 플레이트(9)에 균일하게 형성된다.
도 11a는 제 1의 가스 확산 플레이트(11)를 도시하고, 도 11b는 제 2의 가스 확산 플레이트(12)를 도시한다. 제 1의 중성 가스 통과 구멍(14)은 제 1의 가스 확산 플레이트(11)의 중심부근에 형성된다. 제 2의 중성 가스 통과 구멍(15)은 제 1의 가스 확산 플레이트(11)의 제 1의 중성 가스 통과 구멍(14)의 위치에 기초하여결정된 위치 상에서 제 2의 가스 확산 플레이트(12) 내에 형성된다. 또한, 제 2의 중성 가스 통과 구멍(15)은 제 1의 중성 가스 통과 구멍(14)이 형성된 영역 외부로 연장하는 영역 내에 형성된다.
도 9에 도시된 바와 같이, 중성 가스로서 모노-실란 가스(mono-silane gas; 19)는 중성 가스 도입 파이프(6)로부터 플라즈마 가둠 전극 상부 플레이트(8)와 제 1의 가스 확산 플레이트(11) 사이의 공간으로 공급된다. 모노-실란 가스(19)는 제 1의 가스 확산 플레이트(11)의 제 1의 중성 가스 통과 구멍(14)에 의해 균일하게 된다. 통과된 모노-실란 가스(19)는 또한 제 2의 가스 확산 플레이트(12)의 제 2의 중성 가스 통과 구멍(15)에 의해 균일하게 된다. 모노-실란 가스(19)는 플라즈마 가둠 전극 하부 플레이트(9)의 제 3의 중성 가스 통과 구멍(16)으로부터 기판 상에서 대향 전극(3)(도 8)을 향해 균일하게 주입된다. 단자 제 1의 가스 확산 플레이트(11)와 제 2의 가스 확산 플레이트(12)만이 도 9에 도시되었지만, 확산 플레이트의 수는 두 개로 제한되지 않고, 그 이상일 수도 있다. 또한, 파이프(6)의 수는 2에 제한되지 않는다. 4 개 이상의 파이프가 사용되는 것이 바람직하다.
산화실리콘막은 이러한 플라즈마 CVD 장치에 의해 형성된다. 도 8에 도시된 바와 같이, 산소 가스(18)는 진공 챔버(1) 내의 고주파 인가 전극(2)과 플라즈마 가둠 전극(5) 사이에 도입된다. 그 다음, 글로우 방전이 생성되어 플라즈마 생성 영역 내에 산소 플라즈마(22)를 생성한다. 생성된 산소 플라즈마(22)는 고주파 인가 전극(2)과 플라즈마 가둠 전극(5) 사이에 효율적으로 가두어진다. 결과적으로,산소 플라즈마(22) 내의 플라즈마 밀도는 약 1010/㎤이고, 플라즈마 가둠 전극(5)과 대향 전극(23) 사이의 플라즈마 밀도는 105내지 106/㎤이다. 이 경우, 전자, 산소 원자 이온, 산소 분자 이온, 산소 원자 래디컬, 및 산소 분자 래디컬이 산소 플라즈마 내에 존재한다. 그러나, 플라즈마 밖으로 나가는 전자와 이온은 무시할 수 있다. 그러므로, 산소 원자 래디컬과 산소 분자 래디컬은 모노-실란 가스(19)와 반응하고 모노-실란 가스(19)와의 반응을 통해 산화실리콘막의 형성에 기여하게 된다. 이하, 이들 래디컬은 단지 산소 래디컬로 언급된다. 산소 래디컬(21)은 래디컬 통과 구멍(13)을 통과하여 기판 처리 영역에 확산하고, 제 3의 중성 가스 통과 구멍(16)을 통과하는 모노-실란 가스(19)와 반응하여 SiOx및 SiOxHy와 같은 산화실리콘 프리커서(silicon oxide precursor)를 형성하게 된다. 따라서, 산화실리콘막이 기판(3) 상에 형성된다.
상기 상술된 바와 같이, 플라즈마 가둠 전극(5)과 대향 전극(3) 사이의 플라즈마 밀도는 매우 낮게 된다. 따라서, 종래의 평행 플레이트 플라즈마 CVD와 비교하여 본 발명에서는 기판(4)에 대한 플라즈마 손상이 아주 적다. 이 효과는 MOS 구조가 형성된 실리콘 표면의 경우에 현저하게 된다. 산화실리콘(SiO2)막이 종래의 평행 플레이트 플라즈마 CVD에 의해 단결정 실리콘 기판 상에 형성되는 경우, MOS 계면 포획 전하 밀도(interface trapped charge density)는 중간-갭(mid-gap) 근처에서 1011내지 1012/㎠/eV이다. 한편, 산화실리콘막이 본 발명의 평행 플레이트 리모트 플라즈마 CVD에 의해 형성되는 경우, 계면 포획 전하 밀도는 1010/㎠/eV 정도로 낮다.
이렇게, 플라즈마 가둠 전극(5)에 있어서는, 제 1 및 제 2의 가스 통과 구멍(14 및 15)이 플라즈마 가둠 전극 상부 플레이트(8)와 플라즈마 가둠 전극 하부 플레이트(9) 사이의 중공 구조에 배치된 다수의 가스 확산 플레이트(11 및 12) 내에서 어떻게 형성되는지가 중요하다. 만약 상기 구멍(14 및 15)이 적절하게 정렬되면, 제 3의 중성 가스 통과 구멍(16)으로부터의 모노-실란 가스(19)의 주입은 균일하게 수행될 수 있다. 따라서, 기판 상에서의 산화실리콘의 프리커서의 분포가 균일하게 행해질 수 있고, 그 결과 기판(4) 상에서의 산화실리콘막의 균일성이 향상된다.
상기 언급된 실시예에서 플라즈마 가둠 전극(5) 내에서의 모노-실란 가스의 흐름은 도 12a 내지 도 12d를 참조하여 설명될 것이다.
(1) 모노-실란 가스919)는 플라즈마 가둠 전극 상부 플레이트(8)와 제 1의 가스 확산 플레이트(11) 사이에 공급된다. 도 12a에 도시된 바와 같이, 이 때의 모노-실간 가스의 농도 분포는 주변이 높고 중앙이 낮다.
(2) 제 1의 가스 확산 플레이트(11)의 중심부근의 중성 가스 통과 구멍(14)을 모노-실란 가스가 통과한 후, 모노-실란 가스의 농도 분포는, 도 12b에 도시된 바와 같이, 주변이 낮고 중앙이 높다. 중성 가스 도입 파이프(6)는 플라즈마 생성 영역을 통과하지 않고, 확산 플레이트에서 구멍의 분포는 향상된다. 이렇게, 중앙의 가스 농도가 높은 분포가 실현된다.
(3) 도 12c에 도시된 바와 같이, 모노-실란 가스가 제 2의 가스 확산 플레이트(12)의 제 2의 중성 가스 통과 구멍(15)을 통과한 후, 모노-실란 가스의 농도 분포는 제 2의 중성 가스 통과 구멍(15)의 분포에 기초하여 도 12b에 도시된 농도 분포보다 완만한 경사를 갖는다.
(4) 도 12d에 도시된 바와 같이, 모노-실란 가스가 플라즈마 가둠 전극 하부 플레이트(9)의 제 3의 중성 가스 통과 구멍(16)을 통과한 후, 모노-실란 가스의 농도 분포는, 도 12c에 도시된 농도 분포와 비교하여, 더 완만하게 된다. 따라서, 기판 표면 상에 거의 균일한 가스 주입이 수행된다.
상기 언급된 실시예에 있어서, 두 개의 가스 확산 플레이트가 사용된다. 그러나, 도 13a 내지 도 13c에 도시된 바와 같이, 제 3의 가스 확산 플레이트(12')가 부가될 수도 있다. 도 13c에 도시된 바와 같이, 제 3의 가스 통과 구멍(15')은 제 2의 가스 확산 플레이트(12)의 제 2의 중성 가스 통과 구멍(15)의 위치에 기초하여 결정된 위치 상에서 제 3의 가스 확산 플레이트(12') 내에 형성된다. 또한, 제 3의 중성 가스 통과 구멍(15')은 제 2의 중성 가스 통과 구멍(15)이 형성된 영역 외부로 연장하는 영역 내에 형성된다. 더 나은 확산 성능의 향상을 위해, 네 개 이상의 확산 플레이트가 사용되는 것이 바람직하다. 더 많은 확산 플레이트의 사용은 가스 농도를 균일하게 한다. 그러나, 확산 플레이트를 많이 사용하면 플라즈마 가둠 전극(50의 구조가 복잡하게 된다. 또한, 래디컬 통과 구멍(13)도 길게 된다.
또한, 도 14a 및 도 14b에 도시된 실시예에 있어서, 제 2의 중성 가스 통과구멍(15)은 제 2의 중성 가스 통과 구멍(15)의 위치가 제 1의 중성 가스 통과 구멍(14)과 중첩하지 않도록 제 2의 확산 플레이트(12) 상에 형성된다. 중성 가스 통과 구멍의 위치가 중첩하는 경우, 확산 플레이트 사이의 거리와 동일한 길이를 갖는 가스 통과 경로가 존재할 가능성이 있다. 중성 가스의 일부는 가스 확산 플레이트 사이에서 측면 방향으로의 가스 확산 이전에 가스 통과 구멍을 통과한다. 도 14a 및 도 14b에 도시된 실시예에 있어서, 만약 중성 가스 통과 구멍의 위치가 중첩하지 않으면, 측면 방향으로의 가스 확산은 촉진될 수 있다.
도 15는 본 발명의 제 2의 실시예에 따른 플라즈마 CVD 장치의 구조를 도시한다. 제 2의 실시예의 중성 가스 도입 방법은 도 8에 도시된 제 1의 실시예의 방법과 상이하다. 도 15를 참조하면, 모노-실란 가스와 같은 중성 가스용 도입 파이프(6)는 플라즈마 생성 영역의 측면으로부터 진공 챔버(1) 속으로 삽입되고, 플라즈마 가둠 전극(5)의 상부와 연결되어 있다. 따라서, 중성 가스 도입 파이프(6)는 플라즈마 생성 영역을 통과한다. 그러나, 통과 영역은 플라즈마 생성 영역(22)의 주변부이다. 따라서, 비정상적인 방전이 발생하는 경우에도, 플라즈마 가둠 전극의 상부면 근처에서 비정상적인 방전이 발생한 경우와 비교하여, 플라즈마 상태의 변화가 적다.
이렇게, 가스 확산 플레이트가 플라즈마 가둠 전극(5) 내에 정렬되면, 가스 확산 플레이트의 형상과 수는 본 발명의 영역을 벗어나지 않으면서 필요에 따라 변화될 수 있다. 또한, 중성 가스가 진공 챔버(1)의 외부로부터 플라즈마 생성 영역의 주변부를 통해 플라즈마 가둠 전극(5)의 주변으로 공급되면, 제 2의 실시예의중성 가스 공급 파이프의 형상과 갯수는 본 발명의 영역을 벗어나지 않으면서 필요에 따라 변경될 수 있다.
도 16은 본 발명의 제 3의 실시예에 따른 플라즈마 CVD 장치의 구조를 도시한다. 도 16에 도시된 평행 플레이트 리모트 플라즈마 CVD는 다음과 같은 점에서 도 8의 것과 상이하다. 즉, 제 3의 실시예에 있어서, 가스 공급 플레이트(29)가 플라즈마 가둠 플레이트(5) 대신 사용된다. 가스 공급 플레이트(29)는 중성 가스 도입 파이프(6)에 접속되어 중성 가스를 공급한다. 또한, 가스 공급 플레이트(29)는 상기 언급된 가스 확산 플레이트부(7)를 포함한다. 따라서, 가스 농도는 가스 공급 플레이트(29)에 의해 균일하게 된다. 가스 공급 플레이트(29)는 도 8 또는 도 15에 도시된 플라즈마 가둠 플레이트(5)와 동일한 구조를 갖는다. 따라서, 도 10, 도 11, 도 13 및 도 14에 도시된 실시예는 도 16의 확산 플레이트부(7)에 대해 그 자체로서 적용될 수 있다. 만약 래디컬이 균일하게 주입될 수 있다면, 가스 공급 플레이트(29)의 래디컬 통과 구멍(13') 각각의 직경은 임의적이다. 또한, 접지시키지 않고 전기 부유 상태에서 가스 공급 플레이트(29)를 전기적으로 사용하는 것이 가능하다.
제 3의 실시예에 있어서, 플라즈마 가둠 전극(5')은 고주파 인가 전극과 가스 공급 플레이트(29) 사이에 제공되어 생성된 플라즈마를 가둔다. 플라즈마 가둠 전극(5')은 다수의 래디컬 통과 구멍을 갖는다. 또한, 플라즈마 가둠 전극(5')은 접지된다. 도 8의 제 1의 실시예에 있어서, 플라즈마 가둠 전극(5)은 가스 공급 플레이트(29)에 대응하는 가스 확산 기능과 플라즈마 가둠 기능을 갖는다. 그러나,도 16의 제 3의 실시예에 있어서, 플라즈마 가둠 전극(5')은 플라즈마를 가두는 기능만 갖는다. 따라서, 가스 확산 기능과 플라즈마 가둠 기능은 완전히 분리된다. 또한, 제 3의 실시예는 제 2의 실시예에 적용될 수 있다.
상기 실시예에 있어서, 모노-실란과 산소 가스를 사용하여 산화실리콘막이 형성된 예가 설명된다. 그러나, 모노-실란 대신 디실란(disilane)과 TEOS(테트라헤톡시실란; Tetraethoxysilane)와 같은 고차 실란(high order silanes)과 같은 액체 Si 재료가 사용될 수도 있다. 또한, 산화질소(nitrogen oxide)가 산소 대신 사용될 수도 있다. 또한, 산화실리콘막의 형성 대신, 모노-실란과 암모니아의 반응에 의한 질화실리콘막 형성, 모노-실란과 수소 또는 불활성 가스의 반응에 의한 비정질 실리콘막 형성 등 다른 재료의 플라즈마 CVD막의 형성에 관해서도, 앞에서 서술한 효과와 같은 효과를 얻을 수 있다.
또한, 평행 플레이트 리모트 플라즈마 CVD 장치가 상술된다. 플라즈마 CVD 장치는 플라즈마 분리를 위해 플라즈마 생성 영역과 기판 처리 영역 사이에 제공되며 다수의 구멍을 갖는 플라즈마 가둠 전극을 구비한다. 본 발명은 마이크로파 플라즈마(microwave plasma), 전자 싸이클로트론 공명 플라즈마(electron cyclotron resonance plasma), 유도 결합 플라즈마(inductive coupling plasma), 및 헬리콘파 플라즈마(helicon wave plasma)를 사용하는 플라즈마 CVD 장치와 같이 임의의 형태의 플라즈마 CVD 장치에 적용될 수 있다.
상기 상술된 바와 같이, 상부 전극 플레이트 측의 가스 확산 플레이트 내의 다수의 중성 가스 통과 구멍의 전체 구멍 면적은 하부 전극 플레이트 측의 가스 확산 플레이트 내의 다수의 중성 가스 통과 구멍의 전체 구멍 면적보다 작을 수도 있다. 또한, 하부 전극 플레이트 측의 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수는 상부 전극 플레이트 측의 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수보다 많을 수도 있다. 또한, 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이할 것이다.
또한, 하부 전극 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 위치는 상부 전극 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 위치와 상이할 수도 있다. 이 경우, 하부 전극 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 영역은 상부 전극 플레이트에 더 가까운 가스 확산 플레이트의 중성 가스 통과 구멍의 영역의 외부 영역에 정렬될 수도 있다.
또한, 다수의 중성 가스 통과 구멍의 분포 밀도는 각각의 가스 확산 플레이트의 중심부분이 그 주변부보다 더 높다.
본 발명의 플라즈마 CVD 장치에 있어서, 기판 처리 영역에 주입되는 중성 가스의 농도는 표면에서 균일하게 될 수 있다.
본 발명에 있어서, 가스 도입 파이프가 플라즈마 생성 영역, 특히, 플라즈마 생성 영역의 중심 근처를 통과할 필요가 없다. 따라서, 플라즈마 상태를 불안정하게 하는 비정상적인 방전이 발생하지 않는다. 기판 표면 상으로의 중성 가스의 균일한 통과는 이렇게 해서 가능해진다. 따라서, MOS 장치 게이트 절연막과 층간 절연막이 형성되고, 또한 박막 트랜지스터 장치 실리콘막과 질화실리콘막이 헝성될 때, 플라즈마 손상에 의한 결함을 갖지 않는 고품질의 막이 큰 면적의 기판 상에 균일하게 형성될 수 있다.

Claims (42)

  1. 제 1 및 제 2의 전극과,
    중성 가스 도입 파이프, 및
    상기 제 1 및 제 2의 전극 사이에 삽입되어 플라즈마 생성 영역과 기판 처리 영역을 분리하는 플라즈마 가둠 전극을 포함하며,
    상기 플라즈마 가둠 전극은 상부 전극 플레이트와 하부 전극 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하며, 중성 가스로부터 고립시킨 상태에서 플라즈마 생성 영역에서 기판 처리 영역으로 래디컬을 제공하기 위해 마련된 래디컬 통과 구멍을 구비하며,
    상기 플라즈마 가둠 전극은 상기 중성 가스 도입 파이프에 연결되며, 다수의 중성 가스 통과 구멍은 상기 중성 가스를 기판 처리 영역으로 공급하기 위해 상기 가스 확산 플레이트와 상기 하부 전극 플레이트의 각각에 대해 마련되며,
    상기 상부 전극 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적은 상기 하부 전극 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적보다 더 작고, 상기 하부 전극 플레이트 측의 상기 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수는 상기 상부 전극 플레이트 측의 상기 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수보다 더 많은 것을 특징으로 하는 플라즈마 CVD 장치.
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 제 1항에 있어서, 상기 가스 도입 파이프는 결합될 상기 플라즈마 가둠 전극의 측면 방향에서부터 상기 플라즈마 가둠 전극의 측면부로 연장하는 것을 특징으로 하는 플라즈마 CVD 장치.
  7. 제 1항에 있어서, 상기 가스 도입 파이프는 결합될 상기 플라즈마 생성 영역의 주변부를 통과하도록 상기 플라즈마 가둠 전극의 상부로 연장하는 것을 특징으로 하는 플라즈마 CVD 장치.
  8. 제 1 및 제 2의 전극과,
    중성 가스 도입 파이프, 및
    상기 제 1 및 제 2의 전극 사이에 삽입되어 플라즈마 생성 영역과 기판 처리 영역을 분리하기 위한 플라즈마 가둠 전극을 포함하며,
    상기 플라즈마 가둠 전극은 상부 전극 플레이트와 하부 전극 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하며, 중성 가스로부터 고립시킨 상태에서 상기 플라즈마 생성 영역에서 상기 기판 처리 영역으로 래디컬을 제공하기 위해 마련된 래디컬 통과 구멍을 구비하며,
    상기 플라즈마 가둠 전극은 상기 중성 가스 도입 파이프에 연결되며, 다수의 중성 가스 통과 구멍은 상기 중성 가스를 상기 기판 처리 영역으로 공급하기 위해 상기 가스 확산 플레이트와 상기 하부 전극 플레이트의 각각에 대해 마련되며,
    상기 다수의 중성 가스 통과 구멍으로 이루어진 구멍 영역의 분포 밀도는 상기 가스 확산 플레이트 각각의 중심부가 그 주변부보다 더 높고, 상기 하부 전극 플레이트 측의 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 수는 상기 상부 전극 플레이트 측의 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 수보다 더 많은 것을 특징으로 하는 플라즈마 CVD 장치.
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 제 8항에 있어서, 상기 가스 도입 파이프는 결합될 상기 플라즈마 가둠 전극의 측면 방향에서부터 상기 플라즈마 가둠 전극의 측면부로 연장하는 것을 특징으로 하는 플라즈마 CVD 장치.
  14. 제 8항에 있어서, 상기 가스 도입 파이프는 결합될 상기 플라즈마 생성 영역의 주변부를 통과하도록 상기 플라즈마 가둠 전극의 상부로 연장하는 것을 특징으로 하는 플라즈마 CVD 장치.
  15. 제 1 및 제 2의 전극과,
    중성 가스 도입 파이프와,
    플라즈마 생성 영역을 분리하기 위해 제 1 및 제 2의 전극 사이에 삽입된 플라즈마 가둠 전극, 및
    중성 가스를 공급하기 위해 상기 플라즈마 가둠 전극과 상기 제 2의 전극 사이에 삽입된 가스 공급부를 포함하며,
    상기 가스 공급부는 상부 플레이트와 하부 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하고, 래디컬 통과 구멍을 구비하며,
    상기 가스 공급부는 상기 중성 가스 도입 파이프에 연결되고, 다수의 중성 가스 통과 구멍은 상기 기판 처리 영역으로 상기 중성 가스를 공급하기 위해 상기 하부 플레이트와 상기 가스 확산 플레이트 각각에 대해 마련되며,
    상기 가스 공급부의 상기 상부 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적은 상기 가스 공급부의 상기 하부 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적보다 더 작고, 상기 가스 공급부의 상기 하부 플레이트 측의 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 수는 상기 가스 공급부의 상기 상부 플레이트 측의 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 수보다 더 많은 것을 특징으로 하는 플라즈마 CVD 장치.
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 제 1 및 제 2의 전극과,
    중성 가스 도입 파이프와,
    플라즈마 생성 영역을 분리하기 위해 제 1 및 제 2의 전극 사이에 삽입된 플라즈마 가둠 전극, 및
    중성 가스를 공급하기 위해 상기 플라즈마 가둠 전극과 상기 제 2의 전극 사이에 삽입된 가스 공급부를 포함하며,
    상기 가스 공급부는 상부 플레이트와 하부 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하고, 래디컬 통과 구멍을 구비하며.
    상기 가스 공급부는 상기 중성 가스 도입 파이프에 연결되고, 다수의 중성 가스 통과 구멍은 기판 처리 영역으로 상기 중성 가스를 공급하기 위해 상기 하부 플레이트와 상기 가스 확산 플레이트 각각에 대해 마련되며,
    상기 다수의 중성 가스 통과 구멍으로 이루어진 구멍 영역의 분포 밀도는 상기 가스 확산 플레이트 각각의 중심부가 그 주변부보다 더 높고, 상기 가스 공급부의 하부 플레이트 측의 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 수는 상기 가스 공급부의 상부 플레이트 측의 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 수보다 더 많은 것을 특징으로 하는 플라즈마 CVD 장치.
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 제 1 및 제 2의 전극과,
    중성 가스 도입 파이프, 및
    상기 제 1 및 제 2의 전극 사이에 삽입되어 플라즈마 생성 영역과 기판 처리 영역을 분리하는 플라즈마 가둠 전극을 포함하며,
    상기 플라즈마 가둠 전극은 상부 전극 플레이트와 하부 전극 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하며, 중성 가스로부터 고립시킨 상태에서 플라즈마 생성 영역에서 기판 처리 영역으로 래디컬을 제공하기 위해 마련된 래디컬 통과 구멍을 구비하며,
    상기 플라즈마 가둠 전극은 상기 중성 가스 도입 파이프에 연결되며, 다수의 중성 가스 통과 구멍은 상기 중성 가스를 기판 처리 영역으로 공급하기 위해 상기 가스 확산 플레이트와 상기 하부 전극 플레이트의 각각에 대해 마련되며,
    상기 상부 전극 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적은 상기 하부 전극 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적보다 더 작고, 상기 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 상기 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이한 것을 특징으로 하는 플라즈마 CVD 장치.
  28. 제 1 및 제 2의 전극과,
    중성 가스 도입 파이프, 및
    상기 제 1 및 제 2의 전극 사이에 삽입되어 플라즈마 생성 영역과 기판 처리 영역을 분리하는 플라즈마 가둠 전극을 포함하며,
    상기 플라즈마 가둠 전극은 상부 전극 플레이트와 하부 전극 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하며, 중성 가스로부터 고립시킨 상태에서 플라즈마 생성 영역에서 기판 처리 영역으로 래디컬을 제공하기 위해 마련된 래디컬 통과 구멍을 구비하며,
    상기 플라즈마 가둠 전극은 상기 중성 가스 도입 파이프에 연결되며, 다수의 중성 가스 통과 구멍은 상기 중성 가스를 기판 처리 영역으로 공급하기 위해 상기 가스 확산 플레이트와 상기 하부 전극 플레이트의 각각에 대해 마련되며,
    상기 상부 전극 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적은 상기 하부 전극 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적보다 더 작고, 상기 하부 전극 플레이트 측의 상기 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수는 상기 상부 전극 플레이트 측의 상기 가스 확산 플레이트 내의 중성 가스 통과 구멍의 수보다 더 많으며, 상기 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 상기 가스 확산 플레이트 각각에서의 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이한 것을 특징으로 하는 플라즈마 CVD 장치.
  29. 제 1, 27, 28항중 어느 한 항에 있어서, 상기 하부 전극 플레이트에 더 가까운 상기 가스 확산 플레이트의 중성 가스 통과 구멍의 위치는 상기 상부 전극 플레이트에 더 가까운 상기 가스 확산 플레이트의 중성 가스 통과 구멍의 위치와 상이한 것을 특징으로 하는 플라즈마 CVD 장치.
  30. 제 29항에 있어서, 상기 하부 전극 플레이트에 더 가까운 상기 가스 확산 플레이트의 중성 가스 통과 구멍의 영역은 상기 상부 전극 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 영역의 외부 영역에 정렬되는 것을 특징으로 하는 플라즈마 CVD 장치.
  31. 제 1 및 제 2의 전극과,
    중성 가스 도입 파이프, 및
    상기 제 1 및 제 2의 전극 사이에 삽입되어 플라즈마 생성 영역과 기판 처리 영역을 분리하기 위한 플라즈마 가둠 전극을 포함하며,
    상기 플라즈마 가둠 전극은 상부 전극 플레이트와 하부 전극 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하며, 중성 가스로부터 고립시킨 상태에서 상기 플라즈마 생성 영역에서 상기 기판 처리 영역으로 래디컬을 제공하기 위해 마련된 래디컬 통과 구멍을 구비하며,
    상기 플라즈마 가둠 전극은 상기 중성 가스 도입 파이프에 연결되며, 다수의 중성 가스 통과 구멍은 상기 중성 가스를 상기 기판 처리 영역으로 공급하기 위해 상기 가스 확산 플레이트와 상기 하부 전극 플레이트의 각각에 대해 마련되며,
    상기 다수의 중성 가스 통과 구멍으로 이루어진 구멍 영역의 분포 밀도는 상기 가스 확산 플레이트 각각의 중심부가 그 주변부보다 더 높고, 상기 가스 확산 플레이트 각각에서의 상기 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 상기 가스 확산 플레이트 각각에서의 상기 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이한 것을 특징으로 하는 플라즈마 CVD 장치.
  32. 제 1 및 제 2의 전극과,
    중성 가스 도입 파이프, 및
    상기 제 1 및 제 2의 전극 사이에 삽입되어 플라즈마 생성 영역과 기판 처리 영역을 분리하기 위한 플라즈마 가둠 전극을 포함하며,
    상기 플라즈마 가둠 전극은 상부 전극 플레이트와 하부 전극 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하며, 중성 가스로부터 고립시킨 상태에서 상기 플라즈마 생성 영역에서 상기 기판 처리 영역으로 래디컬을 제공하기 위해 마련된 래디컬 통과 구멍을 구비하며,
    상기 플라즈마 가둠 전극은 상기 중성 가스 도입 파이프에 연결되며, 다수의 중성 가스 통과 구멍은 상기 중성 가스를 상기 기판 처리 영역으로 공급하기 위해 상기 가스 확산 플레이트와 상기 하부 전극 플레이트의 각각에 대해 마련되며,
    상기 다수의 중성 가스 통과 구멍으로 이루어진 구멍 영역의 분포 밀도는 상기 가스 확산 플레이트 각각의 중심부가 그 주변부보다 더 높고, 상기 하부 전극 플레이트 측의 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 수는 상기 상부 전극 플레이트 측의 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 수보다 더 많으며, 상기 가스 확산 플레이트 각각에서의 상기 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 상기 가스 확산 플레이트 각각에서의 상기 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이한 것을 특징으로 하는 플라즈마 CVD 장치.
  33. 제 8, 31, 32항중 어느 한 항에 있어서, 상기 하부 전극 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 위치는 상기 상부 전극 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 위치와 상이한 것을 특징으로 하는 플라즈마 CVD 장치.
  34. 제 33항에 있어서, 상기 하부 전극 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 영역은 상기 상부 전극 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 영역의 외부 영역에 정렬되는 것을 특징으로 하는 플라즈마 CVD 장치.
  35. 제 1 및 제 2의 전극과,
    중성 가스 도입 파이프와,
    플라즈마 생성 영역을 분리하기 위해 제 1 및 제 2의 전극 사이에 삽입된 플라즈마 가둠 전극, 및
    중성 가스를 공급하기 위해 상기 플라즈마 가둠 전극과 상기 제 2의 전극 사이에 삽입된 가스 공급부를 포함하며,
    상기 가스 공급부는 상부 플레이트와 하부 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하고, 래디컬 통과 구멍을 구비하며,
    상기 가스 공급부는 상기 중성 가스 도입 파이프에 연결되고, 다수의 중성 가스 통과 구멍은 상기 기판 처리 영역으로 상기 중성 가스를 공급하기 위해 상기 하부 플레이트와 상기 가스 확산 플레이트 각각에 대해 마련되며,
    상기 가스 공급부의 상기 상부 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적은 상기 가스 공급부의 상기 하부 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적보다 더 작고, 상기 가스 확산 플레이트 각각에서의 상기 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 상기 가스 확산 플레이트 각각에서의 상기 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이한 것을 특징으로 하는 플라즈마 CVD 장치.
  36. 제 1 및 제 2의 전극과,
    중성 가스 도입 파이프와,
    플라즈마 생성 영역을 분리하기 위해 제 1 및 제 2의 전극 사이에 삽입된 플라즈마 가둠 전극, 및
    중성 가스를 공급하기 위해 상기 플라즈마 가둠 전극과 상기 제 2의 전극 사이에 삽입된 가스 공급부를 포함하며,
    상기 가스 공급부는 상부 플레이트와 하부 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하고, 래디컬 통과 구멍을 구비하며,
    상기 가스 공급부는 상기 중성 가스 도입 파이프에 연결되고, 다수의 중성 가스 통과 구멍은 상기 기판 처리 영역으로 상기 중성 가스를 공급하기 위해 상기 하부 플레이트와 상기 가스 확산 플레이트 각각에 대해 마련되며,
    상기 가스 공급부의 상기 상부 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적은 상기 가스 공급부의 상기 하부 플레이트 측의 상기 가스 확산 플레이트의 상기 다수의 중성 가스 통과 구멍의 전체 구멍 면적보다 더 작고, 상기 가스 공급부의 상기 하부 플레이트 측의 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 수는 상기 가스 공급부의 상기 상부 플레이트 측의 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 수보다 더 많으며, 상기 가스 확산 플레이트 각각에서의 상기 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 상기 가스 확산 플레이트 각각에서의 상기 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이한 것을 특징으로 하는 플라즈마 CVD 장치.
  37. 제 15, 35, 36항중 어느 한 항에 있어서, 상기 가스 공급부의 하부 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 위치는 상기 가스 공급부의 상부 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 위치와 상이한 것을 특징으로 하는 플라즈마 CVD 장치.
  38. 제 37항에 있어서, 상기 가스 공급부의 하부 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 영역은 상기 가스 공급부의 상부 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 영역의 외부 영역에 정렬되는 것을 특징으로 하는 플라즈마 CVD 장치.
  39. 제 1 및 제 2의 전극과,
    중성 가스 도입 파이프와,
    플라즈마 생성 영역을 분리하기 위해 제 1 및 제 2의 전극 사이에 삽입된 플라즈마 가둠 전극, 및
    중성 가스를 공급하기 위해 상기 플라즈마 가둠 전극과 상기 제 2의 전극 사이에 삽입된 가스 공급부를 포함하며,
    상기 가스 공급부는 상부 플레이트와 하부 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하고, 래디컬 통과 구멍을 구비하며.
    상기 가스 공급부는 상기 중성 가스 도입 파이프에 연결되고, 다수의 중성 가스 통과 구멍은 기판 처리 영역으로 상기 중성 가스를 공급하기 위해 상기 하부 플레이트와 상기 가스 확산 플레이트 각각에 대해 마련되며,
    상기 다수의 중성 가스 통과 구멍으로 이루어진 구멍 영역의 분포 밀도는 상기 가스 확산 플레이트 각각의 중심부가 그 주변부보다 더 높고, 상기 가스 확산 플레이트 각각에서의 상기 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 상기 가스 확산 플레이트 각각에서의 상기 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이한 것을 특징으로 하는 플라즈마 CVD 장치.
  40. 제 1 및 제 2의 전극과,
    중성 가스 도입 파이프와,
    플라즈마 생성 영역을 분리하기 위해 제 1 및 제 2의 전극 사이에 삽입된 플라즈마 가둠 전극, 및
    중성 가스를 공급하기 위해 상기 플라즈마 가둠 전극과 상기 제 2의 전극 사이에 삽입된 가스 공급부를 포함하며,
    상기 가스 공급부는 상부 플레이트와 하부 플레이트에 의해 정의되는 중공 구조를 가지며, 상기 중공 구조 내에 마련된 가스 확산 플레이트를 구비하고, 래디컬 통과 구멍을 구비하며.
    상기 가스 공급부는 상기 중성 가스 도입 파이프에 연결되고, 다수의 중성 가스 통과 구멍은 기판 처리 영역으로 상기 중성 가스를 공급하기 위해 상기 하부 플레이트와 상기 가스 확산 플레이트 각각에 대해 마련되며,
    상기 다수의 중성 가스 통과 구멍으로 이루어진 구멍 영역의 분포 밀도는 상기 가스 확산 플레이트 각각의 중심부가 그 주변부보다 더 높고, 상기 가스 공급부의 하부 플레이트 측의 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 수는 상기 가스 공급부의 상부 플레이트 측의 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 수보다 더 많으며, 상기 가스 확산 플레이트 각각에서의 상기 다수의 중성 가스 통과 구멍 중 제 1의 구멍은 상기 가스 확산 플레이트 각각에서의 상기 다수의 중성 가스 통과 구멍 중 제 2의 구멍과 직경이 상이한 것을 특징으로 하는 플라즈마 CVD 장치.
  41. 제 21, 40, 41항중 어느 한 항에 있어서, 상기 가스 공급부의 하부 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 위치는 상기 가스 공급부의 상부 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 위치와 상이한 것을 특징으로 하는 플라즈마 CVD 장치.
  42. 제 42항에 있어서, 상기 가스 공급부의 하부 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 영역은 상기 가스 공급부의 상부 플레이트에 더 가까운 상기 가스 확산 플레이트의 상기 중성 가스 통과 구멍의 영역의 외부 영역에 정렬되는 것을 특징으로 하는 플라즈마 CVD 장치.
KR10-2000-0066426A 1999-11-10 2000-11-09 큰 면적의 화학 기상 성장막용 플라즈마 화학 기상 성장장치 KR100417011B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP31940299A JP3366301B2 (ja) 1999-11-10 1999-11-10 プラズマcvd装置
JP?11-319402? 1999-11-10

Publications (2)

Publication Number Publication Date
KR20010051570A KR20010051570A (ko) 2001-06-25
KR100417011B1 true KR100417011B1 (ko) 2004-02-05

Family

ID=18109794

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0066426A KR100417011B1 (ko) 1999-11-10 2000-11-09 큰 면적의 화학 기상 성장막용 플라즈마 화학 기상 성장장치

Country Status (4)

Country Link
US (2) US6663715B1 (ko)
JP (1) JP3366301B2 (ko)
KR (1) KR100417011B1 (ko)
TW (1) TW473865B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101105420B1 (ko) 2010-02-03 2012-01-17 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
JP4650919B2 (ja) * 2000-06-23 2011-03-16 キヤノンアネルバ株式会社 Cvd装置
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
KR100501778B1 (ko) * 2001-03-28 2005-07-20 동경 엘렉트론 주식회사 플라즈마 처리 장치
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
KR100441297B1 (ko) * 2001-09-14 2004-07-23 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
JP2004221242A (ja) * 2003-01-14 2004-08-05 Renesas Technology Corp 半導体集積回路装置およびその製造方法
JP4221526B2 (ja) * 2003-03-26 2009-02-12 キヤノンアネルバ株式会社 金属酸化物を基板表面上に形成する成膜方法
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
JP4393844B2 (ja) * 2003-11-19 2010-01-06 東京エレクトロン株式会社 プラズマ成膜装置及びプラズマ成膜方法
EP1586674A1 (en) * 2004-04-14 2005-10-19 Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO Coatings, and methods and devices for the manufacture thereof
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
EP1937871A2 (en) * 2005-10-17 2008-07-02 OC Oerlikon Balzers AG Cleaning means for large area pecvd devices using a remote plasma source
JP2007149590A (ja) * 2005-11-30 2007-06-14 Toshiba Corp ラジカル処理装置
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080113107A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for containment shielding during pecvd deposition processes
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
KR100875233B1 (ko) * 2007-02-06 2008-12-19 (주)에스이 플라즈마 돌출된 플라즈마 배출구 주위에 흡입구가 형성된 플라즈마발생장치
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5006938B2 (ja) * 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 表面処理装置およびその基板処理方法
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
KR100999583B1 (ko) * 2008-02-22 2010-12-08 주식회사 유진테크 기판처리장치 및 기판처리방법
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037823A1 (en) * 2008-08-18 2010-02-18 Applied Materials, Inc. Showerhead and shadow frame
US8367965B2 (en) * 2008-08-28 2013-02-05 Hermes-Epitek Corp. Electrode design for plasma processing chamber
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
JP2010074065A (ja) * 2008-09-22 2010-04-02 Canon Anelva Corp 酸化膜除去のための基板洗浄処理方法
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
KR101037188B1 (ko) 2008-12-17 2011-05-26 세메스 주식회사 플라즈마 처리 장치
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
KR101172147B1 (ko) * 2009-02-23 2012-08-07 시너스 테크놀리지, 인코포레이티드 플라즈마에 의한 라디칼을 이용한 박막 형성 방법
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
DE102009043840A1 (de) * 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
WO2011072061A2 (en) 2009-12-11 2011-06-16 Novellus Systems, Inc. Enhanced passivation process to protect silicon prior to high dose implant strip
JP5707174B2 (ja) * 2010-04-16 2015-04-22 キヤノンアネルバ株式会社 磁気抵抗効果素子の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TWI417417B (zh) * 2010-09-16 2013-12-01 Atomic Energy Council 無電極與薄膜污染之大面積大氣電漿鍍膜裝置
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
CN103782663B (zh) * 2011-09-08 2016-05-11 东芝三菱电机产业系统株式会社 等离子体产生装置、cvd装置及等离子体处理粒子生成装置
WO2013035375A1 (ja) 2011-09-09 2013-03-14 東芝三菱電機産業システム株式会社 プラズマ発生装置およびcvd装置
JP5613641B2 (ja) * 2011-09-12 2014-10-29 東芝三菱電機産業システム株式会社 プラズマ発生装置およびcvd装置
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8747610B2 (en) * 2012-03-30 2014-06-10 Tokyo Electron Limited Plasma source pumping and gas injection baffle
WO2013151095A1 (ja) * 2012-04-05 2013-10-10 シャープ株式会社 成膜方法、及び有機el表示装置の製造方法
US20130284093A1 (en) * 2012-04-30 2013-10-31 Semes Co., Ltd. Substrate treating apparatus
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR101527715B1 (ko) * 2013-02-21 2015-06-11 한국기계연구원 대면적 나노박막 전사 장치
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN104120410B (zh) * 2013-04-27 2017-07-04 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
JP6054249B2 (ja) * 2013-05-27 2016-12-27 住友重機械工業株式会社 成膜装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20160013020A1 (en) * 2014-07-14 2016-01-14 Lam Research Corporation Systems and methods for producing energetic neutrals
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
WO2016013131A1 (ja) 2014-07-25 2016-01-28 東芝三菱電機産業システム株式会社 ラジカルガス発生システム
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
WO2016067381A1 (ja) 2014-10-29 2016-05-06 東芝三菱電機産業システム株式会社 ガス噴射装置
CN107079575B (zh) 2014-10-29 2020-08-04 东芝三菱电机产业系统株式会社 放电发生装置
KR101974289B1 (ko) 2014-10-29 2019-04-30 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 성막 장치에의 가스 분사 장치
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102112432B1 (ko) 2016-01-06 2020-05-18 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 가스 공급 장치
JP6662998B2 (ja) * 2016-03-03 2020-03-11 コアテクノロジー株式会社 プラズマ処理装置
FI127769B (en) * 2016-03-11 2019-02-15 Beneq Oy Apparatus and method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10519545B2 (en) * 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
EP3560301B1 (de) * 2016-12-23 2021-01-20 Plasmatreat GmbH Düsenanordnung und vorrichtung zur erzeugung eines atmosphärischen plasmastrahls
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US20210040617A1 (en) * 2018-03-28 2021-02-11 Applied Materials, Inc. Remote capacitively coupled plasma deposition of amorphous silicon
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6486227A (en) 1987-09-28 1989-03-30 Matsushita Electric Ind Co Ltd Electronic equipment
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0727149A (ja) 1993-06-24 1995-01-27 Ntn Corp 遠心流体クラッチ
TW323387B (ko) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
JP3161394B2 (ja) * 1997-12-03 2001-04-25 日本電気株式会社 プラズマcvd装置
JPH11279778A (ja) * 1998-03-30 1999-10-12 Seiko Epson Corp エッチング装置及び半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101105420B1 (ko) 2010-02-03 2012-01-17 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
JP2001135628A (ja) 2001-05-18
US20040083967A1 (en) 2004-05-06
JP3366301B2 (ja) 2003-01-14
US6663715B1 (en) 2003-12-16
US6779483B2 (en) 2004-08-24
KR20010051570A (ko) 2001-06-25
TW473865B (en) 2002-01-21

Similar Documents

Publication Publication Date Title
KR100417011B1 (ko) 큰 면적의 화학 기상 성장막용 플라즈마 화학 기상 성장장치
KR100416027B1 (ko) 플라즈마 cvd 장치 및 플라즈마 cvd 방법
JP4371543B2 (ja) リモートプラズマcvd装置及び膜形成方法
US5304250A (en) Plasma system comprising hollow mesh plate electrode
KR100441297B1 (ko) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
KR101202636B1 (ko) 반도체 장치의 제조 방법 및 절연막의 에칭 방법
JP4791637B2 (ja) Cvd装置とこれを用いた処理方法
TWI301294B (en) Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7226848B2 (en) Substrate treating method and production method for semiconductor device
KR19980087249A (ko) 산화 규소막, 그의 형성 방법 및 형성 장치
US20070221129A1 (en) Apparatus for depositing atomic layer using gas separation type showerhead
KR20010030991A (ko) 박막 증착용 플라즈마의 이중 주파수 여기
KR100238573B1 (ko) 박막형성방법 및 장치
KR20070030596A (ko) 화학기상증착 챔버의 시즈닝 방법
JP2895768B2 (ja) 成膜装置
KR101929481B1 (ko) 기판 처리 장치 및 기판 처리 방법
US20050132961A1 (en) Catalytic CVD equipment, method for catalytic CVD, and method for manufacturing semiconductor device
JP2002170820A (ja) 薄膜トランジスタの製造方法およびそれに用いられるプラズマ処理装置
JP2003273094A (ja) Cvd装置及びcvd装置における成膜後の後処理工程を行う方法
KR20180134809A (ko) 기판 처리 장치 및 기판 처리 방법
KR101000308B1 (ko) 고유전막 형성 방법
US20240060174A1 (en) Method of forming material within a recess
JPH06252083A (ja) 半導体のドーピング方法
US20010031321A1 (en) Film forming method in which flow rate is switched
KR20210042653A (ko) 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130111

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20140107

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20150105

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20151217

Year of fee payment: 13

LAPS Lapse due to unpaid annual fee