JP2001135628A - プラズマcvd装置 - Google Patents

プラズマcvd装置

Info

Publication number
JP2001135628A
JP2001135628A JP31940299A JP31940299A JP2001135628A JP 2001135628 A JP2001135628 A JP 2001135628A JP 31940299 A JP31940299 A JP 31940299A JP 31940299 A JP31940299 A JP 31940299A JP 2001135628 A JP2001135628 A JP 2001135628A
Authority
JP
Japan
Prior art keywords
plasma
gas
plate
electrode plate
confining electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP31940299A
Other languages
English (en)
Other versions
JP3366301B2 (ja
Inventor
Katsuhisa Yuda
克久 湯田
Manabu Ikemoto
学 池本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Anelva Corp
NEC Corp
Original Assignee
NEC Corp
Anelva Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp, Anelva Corp filed Critical NEC Corp
Priority to JP31940299A priority Critical patent/JP3366301B2/ja
Priority to US09/706,818 priority patent/US6663715B1/en
Priority to KR10-2000-0066426A priority patent/KR100417011B1/ko
Priority to TW089123936A priority patent/TW473865B/zh
Publication of JP2001135628A publication Critical patent/JP2001135628A/ja
Application granted granted Critical
Publication of JP3366301B2 publication Critical patent/JP3366301B2/ja
Priority to US10/647,329 priority patent/US6779483B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Abstract

(57)【要約】 【課題】プラズマ領域外で供給するガスの均一性を向上
させる。 【解決手段】プラズマ生成領域と基板処理領域との間
に、複数の孔が設けられたプラズマ分離用の中空構造の
プラズマ閉込電極板5を有し、プラズマ閉込電極板5に
は、ラジカル通過孔と中性ガス通過孔が設けられ、プラ
ズマ閉込電極板の内側には、孔を有するガス拡散板7
(11,12)が複数枚設けられている。プラズマ閉込
電極板には、ガスを供給するガス導入管6が配置されて
いる。複数のガス拡散板11,12の孔の数は、プラズ
マ生成領域側よりも前記基板処理領域側の方が多い。ガ
ス拡散板7は、プラズマ閉込電極板5から離隔させて設
けることができる。ガス通過孔の開口率、面内分布、ガ
ス導入管の接続位置が工夫される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、プラズマCVD装
置及びこれを用いたプラズマCVD方法に関し、特に、
プラズマ生成領域と基板処理領域を分離され、CVD成
膜を大面積に均一に行うようになされるリモートプラズ
マCVD装置及びリモートプラズマCVD方法に関す
る。
【0002】
【従来の技術】プラズマダメージを抑制しながら基板へ
の膜形成を行うプラズマCVD装置の1つに、プラズマ
生成領域と基板処理領域を分離するリモートプラズマC
VD装置が知られている。このようなリモートプラズマ
CVD装置を用いたCVD膜形成方法は、半導体デバイ
スプロセスで高信頼性デバイスや高性能デバイスが作製
可能である処理プロセスとして重要な技術である。大面
積フラットパネルディスプレイのスイッチングトランジ
スタ形成プロセスと駆動回路トランジスタ形成プロセ
ス、及び、大口径シリコンウエハプロセスなどの大型基
板に対応できるリモートプラズマCVD装置としては、
例えば、特開平5−21393に開示されているよう
に、平行平板リモートプラズマCVD装置が知られてい
る。平行平板リモートプラズマCVD装置には、図10
に示されるように、基板103が設置される対向電極1
02と高周波印加電極101が設けられ、それらの間に
複数の孔が開いたメッシュプレートを用いたプラズマ閉
込電極108が設置され、プラズマ閉込電極108と高
周波印加電極101との間にプラズマ106が閉じ込め
られる。
【0003】平行平板で発生させた大面積均一なプラズ
マが用いられるこのような平行平板リモートプラズマC
VD装置は、基板処理に必要なラジカルの供給を大面積
均一に行うことができる特長を有している。既述の特開
平5−21393の装置は、メッシュプレートの孔、即
ち、ラジカル104の通過孔105の付近に中性ガス噴
射孔109が設けられており、ラジカル104と中性ガ
ス110の反応を用いるプロセスにおいても大面積均一
な処理が可能となっている。
【0004】このような理由により、平行平板リモート
プラズマCVD装置は、大型ガラス基板上の薄膜トラン
ジスタのゲート絶縁膜となる酸化シリコン膜や窒化シリ
コン膜、同じく大型ガラス基板上の薄膜トランジスタの
活性層やゲート電極となる非晶質シリコン膜、更に、大
型Si基板上のトランジスタ素子の層間絶縁膜となる酸
化シリコン膜や窒化シリコン膜などを成膜する方法とし
て有望視されている。
【0005】既述のように、ラジカル通過孔105の付
近に中性ガス噴射孔109を設けて、中性ガス噴射孔1
09から面内均一な中性ガス供給を行おうとすると、特
開平5−21393にも開示されているように、中空構
造のプラズマ閉込電極108を用いることになる。中空
構造のプラズマ閉込電極108では、図11,12に示
されるように、ラジカル通過孔105と中性ガス通過孔
109が独立に設けられており、中空領域内でラジカル
104と中性ガス110が混ざることはない。ここで中
空構造のプラズマ閉じ込め電極に真空チャンバ外部から
中性ガスを供給する方法として、図13に示されるよう
に、プラズマ領域中に中性ガス導入管112を通してプ
ラズマ閉込電極108の上部から中性ガス110を供給
する方法と、図14に示されるように、プラズマ閉込電
極108の側面部から中性ガスを供給する方法が考えら
れる。特開平5−21393で開示されているのは後者
の方法である。
【0006】図13に示されるように、プラズマ閉込電
極108の上部からガス供給を行う方法では、中性ガス
導入管112をプラズマ閉込電極108に面内均一に多
数設ければ、中性ガス噴射を面内均一に行うことができ
るが、そのような構造では多数の中性ガス導入管がプラ
ズマ生成領域を通ることになり、導入管付近で起きやす
い異常放電117がプラズマ閉込電極108の全体で発
生し、プラズマの状態が不安定になるという問題を有し
ている。
【0007】また、図14に示されるようなプラズマ閉
込電極108の側面部からガス供給を行う方法では、プ
ラズマ閉じ込め電極中空部内の圧力が基板処理室の成膜
圧力と同程度、即ち、数十〜数百mtorrと低圧であ
るため、図15に模式的に示されるように、中性ガス導
入管112とプラズマ閉込電極108の接続部付近の中
性ガス噴射孔から大部分のガスが噴射されてしまい、面
内均一なガス噴射が困難である。以上の問題を解決する
ためには、中空構造のプラズマ閉じ込め電極内に従来の
平行平板プラズマCVD装置のガスシャワーヘッドで用
いられているようなガス拡散板を配置すればよい。従来
のガスシャワーヘッドの構造は、図16に示されるよう
に、中性ガス導入管112と、面内均一に複数の孔が開
いた拡散板114と、面内均一にガス噴射孔を有するガ
ス噴射板115などからなる。従来の平行平板プラズマ
CVD装置においては、ガス供給管をガスシャワーヘッ
ドの上部から多数本接続できるため、図16に示される
ような構造でも均一なガス噴射が可能であるが、リモー
トプラズマCVD装置では、既述のように異常放電を避
けるため上部からのガス供給はできず、図16のような
ガス拡散板を用いた方法での面内均一な中性ガス噴射は
難しい。
【0008】プラズマ生成領域に中性ガス導入管を通さ
ず、且つ、面内均一に中性ガス噴射を行うことができる
ようなリモートプラズマCVD装置の提供が望まれる。
【0009】
【発明が解決しようとする課題】本発明の課題は、プラ
ズマ生成領域に中性ガス導入管を通さなくても、面内均
一に中性ガス噴射を行うことができるプラズマCVD装
置を提供することにある。
【0010】
【課題を解決するための手段】本発明によるプラズマC
VD装置は、プラズマ生成領域と基板処理領域との間
に、複数のラジカル通過孔が設けられたプラズマ分離用
のプラズマ閉込電極板を有するプラズマCVD装置であ
って、前記プラズマ閉込電極板は中空構造であり、前記
プラズマ閉込電極板には、基板処理領域側に開口された
中性ガス噴射孔が設けられており、前記プラズマ閉込電
極板の内側には、中性ガス通過孔を有するガス拡散板が
複数枚設けられており、前記プラズマ閉込電極板には、
ガスを供給するガス導入管が配置されており、前記複数
のガス拡散板の中性ガス通過孔の開口率は、前記プラズ
マ生成領域側よりも前記基板処理領域側の方が高いこと
を特徴としている。
【0011】このように、開口率の小さいガス拡散板か
ら開口率の高いガス拡散板へと通過している間に段々に
面内均一化作用を受け、プラズマ生成領域に中性ガス導
入管を通す必要がなくなる。
【0012】また、本発明によるプラズマCVD装置
は、プラズマ生成領域と基板処理領域との間に、複数の
ラジカル通過孔が設けられたプラズマ分離用のプラズマ
閉込電極板を有するプラズマCVD装置であって、前記
プラズマ閉込電極板は中空構造であり、前記プラズマ閉
込電極板には、基板処理領域側に開口された中性ガス噴
射孔が設けられており、前記プラズマ閉込電極板の内側
には、中性ガス通過孔を有するガス拡散板が複数枚設け
られており、前記プラズマ閉込電極板には、ガスを供給
するガス導入管が配置されており、前記ガス拡散板毎の
中性ガス通過孔の開口率面内分布は、外周側よりも中心
側の方が開口率が高くなっていることを特徴としてい
る。
【0013】中性ガスは、プラズマ閉じ込め電極の外周
付近から供給されるため外周側のガス濃度が高くなりや
すいが、中心側の開口率を高くすることで均一化する作
用がある。したがってプラズマ生成領域に中性ガス導入
管を通す必要がなくなる。
【0014】ガス導入管のプラズマ閉込電極板に対する
接続位置は、プラズマ閉込電極板の側面部であるか、又
は、プラズマ閉込電極板のプラズマ生成領域の側の外周
部であることが好ましい。
【0015】また、本発明によるプラズマCVD装置
は、プラズマ生成領域と基板処理領域との間に、複数の
ラジカル通過孔が設けられたプラズマ分離用のプラズマ
閉込電極板を有し、前記プラズマ閉込電極板と基板の間
にガス供給板が配置されているプラズマCVD装置であ
って、前記ガス供給板は中空構造であり、前記ガス供給
板には、ラジカル通過孔と前記基板処理領域の側に開口
された中性ガス噴射孔が設けられており、前記ガス供給
板の内側には、中性ガス通過孔を有するガス拡散板が複
数枚設けられており、前記ガス供給板には、ガスを供給
するガス導入管が配置されており、前記複数のガス拡散
板の前記中性ガス通過孔の開口率は、前記プラズマ生成
領域側よりも前記基板処理領域側の方が高いことを特徴
としている。このような構成によっても既述の通りの効
果を示す。
【0016】中性ガス通過孔の開口率面内分布も既述の
通りである。ガス導入管のガス供給板に対する接続位置
も既述の接続位置に対応して形成される。
【0017】このようなガス拡散板においては、各拡散
板の前記孔の中心位置が直線上にないことが好ましい。
ガス拡散板は、拡散板の直上からの視点では、各拡散板
の孔の領域が重ならないことがよい。このようなプラズ
マCVD装置を用いて基板処理領域に配置された基板上
に膜成長が行われる。
【0018】
【発明の実施の形態】本発明によるプラズマCVD装置
の実施の形態は、平行平板リモートプラズマCVDによ
り酸化シリコン膜形成が行われる。真空排気可能な真空
チャンバー1に、図1に示されるように、板状の高周波
印加電極2と板状の対向電極3とが設けられている。対
向電極3は高周波印加電極2に対向し、それらの電極面
は互いに平行である。基板4は、対向電極3の上面に載
置される。
【0019】高周波印加電極2と対向電極3との間に、
プラズマを閉じ込めるためのプラズマ閉込電極5が介設
されている。プラズマ閉込電極5は、接地されている。
中性ガス導入管6が、プラズマ閉込電極5に接続してい
る。中性ガス導入管6のガス導入端は、プラズマ閉込電
極5の側面で開口している。中性ガスは、プラズマ閉込
電極5の側面からそれの中に導入される。プラズマ閉込
電極5の中に、ガス拡散板7が配置されている。
【0020】プラズマ閉込電極5は、図2に示されるよ
うに、プラズマ閉込電極上部板8とプラズマ閉込電極下
部板9とから形成されている。プラズマ閉込電極5の四
周側面は概ね側板(図示されず)により閉じられてい
る。ガスを均一に拡散するためのガス拡散板7は、プラ
ズマ閉込電極上部板8とプラズマ閉込電極下部板9に挟
まれている中空部に配置されている。ガス拡散板7は、
第1ガス拡散板11と第2ガス拡散板12とから形成さ
れている。
【0021】プラズマ閉込電極5は、複数のラジカル通
過孔13を有している。ガス拡散板7は、複数の中性ガ
ス通過孔14を有している。複数のラジカル通過孔13
は、プラズマ閉込電極5を上下方向に貫通し、即ち、プ
ラズマ閉込電極上部板8とガス拡散板7とプラズマ閉込
電極下部板9を上下方向に貫通している。複数のラジカ
ル通過孔13は、均一な面密度(開口率)で分散して分
布するように配置されている。
【0022】ガス拡散板7を形成する第1ガス拡散板1
1と第2ガス拡散板12には、第1中性ガス通過孔14
と第2中性ガス通過孔15とがそれぞれに開けられてい
る。プラズマ閉込電極下部板9には、第3中性ガス通過
孔16が開けられている。プラズマ閉込電極上部板8か
らプラズマ閉込電極下部板9の間で貫通されて形成され
ているラジカル通過孔13の開口の孔径は、発生させた
酸素プラズマを効率よく閉じ込められるように、発生さ
せた酸素プラズマにおけるプラズマのデバイ長の2倍以
下程度の長さに設計されている。
【0023】図3(a),(b)はプラズマ閉込電極5
の孔開けに関する実施の形態を示し、同図(a)はプラ
ズマ閉込電極上部板8に関して示し、同図(b)はプラ
ズマ閉込電極下部板9に関して示している。ラジカル通
過孔13と第3中性ガス通過孔16は、プラズマ閉込電
極上部板8とプラズマ閉込電極下部板9でそれぞれに板
内均一に孔開けされて形成されている。
【0024】図4(a),(b)はガス拡散板7の孔開
けに関する実施の形態を示し、同図(a)は第1ガス拡
散板11に関して示し、同図(b)は第2ガス拡散板1
2に関して示している。第1中性ガス通過孔14は、第
1ガス拡散板11でその中心付近で孔開けされて形成さ
れている。第2中性ガス通過孔15は、第2ガス拡散板
12で第1ガス拡散板11の第1中性ガス通過孔14と
同等の位置に孔開けされて形成され、更に、それらの孔
の外周に広がる領域にも孔開けされてより広域に形成さ
れている。
【0025】図2に示されるように、プラズマ閉込電極
上部板8と第1ガス拡散板11との間に、モノシランガ
ス19が中性ガス導入管6により供給され、モノシラン
ガス19が第1ガス拡散板11の第1中性ガス通過孔1
4によって均一化され、第2ガス拡散板12の第2中性
ガス通過孔15によって更に均一化され、最後にプラズ
マ閉込電極下部板9の第3中性ガス通過孔16から、面
内均一にモノシランガス19が対向電極3(図1)に向
かう。図2には、第1ガス拡散板11と第2ガス拡散板
12の2枚の拡散板のみが示されているが、拡散板は複
数枚ならば何枚でもよい。
【0026】このような装置により、酸化シリコン膜が
形成される。真空排気されたCVDチャンバー1の中
で、図1に示されるように、高周波印加電極2に酸素ガ
ス18が導入され、ガス拡散板7を有するプラズマ閉込
電極5との間でグロー放電が起こる。発生した酸素プラ
ズマ22は、高周波印加電極2と拡散板7を有するプラ
ズマ閉込電極5との間で効率よく閉じこめられている。
【0027】その結果、酸素プラズマ22の中のプラズ
マ密度が10の10乗(cmのマイナス3乗)程度であ
るのに対し、拡散板を有するプラズマ閉込電極5と対向
電極23との間のプラズマ密度は、10の5乗〜10の
6乗(cmのマイナス3乗)程度となっている。
【0028】即ち、酸素プラズマ中では、電子、酸素原
子イオン、酸素分子イオン、酸素原子ラジカル、酸素分
子ラジカルが存在するが、プラズマ外に侵入する電子及
びイオンは無視できる程度である。したがって、プラズ
マ外で噴射されるモノシランガス19と反応して酸化シ
リコン膜成膜に寄与するのは、酸素原子ラジカルと酸素
分子ラジカルである。以下、これらのラジカルは単に酸
素ラジカルといわれる。酸素ラジカル21は、ラジカル
通過孔13を通って基板処理領域に拡散し、第3中性ガ
ス通過孔16を通過したモノシランガス19と反応して
SiOx、SiOxHyなどの酸化シリコン前駆体を形
成し、基板3上に酸化シリコン膜を形成する。
【0029】既述したように、プラズマ閉込電極5と対
向電極3との間のプラズマ密度は非常に低くなっている
ために、通常の平行平板プラズマCVDに比べて基板4
へのプラズマダメージは非常に低くなっている。この効
果は、基板表面がMOS界面を形成するシリコン表面の
場合には顕著に現れ、通常の平行平板プラズマCVDで
単結晶シリコン基板上にSiO2膜を形成した場合に、
そのMOS界面準位密度がミッドギャップ付近で10の
11乗〜10の12乗(cmのマイナス2乗)(eVの
マイナス1乗)であるのに対し、平行平板リモートプラ
ズマCVDで酸化シリコン膜を形成した場合には、10
の10乗(cmのマイナス2乗)(eVのマイナス1
乗)台の低界面準位密度となる。
【0030】このように、拡散板を有するプラズマ閉込
電極内で、プラズマ閉込電極上部板8とプラズマ閉込電
極下部板9に挟まれた中空部に配置された複数のガス拡
散板11,12の孔の開口方法が工夫され、第3中性ガ
ス通過孔16からのモノシランガス19の通過が面内均
一になっており、形成される酸化シリコン前駆体の基板
上分布が均一化され、基板4上に形成される酸化シリコ
ン膜の面内膜質均一性が向上する。
【0031】既述の実施の形態のプラズマ閉込電極内の
モノシランガスの流れは以下の通りである。 (1)プラズマ閉込電極上部板8と第1ガス拡散板11
の中性ガス通過孔14の間にモノシランガス19が供給
される。図5(a)に示されるように、この時点ではモ
ノシランガスの濃度分布は、外周が高く中心が低い。 (2)第1ガス拡散板11の中央付近の中性ガス通過孔
14をモノシランガスが通過するとき、図5(b)に示
されるように、この時点でモノシランガスの濃度分布
は、中央が高く外周が低い分布になる。このように、中
性ガス導入管をプラズマ流域を通すことなく、拡散板の
通過孔分布を工夫したことにより、中央のガス濃度が高
い分布が実現されている。 (3)第2ガス拡散板12の第2中性ガス通過孔15を
モノシランガスが通過するとき、図5(c)に示される
ように、この時点でモノシランガスの濃度分布は、第2
中性ガス通過孔15の分布を反映して、図5(b)に示
される濃度分布より緩やかな分布勾配になる。 (4)プラズマ閉込電極下部板9の第3中性ガス通過孔
16をモノシランガスが通過するとき、図5(d)に示
されるように、モノシランガスの濃度分布は、図5
(c)に示される濃度分布に比べて更に緩やかになり、
ほぼ面内均一なガス噴射が行われる。
【0032】既述の実施の形態では、ガス拡散板の枚数
は2枚であったが、図6(a),(b),(c)に示さ
れるように、第3ガス拡散板12’が追加されて、3枚
のガス拡散板11,12,12’を用いることが拡散性
能を高めるためによく、4枚以上の拡散板を用いること
が更によい。多くのガス拡散板を用いた方が、ガス濃度
の均一性は向上するが、プラズマ閉込電極5の構造が複
雑になり、ラジカル通過孔が長くなると通過孔壁でのラ
ジカル中性化を促進することなどの問題が派生する。
【0033】更に、図7(a),(b)に示される実施
の形態では、複数の拡散板の各板の孔位置が重ならない
ように配置している。孔位置が重なっていると、拡散板
間距離と同じ長さのガス通過経路が存在してしまい、ガ
ス拡散板間で横方向のガス拡散が行われる前にガス通過
孔を抜けてしまう。図7に示されるように孔位置が重な
らなければ、横方向のガス拡散を促すことができる。
【0034】図8は、プラズマ閉込電極への中性ガス導
入方法に関して、図1と別の例を示したものである。図
8では、モノシランなどの中性ガス導入管6が拡散板を
有するプラズマ閉込電極5のプラズマ生成領域側の面の
外周近くに接続されている。中性ガス導入管6がプラズ
マ生成領域を通ることになるが、通過部分がプラズマ閉
込電極5の外周部であるため、異常放電が起こったとし
ても、プラズマ閉じ込め電極の全面で異常放電が起こる
場合に比べて、プラズマ状態の変動はわずかである。
【0035】このように、ガス拡散板は、プラズマ閉込
電極5の中に配置されていれば、本発明の趣旨を逸脱し
ない範囲で必要に応じた形状、枚数を採択することがで
きる。また、既述の実施の形態における中性ガス供給管
は、チャンバ外からプラズマ閉込電極5の内外周付近に
ガスを供給するものであれば、本発明の要旨を逸脱しな
い範囲で必要に応じた接続方法、本数、形状を採択する
ことができる。
【0036】図9は、本発明によるプラズマCVD装置
の実施の更に他の形態を示している。図9に示される平
行平板リモートプラズマCVDが図1に示されるそれと
異なる点は、中性ガス導入管6が接続されて中性ガスが
その中に導入され、ガス拡散板7でガス濃度を均一化し
て基板4の側へそのガスを噴射するためのガス供給板2
9が、プラズマ閉込めの機能を有していないことであ
る。
【0037】ガス拡散板7を有するガス供給板29のラ
ジカル通過孔13’は、ラジカルの均一噴射が可能であ
ればその孔径は任意である。更に、電気的に接地せずに
電気的浮遊状態で使用することが可能である。ガス供給
板29は、プラズマ閉込電極5’と対向電極3との間に
位置している。図1の実施の形態では、電極5がガス供
給板29に対応する機能を有しているが、図9の実施の
形態では、電極5’はプラズマ閉じ込めの機能のみを有
し、ガス拡散とプラズマ閉じ込めの機能とが完全に分離
されている。
【0038】拡散板を有するガス供給板29と拡散板を
有するプラズマ閉込電極5は、同様の幾何学的配置構造
を有しており、ガス拡散板の数、孔分布などに関する考
え方は、全実施の形態で共通である。したがって、実施
の形態としては、図9の拡散板は図3、図4、図6、図
7に示される実施の形態がそのまま踏襲され得る。
【0039】これらの実施の形態では、モノシランと酸
素を用いた酸化シリコン膜形成について記述されている
が、モノシランのかわりにジシランなどの高次シランや
TEOS(Tetraethoxysilane)など
の液体Si原料などでもよく、酸素のかわりに亜酸化窒
素、酸化窒素などを用いてもよい。酸化シリコン膜形成
に代わり、モノシランとアンモニアの反応による窒化シ
リコン膜形成、モノシランと不活性ラジカルの反応によ
る非晶質シリコン膜形成など他の材料のプラズマCVD
成膜に関しても、既述の効果と同じ効果を得ることがで
きる。
【0040】更に、平行平板リモートプラズマCVD装
置が記述されたが、本発明は、プラズマ生成領域と基板
処理領域の間に複数の孔が設けられたプラズマ分離用の
プラズマ閉込電極を有するプラズマCVD装置であれ
ば、マイクロ波プラズマ、電子サイクロトロン共鳴プラ
ズマ、誘導結合プラズマ、ヘリコン波プラズマを用いた
プラズマCVD装置など、どのような形態の装置であっ
ても適用され得る。
【0041】
【発明の効果】本発明によるプラズマCVD装置は、プ
ラズマ領域外で噴射する中性ガスの濃度をより面内均一
にすることができる。
【0042】プラズマ生成領域中、特にプラズマ生成領
域の中心付近にガス導入管を通す必要がないため、プラ
ズマ状態の不安定要因となる異常放電が生じることはな
い。このようにプラズマ外での面内均一な中性ガスの通
過が可能になるため、MOS素子のゲート絶縁膜や層間
絶縁膜、さらに薄膜トランジスタ素子のシリコン膜や窒
化シリコン膜などを作製する際、プラズマダメージによ
る欠陥のない高品質な膜を大面積基板に均一に形成する
ことができる。
【図面の簡単な説明】
【図1】図1は、本発明によるプラズマCVD装置の実
施の形態を示す断面図である。
【図2】図2は、図1の一部の詳細を示す断面図であ
る。
【図3】図3(a),(b)は、電極の上部板と下部板
をそれぞれに示す平面図である。
【図4】図4(a),(b)は、第1,2拡散板をそれ
ぞれに示す平面図である。
【図5】図5(a),(b),(c),(d)は、拡散
板通過ガスの濃度分布を示すグラフである。
【図6】図6(a),(b),(c)は、第1,2,3
拡散板をそれぞれに示す平面図である。
【図7】図7(a),(b)は、他の第1,2拡散板を
それぞれに示す平面図である。
【図8】図8は、本発明によるプラズマCVD装置の他
の実施の形態を示す断面図である。
【図9】図9は、本発明によるプラズマCVD装置の更
に他の実施の形態を示す断面図である。
【図10】図10は、公知装置を示す断面図である。
【図11】図11は、図10の一部を詳細に示す断面図
である。
【図12】図12は、中空構造のプラズマ閉込電極を示
す平面図である。
【図13】図13は、他の公知装置を示す断面図であ
る。
【図14】図14は、更に他の公知装置を示す断面図で
ある。
【図15】図15は、公知の電極を詳細に示す断面図で
ある。
【図16】図16は、公知の他の電極を詳細に示す断面
図である。
【符号の説明】
3…対向電極 5…プラズマ閉込電極 6…ガス導入管 7…ガス拡散板 8…プラズマ閉込電極上部板 9…プラズマ閉込電極下部板 11…第1ガス拡散板 12…第2ガス拡散板 13…(第1,2)ラジカル通過孔 14…第1中性ガス通過孔 15…第2中性ガス通過孔 16…第3中性ガス通過孔
───────────────────────────────────────────────────── フロントページの続き (72)発明者 池本 学 東京都府中市四谷5丁目8番1号 アネル バ株式会社内 Fターム(参考) 4K030 AA06 AA14 BA44 EA06 FA03 KA12 KA17 LA15 LA18 5F045 AA08 AB32 AC01 AC11 BB02 DP03 EB02 EF05 EF07 EF08 EH05 EH14

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】プラズマ生成領域と基板処理領域との間
    に、複数のラジカル通過孔が設けられたプラズマ分離用
    のプラズマ閉込電極板を有するプラズマCVD装置であ
    って、前記プラズマ閉込電極板は中空構造であり、前記
    プラズマ閉込電極板には、基板処理領域側に開口された
    中性ガス噴射孔が設けられており、前記プラズマ閉込電
    極板の内側には、中性ガス通過孔を有するガス拡散板が
    複数枚設けられており、前記プラズマ閉込電極板には、
    ガスを供給するガス導入管が配置されており、前記複数
    のガス拡散板の中性ガス通過孔の開口率は、前記プラズ
    マ生成領域側よりも前記基板処理領域側の方が高いこと
    を特徴とするプラズマCVD装置。
  2. 【請求項2】プラズマ生成領域と基板処理領域との間
    に、複数のラジカル通過孔が設けられたプラズマ分離用
    のプラズマ閉込電極板を有するプラズマCVD装置であ
    って、前記プラズマ閉込電極板は中空構造であり、前記
    プラズマ閉込電極板には、基板処理領域側に開口された
    中性ガス噴射孔が設けられており、前記プラズマ閉込電
    極板の内側には、中性ガス通過孔を有するガス拡散板が
    複数枚設けられており、前記プラズマ閉込電極板には、
    ガスを供給するガス導入管が配置されており、前記ガス
    拡散板毎の中性ガス通過孔の開口率面内分布は、外周側
    よりも中心側の方が開口率が高くなっていることを特徴
    とするプラズマCVD装置。
  3. 【請求項3】請求項1又は2において、前記ガス導入管
    の前記プラズマ閉込電極板に対する接続位置が、前記プ
    ラズマ閉込電極板の側面部であることを特徴とするプラ
    ズマCVD装置。
  4. 【請求項4】請求項1又は2において、前記ガス導入管
    の前記プラズマ閉込電極板に対する接続位置が、前記プ
    ラズマ閉込電極板のプラズマ生成領域側の面の外周部で
    あることを特徴とするプラズマCVD装置。
  5. 【請求項5】プラズマ生成領域と基板処理領域との間
    に、複数のラジカル通過孔が設けられたプラズマ分離用
    のプラズマ閉込電極板を有し、前記プラズマ閉込電極板
    と基板の間にガス供給板が配置されているプラズマCV
    D装置であって、前記ガス供給板は中空構造であり、前
    記ガス供給板には、ラジカル通過孔と前記基板処理領域
    の側に開口された中性ガス噴射孔が設けられており、前
    記ガス供給板の内側には、中性ガス通過孔を有するガス
    拡散板が複数枚設けられており、前記ガス供給板には、
    ガスを供給するガス導入管が配置されており、前記複数
    のガス拡散板の前記中性ガス通過孔の開口率は、前記プ
    ラズマ生成領域側よりも前記基板処理領域側の方が高い
    ことを特徴とするプラズマCVD装置。
  6. 【請求項6】プラズマ生成領域と基板処理領域との間
    に、複数のラジカル通過孔が設けられたプラズマ分離用
    のプラズマ閉込電極板を有し、前記プラズマ閉込電極板
    と基板の間にガス供給板が配置されているプラズマCV
    D装置であって、前記ガス供給板は中空構造であり、前
    記ガス供給板には、ラジカル通過孔と前記基板処理領域
    の側に開口された中性ガス噴射孔が設けられており、前
    記ガス供給板の内側には、中性ガス通過孔を有するガス
    拡散板が複数枚設けられており、前記ガス供給板には、
    ガスを供給するガス導入管が配置されており、前記複数
    のガス拡散板の前記中性ガス通過孔の開口率面内分布
    は、外周側よりも中心側の方が開口率が高くなっている
    ことを特徴とするプラズマCVD装置。
  7. 【請求項7】請求項5又は6において、前記ガス導入管
    の前記ガス供給板に対する接続位置が、前記ガス供給板
    の側面部であることを特徴とするプラズマCVD装置。
  8. 【請求項8】請求項5又は6において、前記ガス導入管
    の前記ガス供給板に対する接続位置が、前記ガス供給板
    のプラズマ閉込電極板の側の面の外周部であることを特
    徴とするプラズマCVD装置。
  9. 【請求項9】請求項1〜8から選択される1請求項にお
    いて、前記ガス拡散板は、各前記ガス拡散板の前記孔の
    中心位置が直線上にないことを特徴とするプラズマCV
    D装置。
  10. 【請求項10】請求項1〜8から選択される1請求項に
    おいて、前記ガス拡散板は、前記ガス拡散板の直上から
    の視点では、各ガス拡散板の領域が重ならないことを特
    徴とするプラズマCVD装置。
  11. 【請求項11】請求項1〜10から選択される1請求項
    に記載されるプラズマCVD装置を用いて前記基板処理
    領域に配置された基板上膜成長を行うことを特徴とする
    プラズマCVD成膜方法。
JP31940299A 1999-11-10 1999-11-10 プラズマcvd装置 Expired - Fee Related JP3366301B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP31940299A JP3366301B2 (ja) 1999-11-10 1999-11-10 プラズマcvd装置
US09/706,818 US6663715B1 (en) 1999-11-10 2000-11-07 Plasma CVD apparatus for large area CVD film
KR10-2000-0066426A KR100417011B1 (ko) 1999-11-10 2000-11-09 큰 면적의 화학 기상 성장막용 플라즈마 화학 기상 성장장치
TW089123936A TW473865B (en) 1999-11-10 2000-11-10 Plasma CVD apparatus for large area CVD film
US10/647,329 US6779483B2 (en) 1999-11-10 2003-08-26 Plasma CVD apparatus for large area CVD film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP31940299A JP3366301B2 (ja) 1999-11-10 1999-11-10 プラズマcvd装置

Publications (2)

Publication Number Publication Date
JP2001135628A true JP2001135628A (ja) 2001-05-18
JP3366301B2 JP3366301B2 (ja) 2003-01-14

Family

ID=18109794

Family Applications (1)

Application Number Title Priority Date Filing Date
JP31940299A Expired - Fee Related JP3366301B2 (ja) 1999-11-10 1999-11-10 プラズマcvd装置

Country Status (4)

Country Link
US (2) US6663715B1 (ja)
JP (1) JP3366301B2 (ja)
KR (1) KR100417011B1 (ja)
TW (1) TW473865B (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002080968A (ja) * 2000-06-23 2002-03-22 Anelva Corp Cvd装置
EP1462543A1 (en) * 2003-03-26 2004-09-29 Anelva Corporation Film-forming method for forming metal oxide on substrate surface
JP2007149590A (ja) * 2005-11-30 2007-06-14 Toshiba Corp ラジカル処理装置
JP2008192618A (ja) * 2007-02-06 2008-08-21 Se Plasma Inc 突出したプラズマ排出口の周囲に吸入口が形成されたプラズマ発生装置
JP2010074065A (ja) * 2008-09-22 2010-04-02 Canon Anelva Corp 酸化膜除去のための基板洗浄処理方法
JP2011238900A (ja) * 2010-04-16 2011-11-24 Canon Anelva Corp 磁気抵抗効果素子の製造方法
US8105440B2 (en) 2001-01-22 2012-01-31 Canon Anelva Corporation Method of cleaning a CVD device
WO2013035375A1 (ja) 2011-09-09 2013-03-14 東芝三菱電機産業システム株式会社 プラズマ発生装置およびcvd装置
WO2013035377A1 (ja) 2011-09-08 2013-03-14 東芝三菱電機産業システム株式会社 プラズマ発生装置、cvd装置およびプラズマ処理粒子生成装置
JP2013062270A (ja) * 2011-09-12 2013-04-04 Toshiba Mitsubishi-Electric Industrial System Corp プラズマ発生装置およびcvd装置
WO2013151095A1 (ja) * 2012-04-05 2013-10-10 シャープ株式会社 成膜方法、及び有機el表示装置の製造方法
KR101527715B1 (ko) * 2013-02-21 2015-06-11 한국기계연구원 대면적 나노박막 전사 장치
JP2015532016A (ja) * 2012-09-21 2015-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ラジカルの化学的性質の複数の流れ経路を使用した調節及び制御
KR20170054495A (ko) 2014-10-29 2017-05-17 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 성막 장치에의 가스 분사 장치
KR20170057394A (ko) 2014-10-29 2017-05-24 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 가스 분사 장치
WO2017119074A1 (ja) 2016-01-06 2017-07-13 東芝三菱電機産業システム株式会社 ガス供給装置
US10083830B2 (en) 2007-11-02 2018-09-25 Canon Anelva Corporation Substrate cleaning method for removing oxide film
US10450654B2 (en) 2014-07-25 2019-10-22 Toshiba Mitsubishi-Electric Industrial Systems Corporation Radical gas generation system
US11466366B2 (en) 2014-10-29 2022-10-11 Toshiba Mitsubishi—Electric Industrial Systems Corporation Electric discharge generator and power supply device of electric discharge generator

Families Citing this family (209)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
IL153154A (en) * 2001-03-28 2007-03-08 Tadahiro Ohmi Plasma processing device
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
KR100441297B1 (ko) * 2001-09-14 2004-07-23 주성엔지니어링(주) 리모트 플라즈마를 이용하는 ccp형 pecvd장치
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
JP2004221242A (ja) * 2003-01-14 2004-08-05 Renesas Technology Corp 半導体集積回路装置およびその製造方法
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
JP4273932B2 (ja) * 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
JP4393844B2 (ja) * 2003-11-19 2010-01-06 東京エレクトロン株式会社 プラズマ成膜装置及びプラズマ成膜方法
EP1586674A1 (en) * 2004-04-14 2005-10-19 Nederlandse Organisatie voor Toegepast-Natuuurwetenschappelijk Onderzoek TNO Coatings, and methods and devices for the manufacture thereof
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
KR20080060241A (ko) * 2005-10-17 2008-07-01 오씨 외를리콘 발처스 악티엔게젤샤프트 원거리 플라즈마 소스를 이용한 대면적 pecvd 장치용클리닝 방법
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) * 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080113107A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for containment shielding during pecvd deposition processes
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
KR100999583B1 (ko) * 2008-02-22 2010-12-08 주식회사 유진테크 기판처리장치 및 기판처리방법
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037823A1 (en) * 2008-08-18 2010-02-18 Applied Materials, Inc. Showerhead and shadow frame
US8367965B2 (en) * 2008-08-28 2013-02-05 Hermes-Epitek Corp. Electrode design for plasma processing chamber
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
KR101037188B1 (ko) 2008-12-17 2011-05-26 세메스 주식회사 플라즈마 처리 장치
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) * 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8758512B2 (en) 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
DE102009043840A1 (de) * 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
KR101770008B1 (ko) 2009-12-11 2017-08-21 노벨러스 시스템즈, 인코포레이티드 고주입량 주입 박리 전에 실리콘을 보호하기 위한 개선된 패시베이션 공정
KR101105420B1 (ko) 2010-02-03 2012-01-17 성균관대학교산학협력단 플라즈마 기판 처리 장치 및 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TWI417417B (zh) * 2010-09-16 2013-12-01 Atomic Energy Council 無電極與薄膜污染之大面積大氣電漿鍍膜裝置
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8747610B2 (en) * 2012-03-30 2014-06-10 Tokyo Electron Limited Plasma source pumping and gas injection baffle
US20130284093A1 (en) * 2012-04-30 2013-10-31 Semes Co., Ltd. Substrate treating apparatus
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US11326255B2 (en) * 2013-02-07 2022-05-10 Uchicago Argonne, Llc ALD reactor for coating porous substrates
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN104120410B (zh) * 2013-04-27 2017-07-04 北京北方微电子基地设备工艺研究中心有限责任公司 一种反应腔室及等离子体加工设备
JP6054249B2 (ja) * 2013-05-27 2016-12-27 住友重機械工業株式会社 成膜装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US20160013020A1 (en) * 2014-07-14 2016-01-14 Lam Research Corporation Systems and methods for producing energetic neutrals
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017149739A1 (ja) * 2016-03-03 2017-09-08 コアテクノロジー株式会社 プラズマ処理装置及びプラズマ処理用反応容器の構造
FI127769B (en) * 2016-03-11 2019-02-15 Beneq Oy Apparatus and method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10519545B2 (en) 2016-05-31 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN110178449B (zh) * 2016-12-23 2021-07-23 等离子体处理有限公司 喷嘴组件和用于制造大气等离子体射流的装置
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
SG11202008981TA (en) * 2018-03-28 2020-10-29 Applied Materials Inc Remote capacitively coupled plasma deposition of amorphous silicon
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102516885B1 (ko) * 2018-05-10 2023-03-30 삼성전자주식회사 증착 장비 및 이를 이용한 반도체 장치 제조 방법
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11111578B1 (en) 2020-02-13 2021-09-07 Uchicago Argonne, Llc Atomic layer deposition of fluoride thin films
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source
US11901169B2 (en) 2022-02-14 2024-02-13 Uchicago Argonne, Llc Barrier coatings

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6486227A (en) 1987-09-28 1989-03-30 Matsushita Electric Ind Co Ltd Electronic equipment
DE4029268C2 (de) * 1990-09-14 1995-07-06 Balzers Hochvakuum Verfahren zur gleichspannungs-bogenentladungs-unterstützten, reaktiven Behandlung von Gut und Vakuumbehandlungsanlage zur Durchführung
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH0727149A (ja) 1993-06-24 1995-01-27 Ntn Corp 遠心流体クラッチ
TW323387B (ja) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
JP3161394B2 (ja) * 1997-12-03 2001-04-25 日本電気株式会社 プラズマcvd装置
JPH11279778A (ja) * 1998-03-30 1999-10-12 Seiko Epson Corp エッチング装置及び半導体装置の製造方法

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002080968A (ja) * 2000-06-23 2002-03-22 Anelva Corp Cvd装置
JP4650919B2 (ja) * 2000-06-23 2011-03-16 キヤノンアネルバ株式会社 Cvd装置
US8105440B2 (en) 2001-01-22 2012-01-31 Canon Anelva Corporation Method of cleaning a CVD device
EP1462543A1 (en) * 2003-03-26 2004-09-29 Anelva Corporation Film-forming method for forming metal oxide on substrate surface
JP2007149590A (ja) * 2005-11-30 2007-06-14 Toshiba Corp ラジカル処理装置
JP2008192618A (ja) * 2007-02-06 2008-08-21 Se Plasma Inc 突出したプラズマ排出口の周囲に吸入口が形成されたプラズマ発生装置
US10083830B2 (en) 2007-11-02 2018-09-25 Canon Anelva Corporation Substrate cleaning method for removing oxide film
JP2010074065A (ja) * 2008-09-22 2010-04-02 Canon Anelva Corp 酸化膜除去のための基板洗浄処理方法
JP2011238900A (ja) * 2010-04-16 2011-11-24 Canon Anelva Corp 磁気抵抗効果素子の製造方法
JPWO2013035377A1 (ja) * 2011-09-08 2015-03-23 東芝三菱電機産業システム株式会社 プラズマ発生装置、cvd装置およびプラズマ処理粒子生成装置
WO2013035377A1 (ja) 2011-09-08 2013-03-14 東芝三菱電機産業システム株式会社 プラズマ発生装置、cvd装置およびプラズマ処理粒子生成装置
US10297423B2 (en) 2011-09-08 2019-05-21 Toshiba Mitsubishi—Electric Industrial Systems Corporation Plasma generation apparatus, CVD apparatus, and plasma-treated particle generation apparatus
WO2013035375A1 (ja) 2011-09-09 2013-03-14 東芝三菱電機産業システム株式会社 プラズマ発生装置およびcvd装置
JPWO2013035375A1 (ja) * 2011-09-09 2015-03-23 東芝三菱電機産業システム株式会社 プラズマ発生装置およびcvd装置
JP2013062270A (ja) * 2011-09-12 2013-04-04 Toshiba Mitsubishi-Electric Industrial System Corp プラズマ発生装置およびcvd装置
WO2013151095A1 (ja) * 2012-04-05 2013-10-10 シャープ株式会社 成膜方法、及び有機el表示装置の製造方法
JP2015532016A (ja) * 2012-09-21 2015-11-05 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ラジカルの化学的性質の複数の流れ経路を使用した調節及び制御
KR101527715B1 (ko) * 2013-02-21 2015-06-11 한국기계연구원 대면적 나노박막 전사 장치
US10450654B2 (en) 2014-07-25 2019-10-22 Toshiba Mitsubishi-Electric Industrial Systems Corporation Radical gas generation system
KR20170057394A (ko) 2014-10-29 2017-05-24 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 가스 분사 장치
KR20170054495A (ko) 2014-10-29 2017-05-17 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 성막 장치에의 가스 분사 장치
US10676825B2 (en) 2014-10-29 2020-06-09 Toshiba Mitsubishi-Electric Industrial Systems Corporation Gas jetting apparatus for film formation apparatus
US11007497B2 (en) 2014-10-29 2021-05-18 Toshiba Mitsubishi-Electric Industrial Systems Corporation Gas jetting apparatus
US11466366B2 (en) 2014-10-29 2022-10-11 Toshiba Mitsubishi—Electric Industrial Systems Corporation Electric discharge generator and power supply device of electric discharge generator
KR20180077274A (ko) 2016-01-06 2018-07-06 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 가스 공급 장치
WO2017119074A1 (ja) 2016-01-06 2017-07-13 東芝三菱電機産業システム株式会社 ガス供給装置
US11453945B2 (en) 2016-01-06 2022-09-27 Toshiba Mitsubishi-Electric Industrial Systems Corporation Gas supply apparatus

Also Published As

Publication number Publication date
KR100417011B1 (ko) 2004-02-05
KR20010051570A (ko) 2001-06-25
US20040083967A1 (en) 2004-05-06
US6779483B2 (en) 2004-08-24
JP3366301B2 (ja) 2003-01-14
US6663715B1 (en) 2003-12-16
TW473865B (en) 2002-01-21

Similar Documents

Publication Publication Date Title
JP2001135628A (ja) プラズマcvd装置
JP4371543B2 (ja) リモートプラズマcvd装置及び膜形成方法
KR100416027B1 (ko) 플라즈마 cvd 장치 및 플라즈마 cvd 방법
JP4418027B2 (ja) 真空処理装置
TWI423308B (zh) A plasma processing apparatus, a plasma processing method, and a dielectric window for use therefor and a method of manufacturing the same
US5304250A (en) Plasma system comprising hollow mesh plate electrode
US6344420B1 (en) Plasma processing method and plasma processing apparatus
TW200533781A (en) Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
KR20010030991A (ko) 박막 증착용 플라즈마의 이중 주파수 여기
JP3682178B2 (ja) プラズマ処理方法及びプラズマ処理装置
TWI727316B (zh) 基板處理裝置
TW202343534A (zh) 半導體處理腔室適配器
KR100621419B1 (ko) 대면적용 다중전극 배열을 갖는 플라즈마 처리장치
JP2002170820A (ja) 薄膜トランジスタの製造方法およびそれに用いられるプラズマ処理装置
KR20200021404A (ko) 처리 챔버들을 위한 코팅 재료
JP2630089B2 (ja) マイクロ波プラズマ処理装置
JP2002080968A (ja) Cvd装置
CN220450288U (zh) 薄膜处理装置
JPH0964017A (ja) 半導体製造装置および半導体装置の製造方法
JP2001308016A (ja) 化学的気相成長装置および方法
JPH065553A (ja) プラズマ処理装置
JPH05320914A (ja) プラズマcvd装置および反応性イオンエッチング装置
JPH11288891A (ja) Cvd装置
JPH03197685A (ja) 有磁場マイクロ波プラズマ装置
JPH07211695A (ja) プラズマ処理装置およびプラズマ処理方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20021009

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081101

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081101

Year of fee payment: 6

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081101

Year of fee payment: 6

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081101

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091101

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091101

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101101

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111101

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121101

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131101

Year of fee payment: 11

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees