KR102521089B1 - Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭 - Google Patents

Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭 Download PDF

Info

Publication number
KR102521089B1
KR102521089B1 KR1020180027178A KR20180027178A KR102521089B1 KR 102521089 B1 KR102521089 B1 KR 102521089B1 KR 1020180027178 A KR1020180027178 A KR 1020180027178A KR 20180027178 A KR20180027178 A KR 20180027178A KR 102521089 B1 KR102521089 B1 KR 102521089B1
Authority
KR
South Korea
Prior art keywords
gas
plasma
distribution device
substrate
etching
Prior art date
Application number
KR1020180027178A
Other languages
English (en)
Other versions
KR20180105072A (ko
Inventor
크와메 이슨
덩리앙 양
필연 박
파이살 야쿱
박준홍
마크 카와구치
이블린 앤젤로브
지 주
샤오-웨이 창
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20180105072A publication Critical patent/KR20180105072A/ko
Priority to KR1020230046266A priority Critical patent/KR20230066515A/ko
Application granted granted Critical
Publication of KR102521089B1 publication Critical patent/KR102521089B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

기판 상에 층을 선택적으로 에칭하기 위한 기판 프로세싱 시스템은 상부 챔버 영역, 상부 챔버 영역 둘레에 배치된 유도 코일 및 기판을 지지하기 위한 기판 지지부를 포함하는 하부 챔버 영역을 포함한다. 가스 분배 디바이스가 상부 챔버 영역과 하부 챔버 영역 사이에 배치되고 그리고 복수의 홀들을 갖는 플레이트를 포함한다. 냉각 플레넘이 가스 분배 디바이스를 냉각하고 그리고 퍼지 가스 플레넘이 퍼지 가스를 하부 챔버 내로 지향시킨다. 홀들의 표면 대 체적비는 4 이상이다. 제어기는 상부 챔버로 에칭 가스 혼합물 및 퍼지 가스 플레넘으로 퍼지 가스를 선택적으로 공급하고 기판의 적어도 하나의 다른 노출된 층에 대해 기판의 층을 선택적으로 에칭하도록 상부 챔버 내에서 플라즈마를 스트라이킹한다.

Description

FINFET 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭{ULTRAHIGH SELECTIVE NITRIDE ETCH TO FORM FINFET DEVICES}
본 개시는 기판 프로세싱 디바이스들, 보다 구체적으로 실리콘 나이트라이드의 선택적인 에칭에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼와 같은 기판 상에 막을 에칭하도록 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 프로세싱 챔버, 가스 분배 디바이스 및 기판 지지부를 포함한다. 프로세싱 동안, 기판은 기판 지지부 상에 배치된다. 상이한 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 RF (radio frequency) 플라즈마는 화학 반응들을 활성화하도록 사용될 수도 있다.
FinFET (fin field effect transistor) 디바이스들과 같은 일부 반도체 기판들을 집적할 때, 실리콘 나이트라이드 막은 다른 노출된 막 재료들에 대해 매우 고 선택도로 에칭되어야 한다. 예를 들어, FinFET 디바이스들의 집적 동안 사용되는 더미 나이트라이드 막들은 선택적으로 에칭되어야 한다.
고온 인산이 이들 디바이스들에서 실리콘 나이트라이드 막을 에칭하기 위해 사용되는 주 화학물질이다. 고온 인산은 10 ㎚ 노드를 넘는 에피택셜 실리콘 (epi-Si), 실리콘 포스포러스 (SiP) 및 실리콘 게르마늄 (SiGe), 입자 및 디펙트 제어, 표면 장력으로부터 패턴 충돌, 및 실리콘 옥시카보나이트라이드 (SiOCN) 막으로부터 탄소 (C) 의 표면 공핍에 대한 선택도 요건들을 만족하는 것을 포함하여, 몇몇 제한들을 갖는다.
기판 상에 층을 선택적으로 에칭하기 위한 기판 프로세싱 시스템은 상부 챔버 영역, 상부 챔버 영역 둘레에 배치된 유도 코일, 및 기판을 지지하기 위한 기판 지지부를 포함하는 하부 챔버 영역을 포함한다. 가스 분배 디바이스가 상부 챔버 영역과 하부 챔버 영역 사이에 배치되고, 그리고 상부 챔버 영역 및 하부 챔버 영역과 유체로 연통하는 복수의 홀들을 갖는 플레이트를 포함한다. 홀들의 표면 대 체적비가 4 이상이다. RF 생성기가 유도 코일에 RF 전력을 공급한다. 가스 전달 시스템이 가스 혼합물들을 선택적으로 공급한다. 제어기가 가스 전달 시스템으로 하여금 상부 챔버로 에칭 가스 혼합물을 선택적으로 공급하고 그리고 기판의 적어도 하나의 다른 노출된 층에 대해 기판의 층을 선택적으로 에칭하기 위해 상부 챔버 내에서 플라즈마를 스트라이킹하게 하도록 구성된다.
다른 특징들에서, 가스 분배 디바이스는 가스 분배 디바이스의 온도를 제어하도록 가스 분배 디바이스 내에서 유체를 순환시키는 냉각 플레넘, 및 가스 분배 디바이스를 통해 하부 챔버 내로 퍼지 가스를 지향시키기 위한 퍼지 가스 플레넘을 포함한다.
다른 특징들에서, 제어기는 미리 결정된 에칭 기간 후에 에칭 후 가스 혼합물로 플라즈마를 전이하도록 더 구성된다. 에칭 후 가스 혼합물은 수소 종 및 옥사이드 종을 포함한다. 제어기는 플라즈마를 소화하지 않고, 에칭 가스 혼합물로부터의 플라즈마를 에칭 후 가스 혼합물로 전이하도록 구성된다.
다른 특징들에서, 플레이트의 두께는 5 ㎜ 내지 25 ㎜의 범위이고, 홀들의 직경은 1 ㎜ 내지 5 ㎜의 범위이고, 홀들의 수는 100 내지 5000의 범위이고, 그리고 플레이트의 직경은 6" 내지 20"의 범위이다.
다른 특징들에서, 기판의 층은 적어도 하나의 다른 노출된 층에 대해 선택적으로 에칭되는 실리콘 나이트라이드를 포함한다. 적어도 하나의 다른 노출된 층은 실리콘 다이옥사이드 (SiO2), 실리콘 옥시카보나이트라이드 (SiOCN), 실리콘 게르마늄 (SiGe), 비정질 실리콘 (a-Si) 및 에피택셜-실리콘 (epi-Si) 으로 구성된 그룹으로부터 선택된다. 다른 특징들에서, 에칭 가스 혼합물은 나이트라이드 에칭 및 실리콘 (Si), 실리콘 게르마늄 (SiGe) 및 옥사이드 중 적어도 하나의 패시베이션을 촉진하는 가스들을 포함한다. 나이트라이드 에칭을 촉진하는 가스들은 질소 트리플루오라이드 (NF3), 디플루오로메탄 (CH2F2), 테트라플루오로메탄 (CF4), 플루오로메탄 (CH3F), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합, 및 이들의 조합들로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함한다. 패시베이션을 촉진하는 가스들은 플루오로메탄 (CH3F), 디플루오로메탄 (CH2F2), 메탄 (CH4), 카르보닐 설파이드 (COS), 수소 설파이드 (H2S), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합 및 이들의 조합으로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함한다.
기판 상에 층을 선택적으로 에칭하기 위한 기판 프로세싱 시스템은 기판 프로세싱 챔버로서, 상부 챔버 영역 및 기판을 지지하기 위한 기판 지지부를 포함하는 하부 챔버 영역을 포함하는, 기판 프로세싱 챔버를 포함한다. 가스 분배 디바이스가 상부 챔버 영역과 하부 챔버 영역 사이에 배치되고 상부 챔버 영역 및 하부 챔버 영역과 유체로 연통하는 복수의 홀들을 포함한다. 홀들의 표면 대 체적비는 4 이상이다. 플라즈마 공급부는 적어도 기판의 적어도 하나의 다른 노출된 층에 대해 기판의 층을 선택적으로 에칭하도록 상부 챔버 영역 내에서 플라즈마를 생성 또는 상부 챔버 영역으로 플라즈마를 공급한다.
다른 특징들에서, 가스 분배 디바이스는 가스 분배 디바이스의 온도를 제어하기 위해 가스 분배 디바이스 내 일부분에서 유체를 순환시키기 위한 냉각 플레넘을 포함한다. 가스 분배 디바이스는 가스 분배 디바이스로부터 하부 챔버 내로 기판 지지부를 향한 방향으로 퍼지 가스를 지향시키기 위한 퍼지 가스 플레넘을 더 포함한다. 가스 분배 디바이스는, 가스 분배 디바이스의 온도를 제어하도록 유체를 순환시키기 위한 냉각 플레넘; 및 가스 분배 디바이스로부터 하부 챔버 내로 퍼지 가스를 지향시키기 위한 퍼지 가스 플레넘을 포함한다.
다른 특징들에서, 가스 분배 디바이스의 두께는 5 ㎜ 내지 25 ㎜의 범위이고, 홀들의 직경은 1 ㎜ 내지 5 ㎜의 범위이다. 홀들의 수는 100 내지 5000의 범위이고, 가스 분배 디바이스의 직경은 6" 내지 20"의 범위이다. 기판의 층은 적어도 하나의 다른 노출된 층에 대해 선택적으로 에칭되는 실리콘 나이트라이드를 포함한다. 적어도 하나의 다른 노출된 층은 실리콘 다이옥사이드 (SiO2), 실리콘 옥시카보나이트라이드 (SiOCN), 실리콘 게르마늄 (SiGe), 비정질 실리콘 (a-Si) 및 에피택셜-실리콘 (epi-Si) 으로 구성된 그룹으로부터 선택된다.
다른 특징들에서, 플라즈마 공급부는 나이트라이드 에칭을 촉진하고 실리콘 (Si), 실리콘 게르마늄 (SiGe) 및 옥사이드 중 적어도 하나의 패시베이션을 촉진하는 가스들을 포함하는 플라즈마 가스 혼합물을 사용하는 플라즈마를 생성한다. 나이트라이드 에칭을 촉진하는 가스들은 질소 트리플루오라이드 (NF3), 디플루오로메탄 (CH2F2), 테트라플루오로메탄 (CF4), 플루오로메탄 (CH3F), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합, 및 이들의 조합들로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함한다. 패시베이션을 촉진하는 가스들은 플루오로메탄 (CH3F), 디플루오로메탄 (CH2F2), 메탄 (CH4), 카르보닐 설파이드 (COS), 수소 설파이드 (H2S), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합, 및 이들의 조합으로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함한다.
기판 상에 층을 선택적으로 에칭하는 방법은 상부 챔버 영역과 하부 챔버 영역 사이에 배치된 가스 분배 디바이스를 포함하는 기판 프로세싱 챔버를 제공하는 단계를 포함한다. 하부 챔버 영역은 기판을 지지하기 위한 기판 지지부를 포함한다. 가스 분배 디바이스는 상부 챔버 영역 및 하부 챔버 영역과 유체로 연통하는 복수의 홀들을 포함하는 플레이트를 포함한다. 홀들의 표면 대 체적비는 4 이상이다. 방법은 에칭 가스 혼합물을 상부 챔버 영역에 공급하는 단계를 더 포함한다. 방법은 기판의 적어도 하나의 다른 노출된 층에 대해 기판의 층을 선택적으로 에칭하도록 상부 챔버 영역 내에서 플라즈마를 스트라이킹하는 단계를 더 포함한다.
다른 특징들에서, 방법은 가스 분배 디바이스의 온도를 제어하도록 가스 분배 디바이스의 냉각 플레넘으로 유체를 공급하고 가스 분배 디바이스의 퍼지 가스 플레넘으로 퍼지 가스를 공급하는 단계를 포함한다. 퍼지 가스 플레넘은 가스 분배 디바이스로부터 기판 지지부를 향해 퍼지 가스를 지향시킨다.
다른 특징들에서, 방법은 미리 결정된 에칭 기간 후에, 플라즈마를 에칭 후 가스 혼합물로 전이하는 단계를 포함한다. 에칭 후 가스 혼합물은 수소 종 및 옥사이드 종을 포함한다. 에칭 가스 혼합물로부터 에칭 후 가스 혼합물로 전이하는 단계는 플라즈마를 소화하지 않고 수행된다. 플레이트의 두께는 5 ㎜ 내지 25 ㎜의 범위이고, 홀들의 직경은 1 ㎜ 내지 5 ㎜의 범위이고, 홀들의 수는 100 내지 5000의 범위이고, 플레이트의 직경은 6" 내지 20"의 범위이다.
다른 특징들에서, 기판의 층은 적어도 하나의 다른 노출된 층에 대해 선택적으로 에칭되는 실리콘 나이트라이드를 포함한다. 적어도 하나의 다른 노출된 층은 실리콘 다이옥사이드 (SiO2), 실리콘 옥시카보나이트라이드 (SiOCN), 실리콘 게르마늄 (SiGe), 비정질 실리콘 (a-Si) 및 에피택셜-실리콘 (epi-Si) 으로 구성된 그룹으로부터 선택된다.
다른 특징들에서, 에칭 가스 혼합물은 나이트라이드 에칭 및 실리콘 (Si), 실리콘 게르마늄 (SiGe) 및 옥사이드 중 적어도 하나의 패시베이션을 촉진하는 가스들을 포함한다. 나이트라이드 에칭을 촉진하는 가스들은 질소 트리플루오라이드 (NF3), 디플루오로메탄 (CH2F2), 테트라플루오로메탄 (CF4), 플루오로메탄 (CH3F), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합, 및 이들의 조합들로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함한다. 패시베이션을 촉진하는 가스들은 플루오로메탄 (CH3F), 디플루오로메탄 (CH2F2), 메탄 (CH4), 카르보닐 설파이드 (COS), 수소 설파이드 (H2S), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합 및 이들의 조합으로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함한다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 실리콘 나이트라이드 막의 선택적인 에칭 동안 FinFET 디바이스의 예의 사시도이다.
도 2는 본 개시에 따른 실리콘 나이트라이드 막을 선택적으로 에칭하기 위한 ICP (inductively coupled plasma) 기판 프로세싱 챔버의 예의 기능적 블록도이다.
도 3 및 도 4는 본 개시에 따른 다양한 상이한 타입들의 플라즈마 및 압력들에 대한 ICP 전력의 함수로서 피크 전압을 도시하는 그래프들이다.
도 5는 본 개시에 따른 ICP 프로세싱 챔버에 대한 기판 지지부 온도의 함수로서 에칭 레이트를 예시한다.
도 6은 본 개시에 따른 에칭 후 처리를 사용하지 않은, O2 에칭 후 처리를 사용한, 그리고 H:O 에칭 후 처리를 사용한 기판을 예시한다.
도 7은 보다 높은 온도 또는 보다 낮은 온도의 분자들의 분율 (fraction) 의 함수로서 운동 에너지의 예를 예시하는 그래프이다.
도 8은 가스 분배 디바이스의 플레이트에 형성된 쓰루홀의 치수들의 예를 예시한다.
도 9는 본 개시에 따른 단일 플레넘을 포함하는 가스 분배 디바이스의 예의 측단면도이다.
도 10은 본 개시에 따른 듀얼 플레넘들을 포함하는 가스 분배 디바이스의 예의 측단면도이다.
도 11은 본 개시에 따른 혼합 온도 플롯이다.
도 12는 기판의 적어도 하나의 다른 노출된 층에 대해 기판의 일 층을 선택적으로 에칭하는 방법의 예를 예시하는 플로우차트이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
본 개시는 실리콘 나이트라이드 이외의 막들을 선택적으로 패시베이팅하는 동안 나이트라이드 에칭을 촉진하도록 라디칼들을 생성하는 프로세스와 관련된다. 이 프로세스는 표면에 대한 라디칼들의 흡착과 표면으로부터 반응물질들의 탈착 사이의 열역학적으로 평형이다. 고 라디칼 생성은 웨이퍼에 걸쳐 낮은 변동을 갖는 고 SiN 제거 레이트를 발생시킨다. 라디칼 생성은 전력, 압력, 화학물질 및/또는 가스 희석에 의해 조절될 수도 있다. 일부 예들에서, 라디칼들은 표면 패시베이션을 위한 고 라디칼 해리를 제공하도록 (E-모드 플라즈마 상태 대신) H-모드 플라즈마 상태에서 생성된다.
프로세스는 실리콘 다이옥사이드 (SiO2), 실리콘 옥시카보나이트라이드 (SiOCN) 스페이서 재료, 실리콘 게르마늄 (SiGe), 비정질 실리콘 (a-Si) 및 에피택셜-실리콘 (epi-Si) 과 같은 다른 노출된 막들에 상대적으로 실리콘 나이트라이드를 선택적으로 제거한다. 프로세스는 잔여물이 없고, 고체 부산물들을 남기지 않고, 에칭 후 표면들의 탈불소화 (de-fluorination) 를 가능하게 한다. 프로세스는 고종횡비 3-D 구조체들에서 패턴 붕괴 없이, 완전히 건식 에칭 프로세스를 인에이블한다.
일부 예들에서, 프로세스는 라디칼들 및 이온들을 생성하도록 ICP (inductively coupled plasma) 소스를 사용한다. 일부 예들에서, CCP (capacitive coupled plasma) 소스가 라디칼들을 선택적으로 생성하도록 사용된다.
플라즈마는 기판을 물리적으로 에칭하는 이온들 및 기판을 화학적으로 에칭하는 라디칼들을 포함한다. 개선된 선택도가 이온들 및 고 에너지 라디칼들을 감소시키거나 제거함으로써 달성될 수 있다. 다운스트림 플라즈마가 이온들을 감소시키거나 제거할 수도 있지만, 고 에너지 라디칼들은 여전히 바람직하지 않은 막의 손실을 유발할 수도 있다. 본 명세서에 기술된 시스템들 및 방법들은 원치 않은 막 손실을 감소시키기 위해 라디칼들의 고 에너지 테일들 (tails) 을 감소시키거나 피터링하도록 사용된다.
이하에 더 기술될 바와 같이, 본 개시에 따른 시스템들 및 방법들은 하나 이상의 피처들을 사용하여 라디칼을 감소시킨다. 가스 분배 디바이스는 미리 결정된 표면 대 체적 (S/V) 비를 갖는 홀들을 통해 가스를 전달하도록 설계될 수 있다. 가스 분배 디바이스의 온도는 (액체 또는 가스와 같은) 유체가 흐르는 유체 플레넘을 사용하여 안정화될 수 있고 균일하게 제어될 수 있다. 퍼지 가스는 가스 분배 디바이스 내 또 다른 플레넘을 사용하여 가스 분배 디바이스 아래의 하부 챔버 내로 도입될 수 있다. 기판과 가스 분배 디바이스 간 갭은 선택도를 개선하도록 조절될 수 있다.
이제 도 1을 참조하면, FinFET 디바이스 (10) 의 예가 도시된다. FinFET 디바이스들이 본 명세서에 기술되지만, 선택적인 에칭 프로세스가 다른 디바이스들 상에서 사용될 수 있다. FinFET 디바이스 (10) 는 비정질 실리콘 층 (14) 및 실리콘 다이옥사이드 (SiO2) 층 (16) 을 포함한다. 실리콘 나이트라이드 층 (18) 은 SiO2 스페이서 또는 층 (22), 로우 k 스페이서 (24) 및 SiN 스페이서 또는 층 (26) 위에 배치된다. FinFET 디바이스 (10) 는 또한 실리콘 게르마늄 (SiGe) (28) 을 포함할 수도 있다. SiN 층 (18) 의 에칭 동안, 다양한 상이한 막 타입들이 SiO2 막, 로우 k 막, 및 SiGe 막을 포함하는 에칭에 노출된다. SiN 막을 에칭하는 것은 SiO2 막, 로우 k 막, 및/또는 SiGe 막의 대미지 또는 실질적인 제거 없이 수행되어야 한다. 이들 타입들의 막에 더하여, 기판은 또한 에칭 동안 노출될 수도 있는 실리콘 옥시카보나이트라이드 (SiOCN) 및/또는 epi-Si와 같은 다른 막 재료들을 포함할 수도 있다.
이제 도 2를 참조하면, 본 개시에 따라 기판의 SiN 막을 선택적으로 에칭하기 위한 기판 프로세싱 챔버 (100) 의 예이다. 특정한 기판 프로세싱 챔버가 도시되고 기술되지만, 본 명세서에 기술된 방법들은 다른 타입들의 기판 프로세싱 시스템들 상에서 구현될 수도 있다. 일부 예들에서, 기판 프로세싱 챔버 (100) 는 다운스트림 ICP (inductively coupled plasma) 소스를 포함한다. 선택가능한 CCP (capacitively coupled plasma) 소스가 제공될 수도 있다.
기판 프로세싱 챔버 (100) 는 하부 챔버 영역 (102) 및 상부 챔버 영역 (104) 을 포함한다. 하부 챔버 영역 (102) 은 챔버 측벽 표면들 (108), 챔버 하단 표면 (110) 및 가스 분배 디바이스 (114) 의 하부 표면에 의해 규정된다. 일부 예들에서, 가스 분배 디바이스 (114) 는 생략된다.
상부 챔버 영역 (104) 은 가스 분배 디바이스 (114) 의 상부 표면 및 상부 챔버 벽 (118) (예를 들어, 돔-형상 챔버) 의 내측 표면에 의해 규정된다. 일부 예들에서, 상부 챔버 벽 (118) 은 제 1 환형 지지부 (121) 상에 놓인다. 일부 예들에서, 이하에 더 기술될 바와 같이, 제 1 환형 지지부 (121) 는 상부 챔버 영역 (104) 으로 프로세스 가스를 전달하기 위해 하나 이상의 가스 플로우 채널들 및/또는 홀들 (123) 을 포함한다. 가스 플로우 채널들 및/또는 홀들 (123) 은 상부 챔버 영역 (104) 의 주변부 둘레에 균일하게 이격될 수도 있다. 일부 예들에서, 프로세스 가스는 하나 이상의 가스 플로우 채널들 및/또는 홀들 (123) 에 의해 가스 분배 디바이스 (114) 를 포함하는 평면에 대해 예각으로 상향 방향으로 전달되지만, 다른 각도들/방향들이 사용될 수도 있다. 일부 예들에서, 제 1 환형 지지부 (121) 의 플레넘 (134) 은 하나 이상의 이격된 가스 플로우 채널들 및/또는 홀들 (123) 로 가스를 공급한다.
제 1 환형 지지부 (121) 는 가스 플로우 채널 (129) 로부터 하부 챔버 영역 (102) 으로 프로세스 가스를 전달하기 위해 하나 이상의 가스 플로우 채널들 및/또는 홀들 (127) 을 규정하는 제 2 환형 지지부 (125) 상에 놓일 수도 있다. 일부 예들에서, 가스 분배 디바이스 (114) 의 홀들 (131) 은 가스 플로우 채널들 및/또는 홀들 (127) 과 정렬한다. 다른 예들에서, 가스 분배 디바이스 (114) 는 보다 작은 직경을 갖고 홀들 (131) 이 필요하지 않다. 일부 예들에서, 프로세스 가스는 하나 이상의 이격된 가스 플로우 채널들 및/또는 홀들 (127) 에 의해 가스 분배 디바이스 (114) 를 포함하는 평면에 대해 예각으로 기판을 향해 하향 방향으로 전달되지만, 다른 각도들/방향들이 사용될 수도 있다.
다른 예들에서, 상부 챔버 영역 (104) 은 평탄한 상단 표면을 갖는 실린더형이고, 하나 이상의 평탄한 유도 코일들이 사용될 수도 있다. 여전히 다른 예들에서, 샤워헤드와 기판 지지부 사이에 위치된 스페이서와 함께 단일 챔버가 사용될 수도 있다.
기판 지지부 (122) 는 하부 챔버 영역 (102) 내에 배치된다. 일부 예들에서, 기판 지지부 (122) 는 정전 척 (ESC) 을 포함하지만, 다른 타입들의 기판 지지부들이 사용될 수 있다. 기판 (126) 은 에칭 동안 기판 지지부 (122) 의 상부 표면 상에 배치된다. 일부 예들에서, 기판 (126) 의 온도는 히터 플레이트 (141), 유체 채널들을 갖는 선택가능한 냉각 플레이트 및 하나 이상의 센서들 (미도시) 에 의해 제어될 수도 있지만, 임의의 다른 적합한 기판 지지부 온도 제어 시스템들이 사용될 수도 있다. 일부 예들에서, 온도 제어기 (143) 가 기판 지지부 (122) 의 가열 및 냉각을 제어하도록 사용될 수도 있다. 가열은 히터 플레이트 (141) 에 의해 수행될 수도 있고, 냉각은 유체 채널들 (145) 을 갖는 냉각 플레이트에 의해 수행될 수도 있다.
온도 제어기 (147) 는 가스 분배 디바이스 (114) 의 플레넘으로 가열/냉각 유체를 공급함으로써 가스 분배 디바이스 (114) 의 온도를 제어하도록 사용될 수도 있다. 온도 제어기들 (143 및/또는 147) 는 유체 소스, 펌프, 제어 밸브들 및 온도 센서 (모두 미도시) 를 더 포함할 수도 있다.
일부 예들에서, 가스 분배 디바이스 (114) 는 샤워헤드 (예를 들어, 복수의 이격된 홀들 (129) 을 가진 플레이트 (128)) 를 포함한다. 복수의 이격된 홀들 (129) 은 플레이트 (128) 의 상부 표면으로부터 플레이트 (128) 의 하부 표면으로 연장된다. 일부 예들에서, 이격된 홀들 (129) 은 0.4"에서 0.75" 범위의 직경을 가지고 샤워헤드는 알루미늄과 같은 도전성 재료 또는 도전성 재료로 만들어진 임베딩된 전극 (embedded electrode) 을 가지는 세라믹과 같은 비-도전성 재료로 이루어진다. 이하에 더 기술된 다른 예들에서, 보다 작은 홀들 (129) 이 표면 대 체적 비를 상승시키도록 사용될 수 있다.
하나 이상의 유도 코일들 (140) 이 상부 챔버 벽 (118) 의 외측 부분 둘레에 배열된다. 에너자이징되면 (energized), 하나 이상의 유도 코일들 (140) 이 상부 챔버 벽 (118) 내부에 전자기장을 생성한다. 일부 예들에서, 상부 코일 및 하부 코일이 사용된다. 가스 주입기 (142) 가 가스 전달 시스템 (150-1) 으로부터 상부 챔버 영역 (104) 내로 하나 이상의 가스 혼합물들을 주입한다.
일부 예들에서, 가스 전달 시스템 (150-1) 은 하나 이상의 가스 소스들 (152), 하나 이상의 밸브들 (154), 하나 이상의 질량 유량 제어기들 (MFCs) (156), 및 혼합 매니폴드 (158) 를 포함하지만, 다른 타입들의 가스 전달 시스템들이 사용될 수도 있다. 가스 스플리터 (미도시) 가 가스 혼합물의 플로우 레이트들을 가변하도록 사용될 수도 있다. 또 다른 가스 전달 시스템 (150-2) 은 가스 플로우 채널들 및/또는 홀들 (123 및/또는 127) 로 (가스 주입기 (142) 로부터의 에칭 가스에 더하여 또는 대신) 에칭 가스, 튜닝 가스 (tuning gas), 퍼지 가스 또는 다른 가스 혼합물들을 공급하도록 사용될 수도 있다.
적합한 가스 전달 시스템들은 그 전체가 참조로서 본 명세서에 인용된 2015년 12월 4일에 출원된, 명칭이 "Gas Delivery System"인 공동으로 양도된 미국 특허 출원 제 14/945,680 호에 도시되고 기술된다. 적합한 단일 또는 듀얼 가스 주입기들과 다른 가스 주입 위치들은 그 전체가 참조로서 본 명세서에 인용된 2016년 1월 7일에 출원된 명칭이 "Substrate Processing System with Multiple Injection Points and Dual Injector"인 공동으로 양도된 미국 가특허 출원 제 62/275,837 호에 도시되고 기술된다.
일부 예들에서, 가스 주입기 (142) 는 가스를 하향 방향으로 지향시키는 중앙 주입 위치와 하향 방향에 대하여 비스듬히 가스를 주입하는 하나 이상의 측면 주입 위치들을 포함한다. 일부 예들에서, 가스 전달 시스템 (150-1) 은 가스 혼합물의 제 1 부분을 제 1 플로우 레이트로 중앙 주입 위치에 그리고 가스 혼합물의 제 2 부분을 제 2 플로우 레이트로 가스 주입기 (142) 의 측면 주입 위치(들)로 전달한다. 다른 예들에서, 상이한 가스 혼합물들이 가스 주입기 (142) 에 의해 전달된다. 일부 예들에서, 가스 전달 시스템 (150-2) 은 후술될 바와 같이 튜닝 가스를 가스 플로우 채널들 및/또는 홀들 (123 및 127) 및/또는 프로세싱 챔버의 다른 위치들로 전달한다. 예를 들어, 가스 전달 시스템 (150-2) 은 또한 가스 분배 디바이스 (114) 내 플레넘으로 가스를 전달할 수 있다 (도 11과 함께 이하에 기술된다).
플라즈마 생성기 (170) 는 하나 이상의 유도 코일들 (140) 로 출력되는 RF 전력을 생성하도록 사용될 수도 있다. 플라즈마 (190) 는 상부 챔버 영역 (104) 에서 생성된다. 일부 예들에서, 플라즈마 생성기 (170) 는 RF 생성기 (172) 와 매칭 네트워크 (174) 를 포함한다. 매칭 네트워크 (174) 는 RF 생성기 (172) 의 임피던스를 하나 이상의 유도 코일들 (140) 의 임피던스에 매칭시킨다. 일부 예들에서, 가스 분배 디바이스 (114) 는 접지와 같은 기준 전위에 연결된다. 밸브 (178) 와 펌프 (180) 는 하부 챔버 영역 (102) 및 상부 챔버 영역 (104) 의 내부의 압력을 제어하거나 반응물질들을 배출시키도록 사용될 수도 있다.
제어기 (176) 는 프로세스 가스, 퍼지 가스, 튜닝 가스, RF 플라즈마와 챔버 압력의 플로우를 제어하기 위해 가스 전달 시스템들 (150-1 및 150-2), 밸브 (178), 펌프 (180) 및/또는 플라즈마 생성기 (170) 와 통신한다. 일부 예들에서, 플라즈마는 하나 이상의 유도 코일들 (140) 에 의해 상부 챔버 벽 (118) 의 내부에서 지속된다. 하나 이상의 가스 혼합물들은 가스 주입기 (142) (및/또는 가스 플로우 채널들 및/또는 홀들 (123)) 를 이용하여 챔버의 상단 부분으로부터 도입되고 플라즈마는 가스 분배 디바이스 (114) 를 이용하여 상부 챔버 벽 (118) 내에 한정된다.
상부 챔버 벽 (118) 내에 플라즈마를 한정하는 것은 플라즈마 종의 체적 재결합 (volume recombination) 과 가스 분배 디바이스 (114) 를 통한 목표된 에천트 종 (echant species) 의 발산을 허용한다. 일부 예들에서 기판 (126) 에 RF 바이어스가 인가되지 않는다. 그 결과, 기판 (126) 상에 활성화된 시스 (sheath) 가 없고 이온들이 임의의 유한한 에너지를 가지고 기판에 충돌하지 않는다. 일정 양의 이온들은 가스 분배 디바이스 (114) 를 통해 플라즈마 영역으로부터 확산될 것이다. 그러나, 확산되는 플라즈마의 양은 상부 챔버 벽 (118) 내부에 위치한 플라즈마 보다 한 자릿수 적다. 플라즈마의 대부분의 이온들은 고압에서 체적 재결합함으로써 손실된다. 가스 분배 디바이스 (114) 의 상부 표면에서의 표면 재결합 손실은 또한 가스 분배 디바이스 (114) 아래의 이온 밀도를 낮춘다.
다른 예들에서, RF 바이어스 전력 생성기 (184) 가 제공되고 RF 생성기 (186) 및 매칭 네트워크 (188) 를 포함한다. RF 바이어스는 가스 분배 디바이스 (114) 와 기판 지지부 사이에서 플라즈마를 생성하거나 이온들을 끌어당기기 위해 (attract) 기판 (126) 상에 셀프-바이어스 (self-bias) 를 만들어 내는데 사용될 수 있다. 제어기 (176) 는 RF 바이어스를 제어하기 위해 사용될 수도 있다.
일부 예들에서, 플라즈마 가스 혼합물은 나이트라이드 에칭 및 Si, SiGe 및 옥사이드 패시베이션을 촉진하는 가스들을 포함한다. 나이트라이드 에칭을 촉진하는 가스들은 질소 트리플루오라이드 (NF3), 디플루오로메탄 (CH2F2), 테트라플루오로메탄 (CF4), 플루오로메탄 (CH3F), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합, 및 이들의 조합들 중 하나 이상을 포함한다. 일부 예들에서, 옥사이드 패시베이션을 촉진하는 가스들은 하나 이상의 메탄 (CH4), CH3F, CH2F2, 카르보닐 설파이드 (COS), 수소 설파이드 (H2S), 및/또는 O2/N2/N2O 중 하나 이상을 포함한다. 일부 예들에서, 실리콘 및 SiGe 패시베이션을 촉진하는 가스들은 O2/N2/N2O, N2O, 또는 O2/N2 중 하나 이상을 포함한다. 일부 예들에서, 아르곤 (Ar) 이 캐리어 가스 효과를 제공하고 플라즈마 밀도를 상승시키도록 플라즈마 가스 화학물질에 제공될 수도 있다. Ar은 낮은 전자 친화도를 갖는다. 일부 예들에서, Ar은 에칭 동안 공급된 플라즈마 가스 혼합물의 0 % 내지 15 % 범위로 공급된다.
다양한 가스들에 대해 적합한 플로우 레이트들이 이하에 도시된다:
가스 통상적인 플로우 (sccm) 범위 (sccm)
NF3 100 0-500
CH2F2 100 0-500
CF4 100 0-500
CH3F 100 0-500
CHF3 100 0-500
CH4 100 0-500
SiF4 100 0-500
SF6 100 0-500
H2 1000 0 - 3000
COS 100 0-500
H2S 100 0-500
Ar 2000 1000-5000
O2 3000 1000-5000
N2 3000 1000-5000
N2O 5000 1000-8000
CO2 100 0-5000
일부 예들에서, 에칭 후 처리가 최종 표면들을 탈불소화하도록 수행된다. 일부 예들에서, 에칭으로부터 에칭 후 처리로의 전이는 플라즈마를 소화하지 않고 이루어진다. 일부 예들에서, 에칭 후 처리는 수소 함유 종 및 옥사이드 함유 종을 포함한다. 수소 소스들의 예들은, 이로 제한되는 것은 아니지만: H2, NH3, CH4, C2H4, C3H6, C2H6, 등을 포함한다. 산소 소스들의 예들은, 이로 제한되는 것은 아니지만: O2, CO2, N2O, H2O, O3, 등을 포함한다. 일부 예들에서, H 소스는 25 % 내지 75 %의 범위로 공급되고, 산소 소스는 75 % 내지 25 %의 범위로 공급된다. 일부 예들에서, 에칭 후 처리는 미리 결정된 기간 동안 수행된다.
상기 기술된 에칭 프로세스는 SiN에 매우 선택적이다. 획득될 수 있는 다른 막 재료들에 대한 선택도는:
파라미터 선택도
SiN / PE Ox ≥ 100
SiN / 스페이서 로우 k 10 - 100
SiN / SiGe 10 - 100
SiN / Epi Si 10 - 100
제안된 프로세스는 보다 높은 종횡비들 및 상승된 밀도로 스케일링하는 FinFET을 증가시킬 수 있는 모든 건식 제거 프로세스를 포함하는 몇몇 장점들을 갖는다. 프로세스는 기존의 기술과 비교하여 보다 높은 제조 쓰루풋 및 보다 낮은 디펙트들을 갖는다.
이제 도 3 및 도 4를 참조하면, ICP 전력이 상승함에 따라, 플라즈마는 E-모드 플라즈마 상태로부터 H-모드 플라즈마 상태로 전이한다. E-H 모드 전환이 발생하는 전력 레벨은 압력, 화학물질 (특히 F 가스 종이 사용됨), 및 혼합물 내 다른 가스들의 화학물질 (예를 들어, Ar과 같은 낮은 전자 친화도 가스들의 부가) 의 영향을 받는다. 에칭 메커니즘은 명목상으로 F 종단된 표면을 남긴다. 일부 예들에서, 에칭 후 처리는 이하에 기술될 바와 같이 수행된다.
도 3의 예에서, E-H 모드 전이는 약 2200 W의 ICP 전력에서 발생한다. 도 4에서, 다른 플라즈마 가스 혼합물질에 대한 E-H 모드 전이가 도시된다. 플라즈마-1은 N2O, O2, N2, CO2, 및 NF3의 플라즈마 가스 혼합물에 대응한다. NF3은 강한 음전기 종이다. 플라즈마-2는 N2O, O2, N2, CO2, NF3 및 Ar의 플라즈마 가스 혼합물에 대응한다. Ar은 낮은 전자 친화도를 갖는다. 플라즈마-3은 N2O, O2, N2, CO2, Ar 및 CF4의 플라즈마 가스 혼합물에 대응한다. CF4은 약한 음전기 F 종이다. 플라즈마-4는 고압의 N2O, O2, N2, CO2, 및 NF3의 플라즈마 가스 혼합물에 대응한다. 도 4에서 알 수 있는 바와 같이, H 모드로의 전이는 가스 화학물질, ICP 챔버 압력 및 ICP 전력에 따라 가변한다.
일부 예들에서, 실리콘 나이트라이드 에칭을 위한 메커니즘은 3 단계로 발생한다: 먼저, 표면 상의 라디칼들의 화학흡착, 이어서 표면으로부터 산물들의 탈착, 마지막으로 불소화 단계로 이어진다. 화학흡착 동안, 다운스트림 플라즈마로부터의 라디칼들은 나이트라이드의 질소 원자에 부착된다. 탈착 동안, 탈착 레이트는 부산물 종에 대해 높고, 본질적으로 N 추출 프로세스로서 기능한다. 불소화 동안, 나이트라이드의 실리콘은 F 반응들에 의해 제거된다. 반대로, 다운스트림 플라즈마로부터의 라디칼들이 옥사이드에 부착된다. 탈착 레이트는 옥사이드 상에서 매우 낮다. 옥사이드는 라디칼들에 의한 F 충돌로부터 보호된다.
이제 도 5를 참조하면, SiN 및 SiO2 (예를 들어 TEOS (tetraethyl orthosilicate) 를 사용) 에 대한 차동 에칭 레이트들이 도시된다. 라디칼 플럭스는 고 에칭 레이트 및 고 선택도를 달성하는 것을 보조한다.
도 6에서, 입자 퍼포먼스의 그래프가 1) 에칭 후 처리 (PET) 없음, 2) 산소만을 사용한 PET 처리, 및 3) 산소 및 수소를 사용한 PET 처리 (1:1 비) 의 SiN 에칭에 대해 도시된다. 일부 예들에서, O2-기반 플라즈마는 도 6에서 알 수 있는 바와 같이 시간에 따라 응결이 상승하기 때문에 미미하게 효과적이다. 일부 예들에서, 도 6에서 알 수 있는 바와 같이 시간에 따라 응결이 안정되기 때문에, 1:1 비를 갖는 H2/O2-기반 플라즈마가 효과적이다.
이제 도 7을 참조하면, 플라즈마는 기판을 물리적으로 에칭하는 이온들 및 기판을 화학적으로 에칭하는 라디칼들을 포함한다. 개선된 선택도는 이온들 및 고 에너지 라디칼들을 감소시키거나 제거함으로써 달성될 수 있다. 다운스트림 플라즈마의 사용이 이온들을 감소시키거나 제거할 수도 있는 동안, 고 에너지 라디칼들이 여전히 막의 바람직하지 않은 손실을 유발할 수도 있다. 본 명세서에 기술된 시스템들 및 방법들은 원치 않은 막 손실을 감소시키도록 라디칼들의 고 에너지 테일들 (도 7에 나타낸 바와 같이) 을 감소시키거나 필터링한다.
본 개시에 따른 시스템들 및 방법들은 하나 이상의 피처들을 사용하여 라디칼 에너지를 감소시킨다. 이제 도 8 및 도 9를 참조하면, 가스 분배 디바이스 (114) 는 미리 결정된 표면 대 체적 (S/V) 비를 갖는 홀들 (129) 을 포함하는 플레이트 (128) 를 사용하여 가스를 전달하도록 설계될 수 있다. 홀들 중 하나의 홀 표면적은 2πh*L로 규정되고, 여기서 rh은 홀의 반경이고 L은 가스 분배 디바이스의 두께이다. 단일 홀에 대한 홀 체적은 πrh 2*L에 대해 규정된다. 따라서, S/V 비는 다음과 같이 주어진다:
Figure 112018044694583-pat00001
여기서 N은 홀들의 수이다.
본 개시에 따른 가스 분배 디바이스 (114) 의 S/V 비는 4 이상이다. 일부 예들에서, S/V 비는 5, 6, 7, 8, 9, 10, 11 이상 또는 (1/㎝) 의 단위보다 크다. 기존의 가스 분배 디바이스들에 대한 S/V 비에 대한 통상적인 값은 보통 2보다 작다. 보다 높은 S/V 비는 이온 플럭스 제거 레이트 및 고 열적 에너지 라디칼 플럭스 제거 레이트를 상승시킨다. 즉, 고 에너지 테일들이 감소된다. 일부 예들에서, 가스 분배 디바이스의 두께는 5 ㎜ 내지 25 ㎜의 범위이다. 일부 예들에서, 홀 직경은 10 ㎜ 내지 25 ㎜ 범위의 통상적인 홀 직경과 비교하여 1 ㎜ 내지 5 ㎜의 범위이다. 일부 예들에서, 플레이트 (128) 의 두께는 5 ㎜ 내지 25 ㎜의 범위이다. 일부 예들에서, 홀들의 수는 100 내지 5000의 범위이다. 플레이트 직경은 6" 내지 20"의 범위이다.
도 9에서, 가스 분배 디바이스의 온도는 유체 (예컨대 액체 또는 가스) 가 흐르는 유체 플레넘을 포함하는 가스 분배 디바이스를 사용하여 제어될 수 있다. 유체 유입부 (310) 는 가스 또는 액체와 같은 유체를 수용한다. 유체 유입부 (310) 는 제 1 플레넘 (314) 과 유체 콘택트한다. 유체는 유체 유입부 (310) 내로 흐르고, 제 1 플레넘 (314) (가스 분배 디바이스 (114) 의 주변부 둘레) 을 통해 그리고 유체 유출부 (320) 로 나간다. 일부 예들에서, 가스 분배 디바이스 (114) 는 제 1 플레넘 (314) 이 형성되는, 환형, 방사상으로-외측 부분 (316) 을 포함한다. 일부 예들에서, 환형, 방사상으로-외측 부분 (316) 은 가스 분배 디바이스 (114) 의 방사상으로 내측 부분보다 축방향으로 보다 큰 두께를 갖는다. 제 1 플레넘 (314) 을 통해 흐르는 유체는 가스 분배 디바이스 (114) 를 냉각하기 위한 열을 흡수하고 또는 유체로부터의 열은 가스 분배 디바이스 (114) 를 가열하도록 가스 분배 디바이스 (114) 에 의해 흡수된다. 그 결과, 가스 분배 디바이스 (114) 의 온도는 보다 균일하다.
이제 도 10을 참조하면, 일부 예들에서 가스 분배 디바이스 (114) 는 퍼지 가스를 하부 챔버 영역 (102) 으로 전달하기 위한 제 2 플레넘 (350) 을 포함할 수도 있다. 일부 예들에서, 제 2 플레넘 (350) 은 기판 (126) 위에 위치된 가스 분배 디바이스 (114) 의 표면 도처로 연장한다. 제 2 플레넘 (350) 으로의 가스 유입부 (354) 가 상기 기술된 가스 전달 시스템 (150-2) 과 같은 퍼지 가스 소스에 연결된다. 복수의 가스 홀들 (360) 은 제 2 플레넘 (350) 으로부터 기판 (126) 을 향해 하향으로 가스를 지향시키도록 가스 분배 디바이스 (114) 의 하부 표면을 통해 연장한다. 일부 예들에서, 가스 분배 디바이스 (114) 는, 하나 또는 두 플레넘들을 생성하도록 머시닝된 대면하는 표면들을 갖는 2 이상의 플레이트들을 사용하여 이루어질 수 있고, 나중에 플레이트들이 시일들, 용접 (welding) 또는 다른 방법들을 사용하여 함께 연결된다.
퍼지 가스는 가스 분배 디바이스 (114) 아래의 하부 챔버 영역 (102) 내로 도입된다. 퍼지 가스는 플라즈마 가스보다 냉각된다. 퍼지 가스는 전체 가스 온도를 감소시키기 위해 다운스트림 플라즈마 가스와 혼합된다. 상대적인 플로우 레이트들에 따라, 퍼지 가스는 기판에 도달하는 플라즈마 가스의 온도를 300 ℃로부터 100 ℃ 미만으로 감소시킬 수 있다. Tmix의 온도는 다음과 같이 결정될 수 있다:
Figure 112018044694583-pat00002
여기서 Qmain는 다운스트림 플라즈마 가스 플로우이고, Qpurge는 퍼지 가스 플로우이고, Tmain는 다운스트림 플라즈마 가스 온도이고, Tpurge는 플라즈마 가스 온도이다.
이제 도 11을 참조하면, 플라즈마 가스 플로우 레이트들 및 퍼지 가스 플로우 레이트들의 예들이 도시되고, 플라즈마 가스는 300 ℃의 온도를 갖고 퍼지 가스는 25 ℃의 온도를 갖는다.
기판 (126) 과 가스 분배 디바이스 (114) 의 하단 표면 간의 갭 g는 이전의 설계들과 비교하여 증가될 수 있다. 일부 예들에서, 갭은 20 ㎜ 내지 80 ㎜의 범위이다. 일반적으로, 갭을 증가시키는 것은 웨이퍼 표면에 도달하는 고 에너지 종을 감소시키는 경향이 있다.
본 명세서에 기술된 다른 피처들과 조합하여, 보다 높은 S/V 비를 사용하는 것은 1 eV 미만으로 플라즈마 에너지를 감소시키도록 사용될 수 있다. 이들 피처들을 사용하여 달성된 보다 낮은 플라즈마 에너지는 본 명세서에 기술된 피처들을 사용하지 않는 다운스트림 플라즈마에 대해 보다 높은 플라즈마 에너지 (1 eV 내지 5 eV의 범위) 에 유리하게 비교된다. 그 결과, 막 손실은 전술한 피처들을 사용하지 않고 다운스트림 플라즈마에 대해 약 10 Å으로부터 이들 피처들을 사용한 2 Å (또는 1 모노레이어) 미만으로 상당히 감소된다.
이제 도 12를 참조하면, 기판의 하나 이상의 다른 노출된 층들에 상대적으로 기판의 일 층을 선택적으로 에칭하기 위한 방법 (300) 이 도시된다. 308에서, 기판 프로세싱 시스템의 하부 챔버 영역 내에 기판이 배치된다. 312에서, 에칭 가스 혼합물이 상부 챔버 영역으로 공급된다. 318에서, 냉각 유체가 상부 챔버 영역과 하부 챔버 영역 사이에서 가스 분배 디바이스로 공급된다. 322에서, 퍼지 가스가 가스 분배 디바이스로 공급된다. 328에서, 기판의 또 다른 층에 상대적으로 기판의 일 층을 선택적으로 에칭하도록 미리 결정된 에칭 기간 동안 상부 챔버 내에서 플라즈마가 스트라이킹된다. 332에서, 에칭 가스 혼합물은 미리 결정된 에칭 후 기간 동안 에칭 후 가스 혼합물로 전이된다. 334에서, 플라즈마가 소화된다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방법으로도 개시, 이들의 애플리케이션 또는 용도들을 제한하도록 의도되지 않는다. 개시의 광범위한 교시가 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 다른 수정 사항들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에, 본 개시의 진정한 범위는 이렇게 제한되지 않아야 한다. 방법 내의 하나 이상의 단계들이 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되었지만, 본 개시의 임의의 실시예에 대하여 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (30)

  1. 기판 상에 층을 선택적으로 에칭하기 위한 기판 프로세싱 시스템에 있어서,
    상부 챔버 영역;
    상기 상부 챔버 영역 둘레에 배치된 유도 코일;
    기판을 지지하기 위한 기판 지지부를 포함하는 하부 챔버 영역;
    가스 분배 디바이스로서, 상기 상부 챔버 영역과 상기 하부 챔버 영역 사이에 배치되고, 그리고 상기 상부 챔버 영역 및 상기 하부 챔버 영역과 유체로 연통하는 복수의 홀들을 갖는 플레이트를 포함하고, 상기 홀들의 체적에 대한 상기 홀들의 표면적의 비가 4 cm-1 이상인, 상기 가스 분배 디바이스;
    상기 유도 코일에 RF 전력을 공급하기 위한 RF 생성기;
    가스 혼합물들을 선택적으로 공급하기 위한 가스 전달 시스템; 및
    상기 가스 전달 시스템으로 하여금 상기 상부 챔버 영역으로 에칭 가스 혼합물을 선택적으로 공급하고, 상기 상부 챔버 영역 내에서 플라즈마를 스트라이킹하게 하고 그리고 상기 플라즈마에 노출되는 상기 기판의 에피택셜 실리콘 (epi-Si) 층에 대해 상기 플라즈마에 노출되는 상기 기판의 실리콘 나이트라이드 층을 선택적으로 에칭하게 하도록 구성된 제어기를 포함하는, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 플레이트는 상기 가스 분배 디바이스의 온도를 제어하도록 상기 가스 분배 디바이스 내에서 유체를 순환시키기 위한 플레넘, 및 상기 가스 분배 디바이스를 통해 상기 하부 챔버 영역 내로 퍼지 가스를 지향시키기 위한 퍼지 가스 플레넘을 포함하는, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  3. 제 2 항에 있어서,
    상기 제어기는 미리 결정된 에칭 기간 후에 에칭 후 가스 혼합물로 상기 플라즈마를 전이하도록 더 구성되고, 상기 에칭 후 가스 혼합물은 수소 종 및 옥사이드 종을 포함하는, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  4. 제 3 항에 있어서,
    상기 제어기는 상기 플라즈마를 소화하지 않고, 상기 에칭 가스 혼합물로부터의 상기 플라즈마를 상기 에칭 후 가스 혼합물로 전이하도록 구성되는, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  5. 제 1 항에 있어서,
    상기 플레이트의 두께는 5 ㎜ 내지 25 ㎜의 범위이고, 상기 홀들의 직경은 1 ㎜ 내지 5 ㎜의 범위이고, 상기 홀들의 수는 100 내지 5000의 범위이고, 그리고 상기 플레이트의 직경은 6" 내지 20"의 범위인, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  6. 제 1 항에 있어서,
    상기 에칭 가스 혼합물은 나이트라이드 에칭 및 실리콘 (Si), 실리콘 게르마늄 (SiGe) 및 옥사이드 중 적어도 하나의 패시베이션을 촉진하는 가스들을 포함하는, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  7. 제 6 항에 있어서,
    상기 나이트라이드 에칭을 촉진하는 가스들은 질소 트리플루오라이드 (NF3), 디플루오로메탄 (CH2F2), 테트라플루오로메탄 (CF4), 플루오로메탄 (CH3F), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합, 및 이들의 조합들로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함하는, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  8. 제 6 항에 있어서,
    상기 패시베이션을 촉진하는 가스들은 플루오로메탄 (CH3F), 디플루오로메탄 (CH2F2), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합, 메탄 (CH4), 카르보닐 설파이드 (COS), 수소 설파이드 (H2S) 및 이들의 조합들로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함하는, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  9. 기판 상에 층을 선택적으로 에칭하기 위한 기판 프로세싱 시스템에 있어서,
    기판 프로세싱 챔버로서,
    상부 챔버 영역;
    기판을 지지하기 위한 기판 지지부를 포함하는 하부 챔버 영역; 및
    상기 상부 챔버 영역과 상기 하부 챔버 영역 사이에 배치되고 상기 상부 챔버 영역 및 상기 하부 챔버 영역과 유체로 연통하는 복수의 홀들을 포함하는 가스 분배 디바이스로서,
    상기 홀들의 체적에 대한 상기 홀들의 표면적의 비가 4 cm-1 이상이고, 상기 가스 분배 디바이스는,
    환형, 방사상으로-외측 부분;
    상기 환형, 방사상으로-외측 부분에 형성되고, 그리고 상기 가스 분배 디바이스의 주변부 둘레에 유체를 순환시키고 상기 가스 분배 디바이스의 온도를 제어하기 위한 플레넘; 및
    상기 가스 분배 디바이스로부터 상기 하부 챔버 영역 내로 상기 기판 지지부를 향한 방향으로 퍼지 가스를 지향시키기 위한 퍼지 가스 플레넘을 포함하는, 상기 가스 분배 디바이스; 및
    상기 상부 챔버 영역에서 플라즈마를 생성하고 상기 상부 챔버 영역으로 플라즈마를 공급하는 것 중 적어도 하나를 하도록 상기 퍼지 가스 플레넘으로 퍼지 가스 플로우를 선택적으로 인에이블하고 그리고 상기 플라즈마에 노출되는 상기 기판의 적어도 하나의 다른 층에 대해 상기 플라즈마에 노출되는 상기 기판의 실리콘 나이트라이드 층을 선택적으로 에칭하기 위한 제어기를 포함하고,
    상기 기판의 상기 적어도 하나의 다른 층은 실리콘 다이옥사이드 (SiO2), 실리콘 옥시카보나이트라이드 (SiOCN), 실리콘 게르마늄 (SiGe), 비정질 실리콘 (a-Si) 및 에피택셜-실리콘 (epi-Si) 으로 구성된 그룹으로부터 선택되는, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  10. 제 9 항에 있어서,
    상기 가스 분배 디바이스의 두께는 5 ㎜ 내지 25 ㎜의 범위이고, 상기 홀들의 직경은 1 ㎜ 내지 5 ㎜의 범위인, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  11. 제 9 항에 있어서,
    상기 홀들의 수는 100 내지 5000의 범위이고, 상기 가스 분배 디바이스의 직경은 6" 내지 20"의 범위인, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  12. 제 9 항에 있어서,
    나이트라이드 에칭을 촉진하고 실리콘 (Si), 실리콘 게르마늄 (SiGe) 및 옥사이드 중 적어도 하나의 패시베이션을 촉진하는 가스들을 포함하는 플라즈마 가스 혼합물을 사용하여 상기 플라즈마를 생성하기 위한 플라즈마 공급부를 더 포함하는, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 나이트라이드 에칭을 촉진하는 가스들은 질소 트리플루오라이드 (NF3), 디플루오로메탄 (CH2F2), 테트라플루오로메탄 (CF4), 플루오로메탄 (CH3F), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합, 및 이들의 조합들로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함하고, 그리고
    상기 패시베이션을 촉진하는 가스들은 플루오로메탄 (CH3F), 디플루오로메탄 (CH2F2), 메탄 (CH4), 카르보닐 설파이드 (COS), 수소 설파이드 (H2S), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합, 및 이들의 조합들로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함하는, 선택적으로 에칭하기 위한 기판 프로세싱 시스템.
  14. 기판 상에 층을 선택적으로 에칭하는 방법에 있어서,
    기판 프로세싱 챔버를 제공하는 단계로서,
    상기 기판 프로세싱 챔버는 상부 챔버 영역과 하부 챔버 영역 사이에 배치된 가스 분배 디바이스를 포함하고,
    상기 하부 챔버 영역은 기판을 지지하기 위한 기판 지지부를 포함하고, 그리고
    상기 가스 분배 디바이스는 상기 상부 챔버 영역 및 상기 하부 챔버 영역과 유체로 연통하는 복수의 홀들을 포함하는 플레이트를 포함하고,
    상기 홀들의 체적에 대한 상기 홀들의 표면적의 비는 4 cm-1 이상인, 상기 기판 프로세싱 챔버를 제공하는 단계;
    에칭 가스 혼합물을 상기 상부 챔버 영역에 공급하는 단계; 및
    상기 상부 챔버 영역 내에서 플라즈마를 스트라이킹하고 그리고 상기 플라즈마에 노출되는 상기 기판의 에피택셜 실리콘 (epi-Si) 층에 대해 상기 플라즈마에 노출되는 상기 기판의 실리콘 나이트라이드 층을 선택적으로 에칭하는 단계를 포함하는, 선택적으로 에칭하는 방법.
  15. 제 14 항에 있어서,
    상기 가스 분배 디바이스의 온도를 제어하도록 상기 가스 분배 디바이스의 플레넘으로 유체를 공급하는 단계; 및
    상기 가스 분배 디바이스의 퍼지 가스 플레넘으로 퍼지 가스를 공급하는 단계로서, 상기 퍼지 가스 플레넘은 상기 가스 분배 디바이스로부터 상기 기판 지지부를 향해 상기 퍼지 가스를 지향시키는, 상기 퍼지 가스를 공급하는 단계를 더 포함하는, 선택적으로 에칭하는 방법.
  16. 제 15 항에 있어서,
    미리 결정된 에칭 기간 후에, 상기 플라즈마를 에칭 후 가스 혼합물로 전이하는 단계를 더 포함하고, 상기 에칭 후 가스 혼합물은 수소 종 및 옥사이드 종을 포함하는, 선택적으로 에칭하는 방법.
  17. 제 16 항에 있어서,
    상기 에칭 가스 혼합물로부터 상기 에칭 후 가스 혼합물로 전이하는 단계는 상기 플라즈마를 소화하지 않고 수행되는, 선택적으로 에칭하는 방법.
  18. 제 14 항에 있어서,
    상기 플레이트의 두께는 5 ㎜ 내지 25 ㎜의 범위이고, 상기 홀들의 직경은 1 ㎜ 내지 5 ㎜의 범위이고, 상기 홀들의 수는 100 내지 5000의 범위이고, 상기 플레이트의 직경은 6" 내지 20"의 범위인, 선택적으로 에칭하는 방법.
  19. 제 14 항에 있어서,
    상기 에칭 가스 혼합물은 나이트라이드 에칭 및 실리콘 (Si), 실리콘 게르마늄 (SiGe) 및 옥사이드 중 적어도 하나의 패시베이션을 촉진하는 가스들을 포함하는, 선택적으로 에칭하는 방법.
  20. 제 19 항에 있어서,
    상기 나이트라이드 에칭을 촉진하는 가스들은 질소 트리플루오라이드 (NF3), 디플루오로메탄 (CH2F2), 테트라플루오로메탄 (CF4), 플루오로메탄 (CH3F), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합, 및 이들의 조합들로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함하는, 선택적으로 에칭하는 방법.
  21. 제 19 항에 있어서,
    상기 패시베이션을 촉진하는 가스들은 플루오로메탄 (CH3F), 디플루오로메탄 (CH2F2), 메탄 (CH4), 카르보닐 설파이드 (COS), 수소 설파이드 (H2S), 분자 산소, 분자 질소, 및 아산화질소 (O2/N2/N2O) 의 조합 및 이들의 조합들로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 포함하는, 선택적으로 에칭하는 방법.
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
KR1020180027178A 2017-03-14 2018-03-08 Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭 KR102521089B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230046266A KR20230066515A (ko) 2017-03-14 2023-04-07 Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/458,292 2017-03-14
US15/458,292 US11469079B2 (en) 2017-03-14 2017-03-14 Ultrahigh selective nitride etch to form FinFET devices

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230046266A Division KR20230066515A (ko) 2017-03-14 2023-04-07 Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭

Publications (2)

Publication Number Publication Date
KR20180105072A KR20180105072A (ko) 2018-09-27
KR102521089B1 true KR102521089B1 (ko) 2023-04-11

Family

ID=63519608

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020180027178A KR102521089B1 (ko) 2017-03-14 2018-03-08 Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭
KR1020230046266A KR20230066515A (ko) 2017-03-14 2023-04-07 Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230046266A KR20230066515A (ko) 2017-03-14 2023-04-07 Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭

Country Status (4)

Country Link
US (2) US11469079B2 (ko)
KR (2) KR102521089B1 (ko)
CN (2) CN108630578B (ko)
TW (2) TWI791492B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10699911B2 (en) * 2017-11-07 2020-06-30 Tokyo Electron Limited Method of conformal etching selective to other materials
US10818507B2 (en) * 2018-05-11 2020-10-27 Tokyo Electron Limited Method of etching silicon nitride layers for the manufacture of microelectronic workpieces
US10510553B1 (en) * 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
US10991594B2 (en) * 2018-06-22 2021-04-27 Tokyo Electron Limited Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces
CN112397411A (zh) * 2019-08-13 2021-02-23 台湾积体电路制造股份有限公司 包含抽出装置的制程系统及其监测方法
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020000202A1 (en) 2000-06-29 2002-01-03 Katsuhisa Yuda Remote plasma apparatus for processing sustrate with two types of gases
US20020092471A1 (en) 2001-01-17 2002-07-18 Samsung Electronics Co., Ltd. Semiconductor deposition apparatus and shower head
US20160233335A1 (en) 2015-02-05 2016-08-11 International Business Machines Corporation High selectivity nitride removal process based on selective polymer deposition

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
EP0634778A1 (en) * 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US20020000020A1 (en) * 1998-05-21 2002-01-03 Jimmie C. Walker Rechargeable industrial broom
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
JP2001164371A (ja) * 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6883802B2 (en) * 2002-06-26 2005-04-26 Prosoft Software, Inc. Puzzle
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US20040219790A1 (en) * 2003-04-30 2004-11-04 Wilson Aaron R Etching methods, RIE methods, and methods of increasing the stability of photoresist during RIE
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
CN100552877C (zh) * 2006-05-04 2009-10-21 台湾积体电路制造股份有限公司 蚀刻装置、浸润槽及蚀刻方法
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
WO2009114617A1 (en) * 2008-03-14 2009-09-17 Applied Materials, Inc. Methods for oxidation of a semiconductor device
US7883988B2 (en) * 2008-06-04 2011-02-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate
BG66633B1 (bg) * 2011-03-28 2017-12-29 Ивайло Попов Адаптивен познавателен метод
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9761449B2 (en) * 2013-12-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling materials and methods
CN107431011B (zh) * 2015-03-30 2021-08-24 东京毅力科创株式会社 用于原子层蚀刻的方法
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9397197B1 (en) * 2015-09-23 2016-07-19 International Business Machines Corporation Forming wrap-around silicide contact on finFET
US9653359B2 (en) * 2015-09-29 2017-05-16 International Business Machines Corporation Bulk fin STI formation
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
CN206432233U (zh) * 2017-01-19 2017-08-22 江苏鲁汶仪器有限公司 刻蚀机的下电极载片台
CN107910284B (zh) * 2017-12-15 2018-10-12 广东工业大学 一种面向第三代半导体材料的加工装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020000202A1 (en) 2000-06-29 2002-01-03 Katsuhisa Yuda Remote plasma apparatus for processing sustrate with two types of gases
US20020092471A1 (en) 2001-01-17 2002-07-18 Samsung Electronics Co., Ltd. Semiconductor deposition apparatus and shower head
US20160233335A1 (en) 2015-02-05 2016-08-11 International Business Machines Corporation High selectivity nitride removal process based on selective polymer deposition

Also Published As

Publication number Publication date
TW201843696A (zh) 2018-12-16
US11469079B2 (en) 2022-10-11
KR20230066515A (ko) 2023-05-16
US20180269070A1 (en) 2018-09-20
CN108630578A (zh) 2018-10-09
KR20180105072A (ko) 2018-09-27
CN116631901A (zh) 2023-08-22
TW202333194A (zh) 2023-08-16
US20230084901A1 (en) 2023-03-16
TWI791492B (zh) 2023-02-11
CN108630578B (zh) 2023-04-28

Similar Documents

Publication Publication Date Title
KR102521089B1 (ko) Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭
US10192751B2 (en) Systems and methods for ultrahigh selective nitride etch
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
TWI710415B (zh) 使用基於電漿的程序消除基板處理腔室中的氟殘留物之方法
US9837286B2 (en) Systems and methods for selectively etching tungsten in a downstream reactor
US20230369076A1 (en) Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US11011388B2 (en) Plasma apparatus for high aspect ratio selective lateral etch using cyclic passivation and etching
US9640409B1 (en) Self-limited planarization of hardmask
US20150053346A1 (en) Plasma processing apparatus and plasma processing method
US20030119328A1 (en) Plasma processing apparatus, and cleaning method therefor
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
KR102297695B1 (ko) 유도 결합 고밀도 플라즈마를 사용한 유전체 막의 치밀화
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
KR102549146B1 (ko) 복잡한 3-d 구조체들을 에칭하기 위한 압력 퍼지 에칭 방법
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
US10727089B2 (en) Systems and methods for selectively etching film
US20200234969A1 (en) Ozone Treatment for Selective Silicon Nitride Etch Over Silicon

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant