TW202333194A - 超高選擇性氮化合物蝕刻以形成鰭式場效電晶體裝置 - Google Patents

超高選擇性氮化合物蝕刻以形成鰭式場效電晶體裝置 Download PDF

Info

Publication number
TW202333194A
TW202333194A TW112100340A TW112100340A TW202333194A TW 202333194 A TW202333194 A TW 202333194A TW 112100340 A TW112100340 A TW 112100340A TW 112100340 A TW112100340 A TW 112100340A TW 202333194 A TW202333194 A TW 202333194A
Authority
TW
Taiwan
Prior art keywords
gas
substrate
distribution device
plasma
etching
Prior art date
Application number
TW112100340A
Other languages
English (en)
Inventor
夸梅 伊森
登亮 楊
朴弼延
費薩爾 雅各布
朴准弘
馬克 川口
伊弗霖 安格洛夫
朱濟
張校維
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202333194A publication Critical patent/TW202333194A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Weting (AREA)

Abstract

一種用於選擇性地蝕刻基板上的層之基板處理系統包含上腔室區域、圍繞上腔室區域配置的感應線圈、及包含支撐基板之基板支座的下腔室區域。氣體分配裝置係配置在上腔室區域與下腔室區域之間且包含具有複數孔洞的板。冷卻充氣部將氣體分配裝置冷卻,而沖洗氣體充氣部將沖洗氣體引導至下腔室中。該等孔洞之表面對體積的比率係大於或等於4。控制器選擇性地將蝕刻氣體混合物供應至上腔室區域、及將沖洗氣體供應至沖洗氣體充氣部、且在上腔室中點燃電漿,以相對於基板之至少一曝露的其他層選擇性地蝕刻基板的一層。

Description

超高選擇性氮化合物蝕刻以形成鰭式場效電晶體裝置
本揭示內容係關於基板處理裝置,且更特別關於矽氮化物的選擇性蝕刻。
在此提供的背景說明係為了一般性地呈現本揭示內容之背景。在此先前技術章節中所述之目前列名發明者的工作成果、以及可能未在申請時以其他方式適格作為先前技術之說明的實施態樣,均不被明示或暗示承認為對於本揭示內容的先前技術。
基板處理系統可用以蝕刻基板(諸如半導體晶圓)上的膜。基板處理系統一般包含處理腔室、氣體分配裝置、及基板支座。在處理期間,基板係配置在基板支座上。可將不同的氣體混合物引入至處理腔室中,且射頻(RF)電漿可用以活化化學反應。
當整合諸如鰭式場效電晶體(FinFET)裝置的一些半導體基板時,需以對其他曝露的膜材料非常高的選擇性蝕刻矽氮化物膜。舉例而言,在FinFET裝置的整合期間使用的仿真氮化物膜需選擇性地加以蝕刻。
熱磷酸係用於蝕刻這些裝置中之矽氮化物膜的主要化學品。 熱磷酸具有幾個限制,包含滿足磊晶矽(epi-Si)、矽磷(SiP)、及矽鍺(SiGe)超過10 nm節點的選擇性需求、顆粒和缺陷控制、由於表面張力的圖案崩塌、及自氧碳氮化矽(SiOCN)膜之表面碳(C)耗乏。
一種用於選擇性地蝕刻基板上的層之基板處理系統包含上腔室區域、圍繞上腔室區域配置的感應線圈、及包含支撐基板之基板支座的下腔室區域。氣體分配裝置係配置在上腔室區域與下腔室區域之間且包含具有複數孔洞的板,該複數孔洞與上腔室區域及下腔室區域呈流體連通。該等孔洞之表面對體積的比率係大於或等於4。RF產生器將RF功率供應至感應線圈。氣體遞送系統選擇性地供應氣體混合物。控制器係配置成致使氣體遞送系統將蝕刻氣體混合物選擇性地供應至上腔室且在上腔室中點燃電漿,以相對於基板之至少一曝露的其他層選擇性地蝕刻基板的一層。
在其他特徵中,氣體分配裝置包含冷卻充氣部及沖洗氣體充氣部。該冷卻充氣部使氣體分配裝置中的流體循環以控制氣體分配裝置的溫度,該沖洗氣體充氣部將沖洗氣體引導通過氣體分配裝置到下腔室中。
在其他特徵中,控制器係進一步配置成在一預定蝕刻時間段之後將電漿轉變成蝕刻後氣體混合物。該蝕刻後氣體混合物包含氫物種及氧化物物種。該控制器係配置成在不熄滅電漿的情況下將電漿自蝕刻氣體混合物轉變成蝕刻後氣體混合物。
在其他特徵中,板的厚度係在從5 mm至25 mm的範圍內,孔洞的直徑係在從1 mm至5 mm的範圍內,孔洞的數目係在從100至5000的範圍內,且板的直徑係在從6”至20”的範圍內。
在其他特徵中,基板的該層包含相對於至少一曝露的其他層選擇性地受蝕刻的矽氮化物。該至少一曝露的其他層係選自由二氧化矽(SiO 2)、氧碳氮化矽(SiOCN)、矽鍺(SiGe)、非晶矽(a-Si)、及磊晶矽(epi-Si)所組成的群組。在其他特徵中,蝕刻氣體混合物包含促進氮化物蝕刻及矽(Si)、矽鍺(SiGe)、和氧化物之其中至少一者之鈍化的氣體。促進氮化物蝕刻的氣體包含選自由三氟化氮(NF 3)、二氟甲烷(CH 2F 2)、四氟甲烷(CF 4)、氟甲烷(CH 3F)、分子氧和分子氮和一氧化二氮的組合(O 2/N 2/N 2O)、及其組合所組成之群組的一或更多氣體。促進鈍化的氣體包含選自由氟甲烷(CH 3F)、二氟甲烷(CH 2F 2)、甲烷(CH 4)、羰基硫(COS)、硫化氫(H 2S)、分子氧和分子氮和一氧化二氮的組合(O 2/N 2/N 2O)、及其組合所組成之群組的一或更多氣體。
一種用於選擇性地蝕刻基板上的層之基板處理系統包含基板處理腔室,該基板處理腔室包含上腔室區域及包含支撐基板之基板支座的下腔室區域。氣體分配裝置係配置在上腔室區域與下腔室區域之間,且包含與上腔室區域及下腔室區域呈流體連通的複數孔洞。該等孔洞之表面對體積的比率係大於或等於4。電漿供應部係配置成以下其中至少一者:在上腔室區域中產生電漿、或將電漿供應至上腔室區域,以相對於基板之至少一曝露的其他層選擇性地蝕刻基板的一層。
在其他特徵中,氣體分配裝置包含冷卻充氣部,該冷卻充氣部用於使氣體分配裝置之一部分中的流體循環以控制氣體分配裝置的溫度。該氣體分配裝置更包含沖洗氣體充氣部,以將來自氣體分配裝置的沖洗氣體以朝基板支座的方向引導至下腔室中。該氣體分配裝置包含用於使流體循環以控制氣體分配裝置之溫度的冷卻充氣部、及將來自氣體分配裝置的沖洗氣體引導至下腔室中的沖洗氣體充氣部。
在其他特徵中,氣體分配裝置的厚度係在從5 mm至25 mm的範圍內,且孔洞的直徑係在從1 mm至5 mm的範圍內。孔洞的數目係在從100至5000的範圍內,且氣體分配裝置的直徑係在從6”至20”的範圍內。基板的該層包含相對於至少一曝露的其他層選擇性地受蝕刻的矽氮化物。該至少一曝露的其他層係選自由二氧化矽(SiO 2)、氧碳氮化矽(SiOCN)、矽鍺(SiGe)、非晶矽(a-Si)、及磊晶矽(epi-Si)所組成的群組。
在其他特徵中,電漿供應部使用電漿氣體混合物產生電漿,該電漿氣體混合物包含促進氮化物蝕刻及促進矽(Si)、矽鍺(SiGe)、和氧化物之其中至少一者之鈍化的氣體。促進氮化物蝕刻的氣體包含選自由三氟化氮(NF 3)、二氟甲烷(CH 2F 2)、四氟甲烷(CF 4)、氟甲烷(CH 3F)、分子氧和分子氮和一氧化二氮的組合(O 2/N 2/N 2O)、及其組合所組成之群組的一或更多氣體。促進鈍化的氣體包含選自由氟甲烷(CH 3F)、二氟甲烷(CH 2F 2)、甲烷(CH 4)、羰基硫(COS)、硫化氫(H 2S)、分子氧和分子氮和一氧化二氮的組合(O 2/N 2/N 2O)、及其組合所組成之群組的一或更多氣體。
一種用於選擇性地蝕刻基板上的層之方法,包含:提供基板處理腔室,其包含配置在上腔室區域與下腔室區域之間的氣體分配裝置。該下腔室區域包含支撐基板的基板支座。該氣體分配裝置包括包含複數孔洞的板,該複數孔洞與上腔室區域及下腔室區域呈流體連通。該等孔洞之表面對體積的比率係大於或等於4。該方法更包含將蝕刻氣體混合物供應至上腔室區域。該方法更包含在上腔室區域中點燃電漿,以相對於基板之至少一曝露的其他層選擇性地蝕刻基板的一層。
在其他特徵中,該方法包含將流體供應至氣體分配裝置中的冷卻充氣部以控制氣體分配裝置的溫度,及將沖洗氣體供應至氣體分配裝置中的沖洗氣體充氣部。該沖洗氣體充氣部將來自氣體分配裝置的沖洗氣體朝基板支座引導。
在其他特徵中,該方法包含在一預定蝕刻時間段之後將電漿轉變成蝕刻後氣體混合物。該蝕刻後氣體混合物包含氫物種及氧化物物種。自蝕刻氣體混合物轉變成蝕刻後氣體混合物的步驟係在不熄滅電漿的情況下執行。板的厚度係在從5 mm至25 mm的範圍內,孔洞的直徑係在從1 mm至5 mm的範圍內,孔洞的數目係在從100至5000的範圍內,且板的直徑係在從6”至20”的範圍內。
在其他特徵中,基板的該層包含相對於至少一曝露的其他層選擇性地受蝕刻的矽氮化物。該至少一曝露的其他層係選自由二氧化矽(SiO 2)、氧碳氮化矽(SiOCN)、矽鍺(SiGe)、非晶矽(a-Si)、及磊晶矽(epi-Si)所組成的群組。
在其他特徵中,該蝕刻氣體混合物包含促進氮化物蝕刻及矽(Si)、矽鍺(SiGe)、和氧化物之其中至少一者之鈍化的氣體。促進氮化物蝕刻的氣體包含選自由三氟化氮(NF 3)、二氟甲烷(CH 2F 2)、四氟甲烷(CF 4)、氟甲烷(CH 3F)、分子氧和分子氮和一氧化二氮的組合(O 2/N 2/N 2O)、及其組合所組成之群組的一或更多氣體。促進鈍化的氣體包含選自由氟甲烷(CH 3F)、二氟甲烷(CH 2F 2)、甲烷(CH 4)、羰基硫(COS)、硫化氫(H 2S)、分子氧和分子氮和一氧化二氮的組合(O 2/N 2/N 2O)、及其組合所組成之群組的一或更多氣體。
本揭示內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體示例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
本揭示內容係關於產生自由基以促進氮化物蝕刻且同時選擇性地鈍化除了矽氮化物之外的膜之製程。該製程在自由基吸附至表面與反應物自表面脫附之間在熱力學上平衡。自由基高度產生導致具有低跨晶圓差異的高SiN移除速率。自由基產生可藉由功率、壓力、化學及/或氣體稀釋而調節。在一些示例中,自由基係以H模式電漿狀態(而不是E模式電漿狀態)產生,以提供用於表面鈍化的高自由基解離。
該製程相對於其他曝露的膜(諸如二氧化矽(SiO 2)、氧碳氮化矽(SiOCN)間隔件材料、矽鍺(SiGe)、非晶矽(a-Si)、及磊晶矽(epi-Si))選擇性地移除矽氮化物。該製程係無殘留物、不留下固體副產物,且允許蝕刻後表面的脫氟(de-fluorination)。該製程實現完全乾蝕刻的製程,且在高深寬比3-D結構中沒有圖案崩塌。
在一些示例中,該製程使用感應耦合電漿(ICP)源以產生自由基及離子。在一些示例中,電容耦合電漿(CCP)源係用以選擇性地產生自由基。
電漿包含物理性蝕刻基板的離子及化學性蝕刻基板的自由基。改善的選擇性可藉由減少或消除離子及高能量的自由基而實現。雖然下游電漿的使用可減少或消除離子,但高能量的自由基仍可能造成膜之不期望的損失。本文描述的系統及方法係用以減少或過濾自由基的高能端(high energy tail)以降低不期望的膜損失。
如將在下面進一步所述,根據本揭示內容的系統及方法使用一或更多特徵部降低自由基的能量。氣體分配裝置可設計成經由具有預定表面對體積(S/V)之比率的孔遞送氣體。氣體分配裝置的溫度可使用流體(諸如液體或氣體)流經的流體充氣部穩定及均勻地控制。沖洗氣體可使用氣體分配裝置中的另一充氣部引入至氣體分配裝置下方的下腔室中。可調節基板與氣體分配裝置之間的間隙以改善選擇性。
現參照圖1,顯示FinFET裝置10的示例。雖然本文描述FinFET裝置,但該選擇性的蝕刻製程可在其他裝置上使用。FinFET裝置10包含非晶矽層14及二氧化矽(SiO 2)層16。矽氮化物層18係配置在SiO 2間隔件或層22、低k間隔件24、及SiN間隔件或層26上。FinFET裝置10亦可包含矽鍺(SiGe)28。在SiN層18的蝕刻期間,諸多不同的膜類型係曝露於蝕刻,包含SiO 2膜、低k膜、及SiGe膜。蝕刻SiN膜需要在不損壞或實質移除SiO 2膜、低k膜、及/或SiGe膜的情況下執行。除了這些類型的膜之外,基板亦可包含可在蝕刻期間曝露的其他膜材料,諸如氧碳氮化矽(SiOCN)及/或epi-Si。
現參照圖2,顯示根據本揭示內容之用於選擇性蝕刻基板的SiN膜之基板處理腔室100的示例。雖然顯示及描述特定的基板處理腔室,但本文描述的方法可在其他類型的基板處理系統上執行。在一些示例中,基板處理腔室100包含下游感應耦合電漿(ICP)源。可設置選用性的電容耦合電漿(CCP)源。
基板處理腔室100包含下腔室區域102及上腔室區域104。下腔室區域102係由腔室側壁表面108、腔室底部表面110、及氣體分配裝置114的下表面所界定。在一些示例中,省略氣體分配裝置114。
上腔室區域104係由氣體分配裝置114的上表面及上腔室壁118的內表面(例如圓頂形狀的腔室)所界定。在一些示例中,上腔室壁118置於第一環形支座121上。在一些示例中,第一環形支座121包含用以將處理氣體遞送至上腔室區域104的一或更多氣流通道及/或孔123,如將在下面進一步所述。氣流通道及/或孔123可圍繞上腔室區域104的周緣均勻地間隔開。在一些示例中,處理氣體係藉由一或更多氣流通道及/或孔123在向上方向上、以相對於包含氣體分配裝置114之平面的銳角遞送,然而亦可使用其他的角度/方向。在一些示例中,第一環形支座121中的充氣部134將氣體供應至一或更多間隔開的氣流通道及/或孔123。
第一環形支座121可置於第二環形支座125上,該第二環形支座125界定用於將處理氣體遞送至下腔室區域102的一或更多氣流通道及/或孔127。在一些示例中,氣體分配裝置114中的孔131與氣流通道及/或孔127對準。在其他示例中,氣體分配裝置114具有較小的直徑且不需要孔131。在一些示例中,處理氣體係藉由一或更多間隔開的氣流通道及/或孔127在向下方向上、以相對於包含氣體分配裝置114之平面的銳角朝基板遞送,然而亦可使用其他的角度/方向。
在其他示例中,上腔室區域104係具有平坦之頂表面的圓柱形,且可使用一或更多平坦的感應線圈。在又其他示例中,單一腔室可與配置在噴淋頭與基板支座間的間隔件一起使用。
基板支座122係配置在下腔室區域102中。在一些示例中,基板支座122包含靜電卡盤(ESC),然而亦可使用其他類型的基板支座。基板126在蝕刻期間係配置在基板支座122的上表面上。在一些示例中,基板126的溫度可藉由加熱器板141、選用性之具有流體通道的冷卻板、及一或更多感測器(未顯示)控制,然而亦可使用任何其他適合的基板支座溫度控制系統。在一些示例中,溫度控制器143可用以控制基板支座122的加熱及冷卻。加熱可藉由加熱器板141執行,而冷卻可藉由具有流體通道145的冷卻板執行。
溫度控制器147可用以藉由將加熱/冷卻流體供應至氣體分配裝置114中的充氣部而控制氣體分配裝置114的溫度。溫度控制器143及/或147可更包含流體源、幫浦、控制閥、及溫度感測器(皆未顯示)。
在一些示例中,氣體分配裝置114包含噴淋頭(例如具有複數間隔開的孔129的板128)。該複數間隔開的孔129自板128的上表面延伸至板128的下表面。在一些實施例中,間隔開的孔129具有在從0.4”至0.75”之範圍內的直徑,且噴淋頭係由導電材料(例如鋁)或非導電材料(例如具有由導電材料製成之嵌入式電極的陶瓷)製成。在下面進一步描述的其他示例中,較小的孔129可用以增加表面對體積的比率。
一或更多感應線圈140係圍繞上腔室壁118的外部部分配置。當通電時,一或更多感應線圈140在上腔室壁118的內部產生電磁場。在一些示例中,使用上線圈及下線圈。氣體注射器142將來自氣體遞送系統150-1的一或更多氣體混合物注入至上腔室區域104中。
在一些示例中,氣體遞送系統150-1包含一或更多氣體源152、一或更多閥154、一或更多質流控制器(MFC)156、及一混合歧管158,然而亦可使用其他類型的氣體遞送系統。可使用氣體分流器(未顯示)以改變氣體混合物的流率。可使用另一氣體遞送系統150-2以將蝕刻氣體、調節氣體、沖洗氣體、或其他氣體混合物供應至氣流通道及/或孔123及/或127(在來自氣體注射器142的蝕刻氣體之外額外供應,或取代來自氣體注射器142的蝕刻氣體而供應)。
合適的氣體遞送系統係顯示並描述於2015年12月4日申請、題為“Gas Delivery System”之共同轉讓的美國專利申請案序號第14/945,680號中,其全部內容於此藉由參照納入本案揭示內容。合適的單一或雙氣體注射器及其他氣體注射位置係顯示並描述於2016年1月7日申請、題為“Substrate Processing System with Multiple Injection Points and Dual Injector”之共同轉讓的美國臨時專利申請案序號第62/275,837號中,其全部內容於此藉由參照納入本案揭示內容。
在一些示例中,氣體注射器142包含以向下方向引導氣體的一中央注射位置、及以相對於該向下方向之一角度注入氣體的一或更多側注射位置。在一些示例中,氣體遞送系統150-1以第一流率將氣體混合物的第一部分遞送至氣體注射器142的該中央注射位置,及以第二流率將氣體混合物的第二部分遞送至氣體注射器142的側注射位置。在其他示例中,不同的氣體混合物係藉由氣體注射器142遞送。在一些示例中,氣體遞送系統150-2將調節氣體遞送至氣流通道及/或孔123和127、及/或至將於以下描述之處理腔室中的其他位置。舉例而言,氣體遞送系統150-2亦可將氣體遞送至氣體分配裝置114中的充氣部(結合圖11描述於下)。
電漿產生器170可用以產生輸出至一或更多感應線圈140的RF功率。電漿190係在上腔室區域104中產生。在一些示例中,電漿產生器170包含RF產生器172及匹配網路174。匹配網路174將RF產生器172的阻抗與一或更多感應線圈140的阻抗相匹配。在一些示例中,氣體分配裝置114係連接至諸如接地的參考電位。閥178及幫浦180可用以控制下腔室區域102及上腔室區域104之內部的壓力及抽空反應物。
控制器176與氣體遞送系統150-1和150-2、閥178、幫浦180、及/或電漿產生器170通訊以控制處理氣體、沖洗氣體、調節氣體的流量、RF電漿及腔室壓力。在一些示例中,電漿係藉由一或更多感應線圈140而維持在上腔室壁118內部。一或更多氣體混合物係使用氣體注射器142(及/或氣流通道及/或孔123)而自腔室的頂部部分引入,而電漿係使用氣體分配裝置114被限制在上腔室壁118之內。
將電漿限制在上腔室壁118中允許電漿物種的體積復合(volume recombination)、及使期望的蝕刻劑物種透過氣體分配裝置114流出。在一些示例中,沒有施加至基板126的RF偏壓。因此,基板126上沒有有效的鞘層且離子不以任何有限的能量撞擊基板。一些量的離子將透過氣體分配裝置114擴散出電漿區域。然而,所擴散的電漿量較位於上腔室壁118內部的電漿低了一個數量級。電漿中大部分的離子由於在高壓下的體積復合而損失。氣體分配裝置114之上表面處的表面復合損失亦降低氣體分配裝置114下方的離子密度。
在其他示例中,設置RF偏壓產生器184,該RF偏壓產生器184包含RF產生器186及匹配網路188。RF偏壓可用以在氣體分配裝置114與基板支座之間產生電漿、或用以在基板126上產生自偏壓而吸引離子。控制器176可用以控制RF偏壓。
在一些示例中,電漿氣體混合物包含促進氮化物蝕刻及Si、SiGe和氧化物鈍化的氣體。用於促進氮化物蝕刻的氣體包含三氟化氮(NF 3)、二氟甲烷(CH 2F 2)、四氟甲烷(CF 4)、氟甲烷(CH 3F)、分子氧和分子氮和一氧化二氮的組合(O 2/N 2/N 2O)、及其組合的其中一或更多者。在一些示例中,用於促進氧化物鈍化的氣體包含甲烷(CH 4)、CH 3F、CH 2F 2、羰基硫(COS)、硫化氫(H 2S)、及/或O 2/N 2/N 2O的其中一或更多者。在一些示例中,用於促進矽和SiGe鈍化的氣體包含O 2/N 2/N 2O、N 2O、或O 2/N 2的其中一或更多者。在一些示例中,氬(Ar)可在電漿氣體化學品中提供,以提供載體氣體效應及增加電漿密度。Ar具有低的電子親和力。 在一些示例中,Ar係以蝕刻期間所供應之電漿氣體混合物的0%至15%範圍供應。
諸多氣體的合適流率係顯示如下:
氣體 一般流量(sccm) 範圍(sccm)
NF 3 100 0-500
CH 2F 2 100 0-500
CF 4 100 0-500
CH 3F 100 0-500
CHF 3 100 0-500
CH 4 100 0-500
SiF 4 100 0-500
SF 6 100 0-500
H 2 1000 0 - 3000
COS 100 0-500
H 2S 100 0-500
Ar 2000 1000-5000
O 2 3000 1000-5000
N 2 3000 1000-5000
N 2O 5000 1000-8000
CO 2 100 0-5000
在一些示例中,執行蝕刻後處理以使最終表面脫氟。在一些示例中,從蝕刻至蝕刻後處理的轉變係在不熄滅電漿的情況下作成。在一些示例中,蝕刻後處理包括含氫物種及含氧化物的物種。氫來源的示例包含但不限於:H 2、NH 3、CH 4、C 2H 4、C 3H 6、C 2H 6等。氧來源的示例包含但不限於:O 2、CO 2、N 2O、H 2O、O 3等。在一些示例中,H來源係以從25%至75%的範圍供應,而氧來源係以從75%至25%的範圍供應。在一些示例中,蝕刻後處理係執行一預定的時間段。
以上描述的蝕刻製程對SiN係高選擇性的。相對於其他可獲得之膜材料的選擇性包含:
參數 選擇性
SiN / PE Ox ≥ 100
SiN / 間隔件 低k 10 - 100
SiN / SiGe 10 - 100
SiN / Epi Si 10 - 100
所提出的製程具有若干優點,包含能夠將FinFET縮放增加至較高深寬比的全乾式移除製程及增加的密度。與現有技術相比,該製程具有較高的製造產量及較低的缺陷。
現參照圖3-4所示,隨著ICP功率增加,電漿從E模式電漿狀態轉變至H模式電漿狀態。E至H模式轉變發生處的功率位準係受壓力、化學品(使用的特定F氣體物種)、及混合物中其他氣體的化學性質(例如低電子親和力氣體(諸如Ar)的添加)所影響。蝕刻機制使表面標稱上為F終端的。在一些示例中,執行蝕刻後處理,如將在下面所述。
對於圖3中的示例而言,E至H模式的轉變發生在約2200 W的ICP功率處。在圖4中,顯示其他電漿氣體化學品之E至H模式的轉變。電漿1對應於N 2O、O 2、N 2、CO 2、及NF 3的電漿氣體混合物。NF 3係強電負性的物種。電漿2對應於N 2O、O 2、N 2、CO 2、NF 3、及Ar的電漿氣體混合物。Ar具有低的電子親和力。電漿3對應於N 2O、O 2、N 2、CO 2、Ar、及CF 4的電漿氣體混合物。CF 4係弱負電性的F物種。電漿4對應於在高壓下之N 2O、O 2、N 2、CO 2、及NF 3的電漿氣體混合物。如圖4所示,至H模式的轉變取決於氣體化學品、ICP腔室壓力、及ICP功率而改變。
在一些示例中,矽氮化物蝕刻的機制以三個步驟發生:首先在表面上之自由基的化學吸附,接著產物自表面的脫附,最後導致氟化步驟。在化學吸附期間,來自下游電漿的自由基附著至氮化物的氮原子。在脫附期間,脫附速率對於副產物物種而言係高的,此實質上作為N提取製程。在氟化期間,氮化物中的矽是藉由F反應移除。相比之下,來自下游電漿的自由基附著至氧化物。脫附速率在氧化物上係非常低。氧化物係受保護免於自由基的F攻擊。
現參照圖5,顯示SiN和SiO 2(例如使用四乙氧基矽烷(TEOS))的差別蝕刻速率。自由基通量有助於實現高蝕刻速率及高選擇性。
在圖6中,顯示SiN蝕刻的顆粒效能圖,其中1)無蝕刻後處理(PET),2)只使用氧的PET處理,及3)使用氧及氫的PET(1:1比率)處理。在一些示例中,因為冷凝隨時間增加,所以基於O 2的電漿係略微有效的,如圖6所示。在一些示例中,如圖6中可見,比率1:1之基於H 2/O 2的電漿因為冷凝隨時間推移係穩定的而為有效的。
現參照圖7,電漿包含物理性蝕刻基板的離子及化學性蝕刻基板的自由基。改善的選擇性可藉由減少或消除離子及高能量的自由基而實現。雖然下游電漿的使用可減少或消除離子,但高能量的自由基仍可能造成膜之不期望的損失。本文描述的系統及方法係用以減少或過濾自由基的高能端(如圖7所示)以降低不期望的膜損失。
根據本揭示內容的系統及方法使用一或更多特徵部降低自由基的能量。現參照圖8-9,氣體分配裝置114可設計成使用板128遞送氣體,該板128包含具有預定表面對體積(S/V)之比率的孔129。該等孔之其中一者的孔表面積係定義為2πr h*L,其中r h是孔的半徑,而L是氣體分配裝置的厚度。單孔的孔容積係定義為πr h 2*L。因此,S/V的比率係由下式給出: 其中N是孔的數目。
根據本揭示內容之氣體分配裝置114的S/V比率係大於或等於4。在一些示例中,S/V比率係大於或等於5、6、7、8、9、10、11或更高,以(1/cm)為單位。對於現有的氣體分配裝置而言, S/V比率的一般值通常小於2。較高的S/V比率增加離子通量移除速率及高的熱能自由基通量移除率。換句話說,減少高能端。在一些示例中,氣體分配裝置的厚度係在從5 mm至25 mm的範圍內。在一些示例中,與範圍在從10 mm至25 mm內的典型孔直徑相比,孔的直徑係在從1 mm至5 mm的範圍內。在一些示例中,板128的厚度係在從5 mm至25 mm的範圍內。在一些示例中,孔的數目係在從100至5000的範圍內。板直徑係在從6”至20”的範圍內。
在圖9中,氣體分配裝置的溫度可使用包含流體(諸如液體或氣體)流經之流體充氣部的氣體分配裝置加以控制。流體入口310接收諸如氣體或液體的流體。流體入口310係與第一充氣部314呈流體接觸。流體流進流體入口310、通過第一充氣部314(圍繞氣體分配裝置114的周緣)並流出流體出口320。在一些示例中,氣體分配裝置114包含其中形成第一充氣部314的環形徑向外部部分316。在一些示例中,環形徑向外部部分316在軸向方向上具有比氣體分配裝置114之徑向內部部分大的厚度。流經第一充氣部314的流體吸收熱以冷卻氣體分配裝置114,或來自流體的熱係被氣體分配裝置114吸收以加熱氣體分配裝置114。因此,氣體分配裝置114的溫度係更均勻。
現參照圖10,在一些示例中,氣體分配裝置114可包含用於將沖洗氣體遞送至下腔室區域102的第二充氣部350。在一些示例中,第二充氣部350在位於基板126上方的氣體分配裝置114之整個表面延伸。至第二充氣部350的氣體入口354係連接至沖洗氣體的來源,諸如上述氣體遞送系統150-2。複數氣孔360延伸通過氣體分配裝置114的下表面,以將氣體從第二充氣部350朝基板126向下引導。在一些示例中,氣體分配裝置114可使用兩或更多具有相對之表面的板製成,該相對的表面經加工以產生一或更多充氣部,且接著板係使用密封件、熔接、或其他方法連接在一起。
沖洗氣體係引入至氣體分配裝置114下方的下腔室區域102中。沖洗氣體係比電漿氣體冷。沖洗氣體與下游電漿氣體混合以降低總氣體溫度。根據相對流率,沖洗氣體可將到達基板的電漿氣體溫度從300 oC降低至低於100 oC。T 混合的溫度可如下決定: 在Q 主要是下游電漿氣體流,Q 沖洗是沖洗氣體流,T 主要是下游電漿氣體溫度,而T 沖洗是電漿氣體溫度。
現參照圖11,顯示電漿氣體流率及沖洗氣體流率的示例,其中電漿氣體具有300℃的溫度,而沖洗氣體具有25℃的溫度。
與現有設計相比,可增加基板126與氣體分配裝置114的底表面之間的間隙g。在一些示例中,間隙的範圍在從20 mm至80 mm內。通常,增加間隙傾向減少到達晶圓表面的高能物種。
使用較高的S/V比率結合本文描述的其他特徵可用以將電漿能降低至小於1 eV。使用這些特徵達成的較低電漿能比沒有本文所述特徵之下游電漿的較高電漿能(在從1 eV至5 eV的範圍內)較佳。因此,膜損失從沒有前述特徵之下游電漿的約10埃顯著降低至具有這些特徵之下游電漿的小於2埃(或1單層)。
現參照圖12,顯示用於相對於基板之一或更多曝露的其他層選擇性地蝕刻基板之一層的方法300。在308,基板係配置在基板處理系統的下腔室區域中。在312,蝕刻氣體混合物係供應至上腔室區域。在318,冷卻流體係供應至在上腔室區域與下腔室區域之間中的氣體分配裝置。在322,沖洗氣體係供應至氣體分配裝置。在328,電漿係在上腔室中點燃一預定的蝕刻時間段,以相對於基板的一層選擇性地蝕刻基板的另一層。在332,將蝕刻氣體混合物轉變成蝕刻後氣體混合物一預定的蝕刻後時間段。在334,熄滅電漿。
以上所述在本質上僅用以說明且絕非意圖限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以各種形式執行。因此,雖然本揭示內容包含特殊的示例,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖式、說明書及以下申請專利範圍後變為顯而易見。應理解方法中的一或更多步驟可以不同順序(或同時)執行而不改變本揭示內容的原理。此外,雖然各個實施例係如上所述為具有某些特徵,但關於本揭示內容之任何實施例描述的這些特徵之其中任何一或多者可結合任何其他實施例的特徵而實施,即使結合係未明確地描述亦然。換句話說,描述的實施例係非互斥,且一或更多實施例彼此的置換仍在此揭示內容的範圍內。
元件之間(例如:模組、電路元件、半導體層等之間)的空間及功能關係係使用諸多術語描述,包含:「連接」、「接合」、「耦接」、「毗鄰」、「旁邊」、「在上方」、「上方」、「下方」、及「配置」。當第一及第二元件之間的關係係在上述揭示內容中描述時,除非明確地描述為「直接」,否則該關係可為直接的關係,其中沒有其他中介元件係存在於該第一及第二元件之間,但亦可為間接的關係,其中一或更多中介元件係(空間地或功能地)存在於該第一及第二元件之間。當在此使用時,片語「A、B、及C的其中至少一者」應被理解為表示使用非排他邏輯「或」之邏輯(A或B或C),且不應理解為表示「A的其中至少一者、B的其中至少一者、及C的其中至少一者」。
在一些實施方式中,控制器為系統的一部分,其可為上述例子的一部分。此等系統可包括半導體處理設備,其包含處理工具或複數處理工具、腔室或複數腔室、用於處理的平臺或複數平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制系統或複數系統之諸多元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制此處揭示的任何製程,包含:處理氣體的遞送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體遞送設定、位置及操作設定、出入一工具和其他轉移工具及/或與特定系統連接或介接的裝載鎖定部之晶圓轉移。
廣義地說,控制器可定義為具有接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測等之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含呈儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或更多微處理器或微控制器。程式指令可為以諸多個別設定(或程式檔案)之形式與控制器通訊的指令,該等設定定義對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間完成一或更多處理步驟。
在一些實施方式中,控制器可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或以上方式組合。舉例而言,控制器可為在「雲端」或晶圓廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數製造操作的趨勢或性能度量,以改變目前處理的參數、以設定目前操作之後的處理步驟、或啟動新的製程。在一些例子中,遠程電腦(例如伺服器)可經由網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或更多操作期間將執行之各個處理步驟的參數。應理解參數可專門用於將執行之製程的類型及控制器受配置所介接或控制之工具的類型。因此,如上所述,控制器可為分散式的,諸如藉由包含一或更多分散的控制器,其由網路連在一起且朝共同的目的(諸如本文描述的製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室中的一或更多積體電路,其連通位於遠端(諸如在平台級或作為遠程電腦的一部分)之一或更多積體電路,而結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中的其他半導體處理系統。
如上所述,依據將由工具執行的製程步驟或複數製程步驟,控制器可與下列其中一或更多者通訊:其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或負載端。
10:FinFET裝置 14:非晶矽層 16:二氧化矽(SiO 2)層 18:矽氮化物層(SiN層) 22:SiO 2間隔件或層 24:低k間隔件 26:SiN間隔件或層 28:矽鍺(SiGe) 100:基板處理腔室 102:下腔室區域 104:上腔室區域 108:腔室側壁表面 110:腔室底部表面 114:氣體分配裝置 118:上腔室壁 121:第一環形支座 122:基板支座 123:氣流通道及/或孔 125:第二環形支座 126:基板 127:氣流通道及/或孔 128:板 129:孔 131:孔 134:充氣部 140:感應線圈 141:加熱器板 142:氣體注射器 143:溫度控制器 145:流體通道 147:溫度控制器 150-1:氣體遞送系統 150-2:氣體遞送系統 152:氣體源 154:閥 156:質流控制器(MFC) 158:混合歧管 170:電漿產生器 172:RF產生器 174:匹配網路 176:控制器 178:閥 180:幫浦 184:RF偏壓產生器 186:RF產生器 188:匹配網路 190:電漿 300:方法 308:步驟 310:流體入口 312:步驟 314:第一充氣部 316:環形徑向外部部分 318:步驟 322:步驟 328:步驟 332:步驟 334:步驟 320:流體出口 350:第二充氣部 354:氣體入口 360:氣孔
本揭示內容將從實施方式及隨附圖式變得更完全獲得了解,其中:
圖1係根據本揭示內容之矽氮化物膜的選擇性蝕刻期間之FinFET裝置之示例的立體圖;
圖2係根據本揭示內容用於選擇性地蝕刻矽氮化物膜之感應耦合電漿(ICP)基板處理腔室之示例的功能方塊圖;
圖3-4係根據本揭示內容顯示針對諸多不同類型的電漿和壓力作為ICP功率的函數之峰值電壓的圖;
圖5根據本揭示內容描繪針對ICP處理腔室作為基板支座溫度的函數之蝕刻速率;
圖6根據本揭示內容描繪無蝕刻後處理的基板、具有O 2蝕刻後處理的基板、及具有H:O蝕刻後處理的基板;
圖7係描繪在較高和較低溫度下,作為分子分率的函數之動能的示例的圖;
圖8描繪在氣體分配裝置的板中形成之通孔的尺寸之示例;
圖9係根據本揭示內容包含單一充氣部之氣體分配裝置之示例的側橫剖面圖;
圖10係根據本揭示內容包含雙充氣部之氣體分配裝置之示例的側橫剖面圖;
圖11係根據本揭示內容之混合溫度圖;及
圖12係描繪相對於基板之至少一曝露的其他層選擇性地蝕刻基板的一層之方法的示例之流程圖。
在圖式中,參考數字可重複使用以識別相似及/或相同的元件。
100:基板處理腔室
102:下腔室區域
104:上腔室區域
108:腔室側壁表面
110:腔室底部表面
114:氣體分配裝置
118:上腔室壁
121:第一環形支座
122:基板支座
123:氣流通道及/或孔
125:第二環形支座
126:基板
127:氣流通道及/或孔
128:板
129:孔
131:孔
134:充氣部
140:感應線圈
141:加熱器板
142:氣體注射器
143:溫度控制器
145:流體通道
147:溫度控制器
150-1:氣體遞送系統
150-2:氣體遞送系統
152:氣體源
154:閥
156:質流控制器(MFC)
158:混合歧管
170:電漿產生器
172:RF產生器
174:匹配網路
176:控制器
178:閥
180:幫浦
184:RF偏壓產生器
186:RF產生器
188:匹配網路
190:電漿

Claims (18)

  1. 一種用於選擇性地蝕刻基板上的層之基板處理系統,包含: 一RF產生器,用以將RF功率供應至一感應線圈,該感應線圈圍繞一第一腔室區域而配置,該第一腔室區域係配置在包含一板之一氣體分配裝置的上方,該板包含複數孔洞,該複數孔洞使該第一腔室區域與一第二腔室區域呈流體連接,其中該等孔洞之表面積對該等孔洞之體積的比率係大於或等於4(公分 -1);以及 一控制器,用以: 將一蝕刻氣體混合物選擇性地供應至該第一腔室區域;以及 透過該感應線圈,在該第一腔室區域中點燃電漿,並且相對於基板之磊晶矽(epi-Si)層而選擇性地蝕刻該基板之矽氮化物層,該基板係配置在該第二腔室區域中。
  2. 如請求項1之用於選擇性地蝕刻基板上的層之基板處理系統,其中,該板包含一冷卻充氣部,該冷卻充氣部用於使流體在該氣體分配裝置中循環,以控制該氣體分配裝置的溫度。
  3. 如請求項2之用於選擇性地蝕刻基板上的層之基板處理系統,其中,該板更包含一沖洗氣體充氣部,該沖洗氣體充氣部將沖洗氣體引導通過該氣體分配裝置到該第二腔室區域中。
  4. 如請求項2之用於選擇性地蝕刻基板上的層之基板處理系統,其中,該控制器進一步用以在一預定蝕刻時間段之後將該電漿轉變成蝕刻後氣體混合物,且其中該蝕刻後氣體混合物包含氫物種及氧化物物種。
  5. 如請求項4之用於選擇性地蝕刻基板上的層之基板處理系統,其中,該控制器係配置成在不熄滅該電漿的情況下將該電漿自該蝕刻氣體混合物轉變成該蝕刻後氣體混合物。
  6. 如請求項1之用於選擇性地蝕刻基板上的層之基板處理系統,其中,該板的厚度係介於5 毫米(mm)與25 mm之間,該等孔洞的直徑係介於1 mm與5 mm之間,該等孔洞的數目係介於100與5000之間,且該板的直徑係介於6英吋與20英吋之間。
  7. 如請求項1之用於選擇性地蝕刻基板上的層之基板處理系統,其中,該蝕刻氣體混合物包含促進氮化物蝕刻及矽(Si)、矽鍺(SiGe)、和氧化物之其中至少一者之鈍化的氣體。
  8. 如請求項7之用於選擇性地蝕刻基板上的層之基板處理系統,其中,促進氮化物蝕刻的氣體包含選自由三氟化氮(NF 3)、二氟甲烷(CH 2F 2)、四氟甲烷(CF 4)、氟甲烷(CH 3F)、分子氧和分子氮和一氧化二氮的組合(O 2/N 2/N 2O)、及其組合所組成之群組的一或更多氣體。
  9. 如請求項7之用於選擇性地蝕刻基板上的層之基板處理系統,其中,促進鈍化的氣體包含選自由氟甲烷(CH 3F)、二氟甲烷(CH 2F 2)、分子氧和分子氮和一氧化二氮的組合(O 2/N 2/N 2O)、甲烷(CH 4)、羰基硫(COS)、硫化氫(H 2S)、及其組合所組成之群組的一或更多氣體。
  10. 一種氣體分配裝置,其配置在一基板處理腔室的一上腔室區域與該基板處理腔室的一下腔室區域之間,該氣體分配裝置包含: 複數孔洞,用以流體連接該上腔室區域及該下腔室區域,其中該等孔洞之表面積對該等孔洞之體積的比率係大於或等於4(公分 -1); 一冷卻充氣部,形成於該氣體分配裝置的一環形徑向外部部分中,該冷卻充氣部用於使流體圍繞該氣體分配裝置之周緣而循環並控制該氣體分配裝置的溫度;以及 一沖洗氣體充氣部,用以將沖洗氣體從該氣體分配裝置引導至該下腔室區域中而朝向一基板支座。
  11. 如請求項10之氣體分配裝置,其中,該氣體分配裝置的厚度係介於5 毫米(mm)與25 mm之間。
  12. 如請求項10之氣體分配裝置,其中,該等孔洞的直徑係介於1 mm與5 mm之間。
  13. 如請求項10之氣體分配裝置,其中,該等孔洞的數目係介於100與5000之間。
  14. 如請求項10之氣體分配裝置,其中,該氣體分配裝置的直徑係介於6英吋與20英吋之間。
  15. 一種基板處理系統,包含: 如請求項10之該氣體分配裝置;以及 一控制器,用以: 選擇性地使沖洗氣體流至該沖洗氣體充氣部;以及 引致以下其中至少一者:在該上腔室區域中產生電漿、或將電漿供應至該上腔室區域,並且相對於曝露至該電漿的基板之至少一個其他層而選擇性地蝕刻曝露至該電漿的該基板的矽氮化物層, 該基板之該至少一個其他層係選自由二氧化矽(SiO 2)、氧碳氮化矽(SiOCN)、矽鍺(SiGe)、非晶矽(a-Si)、及磊晶矽(epi-Si)所組成的群組。
  16. 如請求項15之基板處理系統,其中,該電漿係來自於一電漿氣體混合物,該電漿氣體混合物包含促進氮化物蝕刻及促進矽(Si)、矽鍺(SiGe)、和氧化物之其中至少一者之鈍化的氣體。
  17. 如請求項16之基板處理系統,其中,促進氮化物蝕刻的氣體包含選自由三氟化氮(NF 3)、二氟甲烷(CH 2F 2)、四氟甲烷(CF 4)、氟甲烷(CH 3F)、分子氧和分子氮和一氧化二氮的組合(O 2/N 2/N 2O)、及其組合所組成之群組的一或更多氣體。
  18. 如請求項16之基板處理系統,其中,促進鈍化的氣體包含選自由氟甲烷(CH 3F)、二氟甲烷(CH 2F 2)、甲烷(CH 4)、羰基硫(COS)、硫化氫(H 2S)、分子氧和分子氮和一氧化二氮的組合(O 2/N 2/N 2O)、及其組合所組成之群組的一或更多氣體。
TW112100340A 2017-03-14 2018-03-13 超高選擇性氮化合物蝕刻以形成鰭式場效電晶體裝置 TW202333194A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/458,292 2017-03-14
US15/458,292 US11469079B2 (en) 2017-03-14 2017-03-14 Ultrahigh selective nitride etch to form FinFET devices

Publications (1)

Publication Number Publication Date
TW202333194A true TW202333194A (zh) 2023-08-16

Family

ID=63519608

Family Applications (2)

Application Number Title Priority Date Filing Date
TW107108351A TWI791492B (zh) 2017-03-14 2018-03-13 超高選擇性氮化合物蝕刻以形成鰭式場效電晶體裝置
TW112100340A TW202333194A (zh) 2017-03-14 2018-03-13 超高選擇性氮化合物蝕刻以形成鰭式場效電晶體裝置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW107108351A TWI791492B (zh) 2017-03-14 2018-03-13 超高選擇性氮化合物蝕刻以形成鰭式場效電晶體裝置

Country Status (4)

Country Link
US (2) US11469079B2 (zh)
KR (2) KR102521089B1 (zh)
CN (2) CN108630578B (zh)
TW (2) TWI791492B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10699911B2 (en) * 2017-11-07 2020-06-30 Tokyo Electron Limited Method of conformal etching selective to other materials
US10818507B2 (en) * 2018-05-11 2020-10-27 Tokyo Electron Limited Method of etching silicon nitride layers for the manufacture of microelectronic workpieces
US10510553B1 (en) * 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
US10991594B2 (en) * 2018-06-22 2021-04-27 Tokyo Electron Limited Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces
CN112397411A (zh) * 2019-08-13 2021-02-23 台湾积体电路制造股份有限公司 包含抽出装置的制程系统及其监测方法
US11430893B2 (en) 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
EP0634778A1 (en) * 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
JP2000514136A (ja) * 1996-06-28 2000-10-24 ラム リサーチ コーポレイション 高密度プラズマ化学蒸着装置および方法
US20020000020A1 (en) * 1998-05-21 2002-01-03 Jimmie C. Walker Rechargeable industrial broom
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
JP2001164371A (ja) * 1999-12-07 2001-06-19 Nec Corp プラズマcvd装置およびプラズマcvd成膜法
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
US6883802B2 (en) * 2002-06-26 2005-04-26 Prosoft Software, Inc. Puzzle
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US20040219790A1 (en) * 2003-04-30 2004-11-04 Wilson Aaron R Etching methods, RIE methods, and methods of increasing the stability of photoresist during RIE
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
CN100552877C (zh) * 2006-05-04 2009-10-21 台湾积体电路制造股份有限公司 蚀刻装置、浸润槽及蚀刻方法
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
WO2009114617A1 (en) * 2008-03-14 2009-09-17 Applied Materials, Inc. Methods for oxidation of a semiconductor device
US7883988B2 (en) * 2008-06-04 2011-02-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate
BG66633B1 (bg) * 2011-03-28 2017-12-29 Ивайло Попов Адаптивен познавателен метод
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9761449B2 (en) * 2013-12-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling materials and methods
US9627533B2 (en) * 2015-02-05 2017-04-18 International Business Machines Corporation High selectivity nitride removal process based on selective polymer deposition
WO2016160778A1 (en) * 2015-03-30 2016-10-06 Tokyo Electron Limited Method for atomic layer etching
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9397197B1 (en) * 2015-09-23 2016-07-19 International Business Machines Corporation Forming wrap-around silicide contact on finFET
US9653359B2 (en) * 2015-09-29 2017-05-16 International Business Machines Corporation Bulk fin STI formation
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
CN206432233U (zh) * 2017-01-19 2017-08-22 江苏鲁汶仪器有限公司 刻蚀机的下电极载片台
CN107910284B (zh) * 2017-12-15 2018-10-12 广东工业大学 一种面向第三代半导体材料的加工装置

Also Published As

Publication number Publication date
CN108630578A (zh) 2018-10-09
CN116631901A (zh) 2023-08-22
CN108630578B (zh) 2023-04-28
KR20180105072A (ko) 2018-09-27
TWI791492B (zh) 2023-02-11
US20230084901A1 (en) 2023-03-16
TW201843696A (zh) 2018-12-16
KR102521089B1 (ko) 2023-04-11
US11469079B2 (en) 2022-10-11
KR20230066515A (ko) 2023-05-16
US20180269070A1 (en) 2018-09-20

Similar Documents

Publication Publication Date Title
CN106601612B (zh) 用于超高选择性的氮化物蚀刻的系统和方法
TWI791492B (zh) 超高選擇性氮化合物蝕刻以形成鰭式場效電晶體裝置
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
TWI815809B (zh) 無水的蝕刻方法
TWI710415B (zh) 使用基於電漿的程序消除基板處理腔室中的氟殘留物之方法
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
KR20160017610A (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
WO2012002232A1 (ja) プラズマ処理装置及び方法
TW201715610A (zh) 非等向性鎢蝕刻用方法及設備
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
KR102549146B1 (ko) 복잡한 3-d 구조체들을 에칭하기 위한 압력 퍼지 에칭 방법
US9741584B1 (en) Densification of dielectric film using inductively coupled high density plasma
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔