KR102283949B1 - 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들 - Google Patents

주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들 Download PDF

Info

Publication number
KR102283949B1
KR102283949B1 KR1020167009638A KR20167009638A KR102283949B1 KR 102283949 B1 KR102283949 B1 KR 102283949B1 KR 1020167009638 A KR1020167009638 A KR 1020167009638A KR 20167009638 A KR20167009638 A KR 20167009638A KR 102283949 B1 KR102283949 B1 KR 102283949B1
Authority
KR
South Korea
Prior art keywords
silicon nitride
substrate
gas mixture
nitride layer
gas
Prior art date
Application number
KR1020167009638A
Other languages
English (en)
Other versions
KR20160055877A (ko
Inventor
망-망 링
션 강
제레미아 티. 펜더
스리니바스 디. 네마니
브래들리 제이. 하워드
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160055877A publication Critical patent/KR20160055877A/ko
Application granted granted Critical
Publication of KR102283949B1 publication Critical patent/KR102283949B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

기판 상에 배치된 에칭 스톱 층을 주기적 에칭 프로세스를 이용하여 에칭하기 위한 방법들이 제공된다. 일 실시예에서, 에칭 스톱 층을 에칭하기 위한 방법은 실리콘 질화물 층을 처리하기 위해 처리 가스 혼합물을 프로세스 챔버 내로 공급함으로써 실리콘 질화물 층이 위에 배치되어 있는 기판 상에 처리 프로세스를 수행하는 단계, 및 프로세스 챔버 내로 화학적 에칭 가스 혼합물을 공급함으로써 기판 상에 화학적 에칭 프로세스를 수행하는 단계 - 화학적 에칭 가스 혼합물은 적어도 암모늄 가스와 3불화 질소를 포함하며, 화학적 에칭 프로세스는 처리된 실리콘 질화물 층을 에칭함 - 를 포함한다.

Description

주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들{METHODS FOR ETCHING AN ETCHING STOP LAYER UTILIZING A CYCLICAL ETCHING PROCESS}
본 발명의 실시예들은 일반적으로 반도체 디바이스들을 형성하기 위한 방법들에 관련된다. 보다 구체적으로, 본 발명의 실시예들은 반도체 디바이스들을 제조하기 위해 주기적 에칭 프로세스를 사용하여 기판 상에 배치된 에칭 스톱 층을 에칭하기 위한 방법들에 일반적으로 관련된다.
1/2 마이크로미터 이하 그리고 더 작은 피처들을 신뢰성있게 생산하는 것은 반도체 디바이스들의 차세대 초대규모 집적 회로(VLSI)와 극 초대규모 집적 회로(ULSI)에 대한 핵심 기술 과제들 중 하나이다. 그러나, 회로 기술의 한계들이 확장됨에 따라, VLSI와 ULSI 상호접속 기술의 치수들이 감소되고 이로 인해 처리 능력들에 대한 추가적 요구사항들이 나타났다. 기판 상에 게이트 구조체들을 신뢰성 있게 형성하는 것은 VLSI와 ULSI의 성공을 위해 그리고 개별 기판들과 다이의 회로 밀도와 품질을 증가시키려는 지속적인 노력을 위해 중요하다.
포토레지스트 층과 같은 패터닝된 마스크는 에칭 프로세스에 의해서 기판 상에 게이트 구조체, 얕은 트렌치 격리(STI), 비트 라인들 등과 같은 구조체들을 형성하는 데에 일반적으로 사용된다. 종래 패터닝된 마스크는 원하는 임계 치수들을 갖는 패턴을 포토레지스트의 층에 광학적으로 전사하기 위해서 리소그래피 프로세스를 사용하는 것에 의해 제조된다. 다음으로 포토레지스트 층은 포토레지스트의 원하지 않는 부분을 제거하기 위해서 현상되고, 그로 인해 나머지 포토레지스트 내에 개구들을 형성한다.
차세대 디바이스들과 구조체들의 제조를 가능하게 하기 위해서, 반도체 디바이스들을 위해 형성되도록 설계된 구조체들의 기하형상 한계들은 기술 한계들을 넘어 확장되어 왔고, 높은 종횡비를 갖는 작은 임계 치수의 구조체들을 제조하기 위한 정확한 프로세스 제어에 대한 필요성이 점점 중요하게 되어 왔다. 에칭 프로세스 동안의 불량한 프로세스 제어는 불규칙한 구조체 프로파일들 및 라인 에지 조도(roughness)를 야기할 것이며, 그로 인해 피형성 구조체들의 불량한 라인 무결성이 야기될 것이다. 추가적으로, 에칭 동안 형성되는 에칭 부산물들의 불규칙 프로파일들과 성장은 작은 임계 치수 구조체들을 제조하는데 사용되는 작은 개구들을 점진적으로 차단할 수 있으며, 그로 인해 피에칭 구조체들의 굽은(bowed), 왜곡된(distorted), 무너진(toppled), 또는 휘어진(twisted) 프로파일들을 야기한다.
더욱이, 필름 스택 내에 배치되는 하드마스크 층, 인접한 층들 및 기저 에칭 스톱 층을 위해 선택된 재료들 사이의 유사도, 나아가 이들과 기판 상의 기저 재료와의 사이의 유사도는 그들 사이의 유사한 에칭 속성들을 야기할 수 있으며, 그로 인해 에칭 동안 불량한 선택도를 야기한다. 하드마스크 층, 인접한 층들과 기판 상의 재료들 사이의 불량한 선택도는 하드마스크 층의 불균일하고, 테이퍼되고 변형된 프로파일을 야기할 수 있으며, 그로 인해 불량한 패턴 전사와 정확한 구조체 치수 제어의 실패로 이어진다. 따라서, 에칭 스톱 층은 기저 재료들을 손상으로부터 보호하는 것을 돕고 오버-에칭의 가능성을 감소시키기 위해서 높은 에칭 선택도를 제공할 수 있는 에칭 스톱 인터페이스를 제공하는데 종종 이용된다.
따라서, 에칭 프로세스에 사용된 화학적 에칭제는 우수한 인터페이스 제어를 제공하기 위해서, 인접한 재료 층들, 에칭 스톱 층, 및 전도성 층이거나 유전체 층인 재료 층의 기저 상부 표면에 대해 더 높은 에칭 선택도를 가질 것이 요구된다. 에칭 스톱 층이 에칭될 때, 인접한 재료 층들은 반응성 에칭제 종에 의해 공격을 받을 수 있고, 인접한 재료 층들의 상단 및/또는 측벽 상에 불균일성 또는 테이퍼된 프로파일을 야기하며, 이는 원하지 않는 프로파일 변형을 야기한다. 따라서, 정확한 패턴 전사를 위해 선택성이 높은 에칭제 강화제가 요구된다. 그러나, 종래의 에칭제들은 차세대 디바이스들의 강건한 제조를 가능하게 하기에 충분한 선택성이 있는 것은 아니다.
그러므로, 높은 선택도와 정확한 프로세스 및 프로파일 제어를 이용하여 반도체 디바이스들을 제조하기 위해서 에칭 스톱 층을 에칭하기 위한 향상된 방법들이 필요하다.
기판 상에 배치된 에칭 스톱 층을 주기적 에칭 프로세스를 이용하여 에칭하기 위한 방법들이 제공된다. 일 실시예에서, 에칭 스톱 층을 에칭하기 위한 방법은, 실리콘 질화물 층을 처리하기 위해 처리 가스 혼합물을 프로세스 챔버 내로 공급함으로써 실리콘 질화물 층이 위에 배치되어 있는 기판 상에서 처리 프로세스를 수행하는 단계; 및 프로세스 챔버 내로 화학적 에칭 가스 혼합물을 공급함으로써 기판 상에서 화학적 에칭 프로세스를 수행하는 단계 - 화학적 에칭 가스 혼합물은 적어도 암모늄 가스 및 3불화 질소를 포함하며, 화학적 에칭 프로세스는 처리된 실리콘 질화물 층을 에칭함 - 를 포함한다.
다른 실시예에서, 에칭 스톱 층을 에칭하기 위한 방법은, 실리콘 질화물 층이 위에 배치되어 있는 기판을 프로세스 챔버 내에 이송하는 단계 - 실리콘 질화물 층 상에 배치된 패터닝된 마스크 층과 함께 패터닝된 실리콘 산화물 층이 실리콘 질화물 층의 부분을 노출시킴 - ; 실리콘 질화물 층의 노출된 부분을 처리하기 위해 처리 가스 혼합물을 공급하는 단계 - 처리 가스 혼합물은 불활성 가스를 포함함 -; 및 프로세스 챔버 내로 화학적 에칭 가스 혼합물을 공급하는 단계 - 화학적 에칭 가스 혼합물은 처리된 실리콘 질화물 층을 에칭하기 위해 적어도 암모늄 가스 및 3불화 질소를 포함함 - 를 포함한다.
또 다른 실시예에서, 실리콘 질화물 층을 에칭하기 위한 방법은, 실리콘 질화물 층이 금속 실리사이드 구조체 배치되어 있는 기판을 프로세스 챔버 내에 이송하는 단계 - 실리콘 질화물 층은 그 위에 배치되어 실리콘 질화물 층의 일부를 노출시키는 패터닝된 마스크 층과 함께 패터닝된 실리콘 산화물 층을 가짐 - ; 노출된 실리콘 질화물 층을 처리하기 위해 RF 바이어스 전력을 인가하면서 Ar 또는 He 가스를 공급하는 단계; 처리된 실리콘 질화물 층을 에칭하기 위해 프로세스 챔버로부터 원격으로 RF 소스 전력을 인가하면서 적어도 암모늄 가스와 3불화 질소를 포함하는 화학적 에칭 가스 혼합물을 공급하는 단계; 및 RF 전력을 인가하지 않고 프로세스 챔버에 Ar 또는 He 가스를 공급하는 단계를 포함한다.
위에서 언급된 본 발명의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 발명의 더 구체적인 설명은 실시예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 도시되어 있다. 그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 전형적인 실시예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 유의해야 한다.
도 1은 본 발명의 실시예들이 실시될 수 있는 예시적인 프로세스 챔버의 단면도이다.
도 2는 예시적인 멀티-챔버 처리 시스템의 개략적인 평면도이다.
도 3은 본 발명의 일 실시예에 따라서 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 흐름도를 도시한다.
도 4의 (a) 내지 (d)는 본 발명의 일 실시예에 따라서 에칭 스톱 층의 에칭 동안 반도체 기판 상에 배치되는 에칭 스톱 층의 단면도들을 도시한다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다. 일 실시예의 요소들 및 특징들은 추가 언급 없이도 다른 실시예들에서 유리하게 통합될 수 있을 것으로 고려된다.
그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 예시적인 실시예들만을 도시하며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
본 발명의 실시예들은 높은 선택도와 정확한 프로파일 제어를 이용하여 반도체 디바이스들을 위해 기판 상에 형성된 에칭 스톱 층을 에칭하기 위한 방법들을 제공한다. 일 실시예에서, 에칭 프로세스는 기저 기판이 노출될 때까지 에칭 스톱 층을 점진적으로 에칭하기 위해 느린 주기적 에칭 프로세스를 이용하는 것을 포함한다. 느린 주기적 에칭 프로세스는 기저 기판을 노출시키기 위해서 에칭 스톱 층을 관통하여 에칭하기에 우수한 프로파일 제어를 제공하기 위해 에칭 선택도를 제어하고, 인터페이스에서 비교적 정확한 에칭 스톱 엔드포인트를 제공하데 유용하다. 일 실시예에서, 이 에칭 프로세스는 반도체 디바이스들을 위한 콘택 구조체에 이용된 에칭 스톱 층을 에칭하기 위해 이용될 수 있다.
본 명세서에서 사용된 "콘택 구조체"라는 용어는 게이트 전극의 일부를 형성할 수 있는 금속 실리사이드를 포함하는 재료의 층을 지칭한다. 하나 이상의 실시예들에서, 금속 실리사이드는 니켈 실리사이드, 코발트 실리사이드, 티타늄 실리사이드 또는 이들의 임의의 조합일 수 있다. 금속 실리사이드는 텅스텐, 텅스텐 실리사이드, 티타늄/코발트(Ti/Co) 합금 실리사이드, 티타늄/니켈(Ti/Ni) 합금 실리사이드, 코발트/니켈(Co/Ni) 합금 실리사이드 및 니켈/백금(Ni/Pt) 실리사이드를 또한 포함할 수 있다. 콘택 구조체는 대안적으로 실리콘 기반의, 게르마늄 기반의 또는 게르마늄 도펀트 및/또는 다른 도펀트를 갖는 실리콘 기반의 구조체일 수 있다.
도 1은 이하 더 설명되는 바와 같이 에칭 프로세스를 수행하기에 적합한 예시적인 프로세스 챔버(100)의 단면도이다. 챔버(100)는 기판 표면 상에 배치된 재료 층으로부터 재료들을 제거하도록 구성될 수 있다. 챔버(100)는 플라즈마 보조 건식 에칭 프로세스를 수행하기에 특히 유용하다. 프로세스 챔버(100)는 캘리포니아주 산타클라라의 Applied Materials로부터 입수가능한 SiconiTM 챔버일 수 있다. 다른 제조사들로부터 입수가능한 다른 진공 프로세스 챔버들이 본 발명을 실시하기 위해 또한 적응될 수 있다는 점에 유의한다.
프로세스 챔버(100)는 진공을 파괴하지 않으면서 기판 표면의 가열 및 냉각 양자 모두를 제공한다. 일 실시예에서, 프로세스 챔버(100)는 챔버 바디(112), 리드 어셈블리(140)와 지지 어셈블리(180)를 포함한다. 리드 어셈블리(140)는 챔버 바디(112)의 상단부에 배치되고, 지지 어셈블리(180)는 챔버 바디(112) 내에 적어도 일부가 배치된다.
챔버 바디(112)는 프로세스 챔버(100)의 내부로의 액세스를 제공하기 위해 챔버 바디의 측벽 내에 형성된 슬릿 밸브 개구(114)를 포함한다. 슬릿 밸브 개구(114)는 웨이퍼 핸들링 로봇(도시되지 않음)에 의한 챔버 바디(112)의 내부로의 액세스를 허용하기 위해 선택적으로 개폐된다.
하나 이상의 실시예들에서, 챔버 바디(112)는 열 전달 유체를 관통 유동시키기 위해 내부에 형성된 채널(115)을 포함한다. 열 전달 유체는 가열 유체나 냉각재일 수 있고, 처리와 기판 이송 동안 챔버 바디(112)의 온도를 제어하는데 이용된다. 챔버 바디(112)의 온도의 제어는 챔버 벽들 상의 가스나 부산물들의 불필요한 응축을 방지하기 위해 중요하다. 예시적인 열 전달 유체들은 물, 에틸렌 글리콜, 또는 이들의 혼합물을 포함한다. 예시적인 열 전달 유체는 질소 가스를 또한 포함할 수 있다.
챔버 바디(112)는 지지 어셈블리(180)를 둘러싸는 라이너(120)를 더 포함할 수 있다. 라이너(120)는 정비 및 세정을 위해 착탈가능하다. 라이너(120)는 알루미늄과 같은 금속, 세라믹 재료, 또는 임의의 다른 프로세스 호환성 재료로 이루어질 수 있다. 라이너(120)는, 위에 퇴적된 임의의 재료의 접착을 증가시키는 표면 조도 및/또는 표면 영역을 증가시키고, 그로 인해 프로세스 챔버(100)의 오염물들을 야기하는 재료의 플레이킹(flaking)을 방지하기 위해 블래스트된 비드(bead)일 수 있다. 하나 이상의 실시예들에서, 라이너(120)는 하나 이상의 애퍼쳐들(125) 및 내부에 형성되고 진공 시스템과 유체 연통하는 펌핑 채널(129)을 포함한다. 애퍼쳐들(125)은 가스들을 위한 펌핑 채널(129) 내로의 유동 경로를 제공하고, 펌핑 채널은 프로세스 챔버(100) 내에서 가스들을 위한 출구(egress)를 제공한다.
진공 시스템은 프로세스 챔버(100)를 통하는 가스들의 유동을 조절하기 위해 진공 펌프(130)와 스로틀 밸브(132)를 포함할 수 있다. 진공 펌프(130)는 챔버 바디(112) 내에 배치된 진공 포트(131)에 결합되고, 이로써 라이너(120) 내에 형성된 펌핑 채널(129)과 유체 연통한다. "가스"와 "가스들"이라는 용어들은 달리 언급되지 않는 한 서로 교환 가능하게 이용되고, 하나 이상의 전구체들(precursors), 반응물들, 촉매들, 캐리어, 퍼지, 세정, 이들의 조합들 뿐만 아니라, 챔버 바디(112) 내로 유입되는 임의의 다른 유체를 지칭한다.
리드 어셈블리(140)는 사이에 플라즈마 체적 또는 캐비티를 형성하도록 구성되는 적어도 2개의 스택된 컴포넌트들을 포함한다. 하나 이상의 실시예들에서, 리드 어셈블리(140)는 제2 전극(145)("하부 전극") 위에 수직으로 배치된 제1 전극(143)("상부 전극")을 포함하여, 그 사이에 플라즈마 체적 또는 캐비티(150)를 한정한다. 제1 전극(143)은 RF 전력 공급부와 같은 전력원(152)에 연결되고, 제2 전극(145)은 접지에 연결되어, 2개 전극들(143,145) 사이에 캐패시턴스를 형성한다.
하나 이상의 실시예들에서, 리드 어셈블리(140)는 제1 전극(143)의 상부(156) 내에 적어도 일부가 형성된 하나 이상의 가스 유입구들(154)(1개만 도시됨)을 포함한다. 하나 이상의 프로세스 가스들은 하나 이상의 가스 유입구들(154)을 통해 리드 어셈블리(140)에 들어간다. 하나 이상의 가스 유입구들(154)은 그것의 제1 단부에서 플라즈마 캐비티(150)와 유체 연통하고, 그것의 제2 단부에서 하나 이상의 상향 가스 소스들 및/또는 가스 혼합기들과 같은 다른 가스 전달 컴포넌트들과 연결된다.
하나 이상의 실시예들에서, 제1 전극(143)은 플라즈마 캐비티(150)를 하우징하는 연장 섹션(155)을 갖는다. 하나 이상의 실시예들에서, 연장 섹션(155)은 상부 부분(155A)으로부터 하부 부분(155B)으로 점진적으로 증가하는 내부 표면 또는 직경(157)을 갖는 환형 부재이다. 따라서, 제1 전극(143)과 제2 전극(145) 사이의 거리는 가변적이다. 이와 같이 가변적인 거리는 플라즈마 캐비티(150) 내에 생성되는 플라즈마의 형성과 안정성을 제어하는 것을 돕는다.
하나 이상의 실시예들에서, 연장 섹션(155)은 뒤집힌 원뿔대 또는 "깔대기(funnel)"와 비슷하다. 하나 이상의 실시예들에서, 연장 섹션(155)의 내부 표면(157)은 연장 섹션(155)의 상부 부분(155A)으로부터 하부 부분(155B)으로 점진적으로 기울어진다. 내부 직경(157)의 기울기 또는 각도는 프로세스 요건들 및/또는 프로세스 제한들에 따라 변할 수 있다. 연장 섹션(155)의 길이 또는 높이는 특정한 프로세스 요건들 및/또는 제한들에 따라 또한 변할 수 있다.
위에 언급된 바와 같이, 제1 전극(143)의 점진적으로 증가하는 내부 표면(157) 때문에 제1 전극(143)의 연장 섹션(155)은 제1 전극(143)과 제2 전극(145) 사이의 수직 거리를 변경한다. 그 가변 거리는 플라즈마 캐비티(150) 내에서의 전력 레벨에 직접적으로 관련된다. 이론에 구속되는 것을 바라는 것은 아니지만, 2개의 전극들(143, 145) 사이의 거리의 변동은, 플라즈마가 전체 플라즈마 캐비티(150)의 전부분에 대한 것은 아니더라도, 플라즈마 캐비티(150)의 일부 부분 내에서 자신을 유지하는데 필요한 전력 레벨을 찾을 수 있도록 한다. 따라서, 플라즈마 캐비티(150) 내에서의 플라즈마는 압력에 덜 의존되어, 플라즈마가 더 넓은 오퍼레이팅 윈도우 내에서 생성되고 유지되게 한다. 이와 같이, 더 반복가능하고 신뢰성 있는 플라즈마가 리드 어셈블리(140) 내에 형성될 수 있다. 플라즈마 캐비티(150)에 생성된 플라즈마는 기판이 처리되는 지지 어셈블리(180) 위의 처리 영역(141) 내로 진입하기 이전에 리드 어셈블리(140)에서 정의되기 때문에, 플라즈마는 처리 영역(141)으로부터 원격으로 생성된 원격 플라즈마 소스로서 고려된다.
연장 섹션(155)은 위에 설명된 바와 같이 가스 유입구(154)와 유체 연통한다. 하나 이상의 가스 유입구들(154)의 제1 단부는 연장 섹션(155)의 내부 직경의 최상부 지점에서 플라즈마 캐비티(150) 내로 열릴 수 있다. 유사하게, 하나 이상의 가스 유입구들(154)의 제1 단부는 연장 섹션(155)의 내부 직경(157)을 따라 임의의 높이 구간에서 플라즈마 캐비티(150) 내로 열릴 수 있다. 도시되지는 않았지만, 플라즈마 캐비티(150) 내에서 가스들을 혼합는 것을 돕는 연장 섹션(155) 내로의 선회 유동(swirling flow) 패턴 또는 "와류(vortex)" 유동을 생성하기 위해 2개의 가스 유입구들(154)이 연장 섹션(155)의 대향 측면들에 배치될 수 있다.
리드 어셈블리(140)는 제2 전극(145)으로부터 제1 전극(143)을 전기적으로 격리시키는 아이솔레이터 링(160)을 더 포함할 수 있다. 아이솔레이터 링(160)은 알루미늄 산화물이나 임의의 다른 절연성, 프로세스 호환가능 재료로 이루어질 수 있다. 아이솔레이터 링(160)은 적어도 연장 섹션(155)을 둘러싸거나 실질적으로 둘러싼다.
리드 어셈블리(140)는 제2 전극(145)에 인접한 분배 플레이트(170) 및 차단 플레이트(175)를 더 포함할 수 있다. 제2 전극(145), 분배 플레이트(170) 및 차단 플레이트(175)는 스택될 수 있고 챔버 바디(112)에 연결된 리드 림(178) 상에 배치될 수 있다. 리드 림(178)을 챔버 바디(112)에 연결하기 위해서 힌지 어셈블리(도시되지 않음)가 사용될 수 있다. 리드 림(178)은 열 전달 매체를 하우징하기 위해 내장된 채널 또는 통로(179)를 포함할 수 있다. 프로세스 요건들에 따라 가열, 냉각, 또는 양자 모두를 위해 열 전달 매체가 이용될 수 있다.
하나 이상의 실시예들에서, 제2 전극 또는 상부 플레이트(145)는 플라즈마 캐비티(150)의 밑에 형성된 복수의 가스 통로들(passages) 또는 애퍼쳐들(165)을 포함하여 플라즈마 캐비티(150)로부터의 가스가 이들을 통해 흐르도록 할 수 있다. 분배 플레이트(170)는 실질적 디스크 형상이고, 가스들의 유동을 분배하기 위해 복수의 애퍼쳐들(172) 또는 통로들(passageways)을 포함한다. 애퍼쳐들(172)은 처리될 기판이 위치되는 챔버 바디(112)로의 제어되고 균일한 유동 분배를 제공하기 위해 분배 플레이트(170) 둘레에 위치되고 크기 조절될 수 있다. 더욱이, 애퍼쳐들(172)은, 기판의 표면 전체에 걸쳐 가스의 균일한 분배를 제공하기 위해 가스의 유동을 균일하게 분배하는 것뿐만 아니라, 유동 가스들의 속도 프로파일을 늦추고 방향을 재설정함(re-directing)으로써 가스(들)이 기판 표면 상에 직접적으로 충돌하는 것을 방지한다.
하나 이상의 실시예들에서, 분배 플레이트(170)는 리드 어셈블리(140)의 온도 제어를 제공하기 위해서 히터 또는 가열 유체를 하우징하기 위한 하나 이상의 내장된 채널들 또는 통로들(174)을 포함한다. 분배 플레이트(170)를 가열시키기 위해 저항성 가열 요소(도시되지 않음)가 통로(174) 내에 삽입될 수 있다. 분배 플레이트(170)에는 그것의 온도를 조절하기 위해 열전쌍이 연결될 수 있다. 위에 설명된 바와 같이, 가열 요소에 인가된 전류를 제어하기 위해 열전쌍이 피드백 루프에 이용될 수 있다.
대안적으로, 열 전달 매체는 통로(174)를 통하여 통과될 수 있다. 필요하다면, 챔버 바디(112) 내에서의 프로세스 요건들에 따라 분배 플레이트(170)의 온도를 더 잘 제어하기 위해, 하나 이상의 통로들(174)가 냉각 매체를 포함할 수 있다. 예를 들어, 질소, 물, 에틸렌 글리콜, 또는 이들의 혼합물들과 같은 임의의 열 적합 전달 매체가 사용될 수 있다.
하나 이상의 실시예들에서, 리드 어셈블리(140)는 하나 이상 가열 램프들(도시되지 않음)을 이용하여 가열될 수 있다. 통상적으로, 가열 램프들은 분배 플레이트(170)을 포함하여 리드 어셈블리(140)의 컴포넌트들을 복사에 의해 가열시키기 위해 분배 플레이트(170)의 상부 표면의 주위에 배열된다.
차단 플레이트(175)는 존재하는 경우 제2 전극(145)과 분배 플레이트(170) 사이에 선택적으로 배치될 수 있다. 차단 플레이트(175)는 제2 전극(145)의 하부 면에 탈착가능하게 장착된다. 차단 플레이트(175)는 제2 전극(145)과 양호하게 열 및 전기 접촉한다. 하나 이상의 실시예들에서, 차단 플레이트(175)는 볼트 또는 유사한 체결구를 이용하여 제2 전극(145)에 결합될 수 있다. 차단 플레이트(175)는 또한 제2 전극(145)의 외부 직경 상에 엮이거나(threaded) 나사 체결될(screwed) 수 있다.
차단 플레이트(175)는 제2 전극(145)으로부터 분배 플레이트(170)로의 복수의 가스 통로들을 제공하기 위한 복수의 애퍼쳐들(176)을 포함한다. 애퍼쳐들(176)은 가스들의 제어되고 균일한 유동 분배를 분배 플레이트(170)에 제공하기 위해 차단 플레이트(175) 둘레에 위치되고 크기 조절될 수 있다.
지지 어셈블리(180)는 챔버 바디(112) 내에서의 처리를 위해 기판(본 도면에는 도시되지 않음)을 지지하기 위한 지지 부재(185)를 포함할 수 있다. 지지 부재(185)는 챔버 바디(112)의 바닥면에 형성된, 중앙에 위치된 개구(114)를 통하여 연장되는 샤프트(187)를 통하여 리프트 메커니즘(183)에 결합될 수 있다. 리프트 메커니즘(183)은 샤프트(187) 주위로부터의 진공 누설을 방지하는 벨로우즈(188)에 의해 챔버 바디(112)에 가요성으로 밀봉될 수 있다. 리프트 메커니즘(183)은 지지 부재(185)가 챔버 바디(112) 내에서 프로세스 위치와 더 낮은 이송 위치 사이에서 수직적으로 이동되게 한다. 이송 위치는 챔버 바디(112)의 측벽에 형성된 슬릿 밸브 개구(114)의 약간 아래이다.
하나 이상의 실시예들에서, 지지 부재(185)는 그 위에 처리될 기판을 지지하기 위해 평평한 원형 표면 또는 실질적으로 평평한 원형 표면을 갖는다. 지지 부재(185)는 알루미늄으로 구성될 수 있다. 지지 부재(185)는 기판의 후면 오염을 감소시키기 위해, 예를 들어, 실리콘 또는 세라믹 재료와 같은 일부 다른 재료로 이루어진 탈착가능한 상부 플레이트(190)를 포함할 수 있다.
하나 이상의 실시예들에서, 기판(도시되지 않음)은 진공 척을 이용하여 지지 부재(185)에 고정될 수 있다. 하나 이상의 실시예들에서, 기판(도시되지 않음)은 정전 척을 이용하여 지지 부재(185)에 고정될 수 있다. 정전 척은 통상적으로 전극(181)을 둘러싸는 유전체 재료를 적어도 포함하고, 유전체 재료는 지지 부재(185) 내에 위치되거나 지지 부재(185)의 일체 부분으로서 형성될 수 있다. 척의 유전체 부분은 기판으로부터 그리고 지지 어셈블리(180)의 나머지로부터 척 전극을 전기적으로 격리한다.
일 실시예에서, 전극(181)은 복수의 RF 전력 바이어스 소스들(184, 186)에 결합된다. RF 바이어스 전력 소스들(184, 186)은 지지 부재(185)에 배치된 전극(181) 사이에 결합된다. RF 바이어스 전력은 챔버 바디의 처리 영역(141)에 배치된 가스들로부터 형성되는 플라즈마 방전을 여기시키고 유지시킨다.
도 1에 도시된 실시예에서는, 정합 회로(189)를 통하여 듀얼 RF 바이어스 전력 소스들(184, 186)이 지지 부재(185)에 배치된 전극(181)에 결합된다. RF 바이어스 전력 소스들(184, 186)에 의해 생성된 신호는 플라즈마 프로세스 챔버(100)에 제공된 가스 혼합물을 이온화하기 위해 싱글 피드를 통하여 정합 회로(189)를 통하여 지지 부재(185)에 전달되며, 그로 인해 퇴적 또는 다른 플라즈마 강화된 프로세스를 수행하는데 필요한 이온 에너지를 제공한다. RF 바이어스 전력 소스들(184, 186)은 약 50 kHz 내지 약 200MHz의 주파수와 약 0와트와 약 5000와트 사이의 전력을 갖는 RF 신호를 일반적으로 생산할 수 있다. 추가적 바이어스 전력 소스들은 필요에 따라 플라즈마의 특성들을 제어하기 위해 전극(181)에 결합될 수 있다.
지지 부재(185)는 리프트 핀들(193)을 수용하기 위해 그것을 관통하여 형성된 보어들(192)을 포함할 수 있고, 보어들 중 하나가 도 1에 도시된다. 각각의 리프트 핀(193)은 세라믹 재료들이나 세라믹 함유 재료들로 구성되고, 기판 핸들링 및 이송을 위해 사용된다. 리프트 핀(193)은 챔버 바디(112) 내에 배치된 환형 리프트 링(195)과 맞물릴 때 자신의 각각의 보어(192) 내에서 이동될 수 있다. 리프트 링(195)은 리프트 링(195)이 상부 위치에 있을 때 리프트 핀(193)의 상부 표면이 지지 부재(185)의 기판 지지 표면 위로 연장될 수 있도록 이동될 수 있다. 반대로, 리프트 링(195)이 하부 위치에 있을 때 리프트 핀들(193)의 상부 표면은 지지 부재(185)의 기판 지지 표면 아래에 위치된다. 따라서, 각각의 리프트 핀(193)은 리프트 링(195)이 하부 위치로부터 상부 위치로(또는 그 반대로) 이동할 때 지지 부재(185) 내의 자신의 각각의 보어(192) 내에서 이동된다.
지지 어셈블리(180)는 지지 부재(185) 주위에 배치된 에지 링(196)을 더 포함할 수 있다. 하나 이상의 실시예들에서, 에지 링(196)은 지지 부재(185)의 외주를 커버하고 지지 부재(185)를 퇴적으로부터 보호하도록 적응된 환형 부재이다. 에지 링(196)은 지지 부재(185)의 외부 직경과 에지 링(196)의 내부 직경 사이에 환형 퍼지 가스 채널을 형성하기 위해 지지 부재(185) 상에 또는 이에 인접하여 위치될 수 있다. 환형 퍼지 가스 채널은 지지 부재(185)와 샤프트(187)를 통하여 형성된 퍼지 가스 도관(197)과 유체 연통할 수 있다. 퍼지 가스 도관(197)은 퍼지 가스를 퍼지 가스 채널에 제공하기 위해 퍼지 가스 공급부(도시되지 않음)와 유체 연통한다. 질소, 아르곤, 또는 헬륨과 같은 임의의 적합한 퍼지 가스가 단독으로 또는 조합으로 이용될 수 있다. 동작 시, 퍼지 가스는 도관(197)을 통하여 퍼지 가스 채널 내로 그리고 지지 부재(185)에 배치된 기판의 에지 주위에서 유동한다. 따라서, 에지 링(196)과 협력하여 작용하는 퍼지 가스는 기판의 에지 및/또는 후면에서의 퇴적을 방지한다.
지지 어셈블리(180)의 온도는 지지 부재(185)의 바디에 내장된 유체 채널(198)을 통하여 순환되는 유체에 의해 제어될 수 있다. 하나 이상의 실시예들에서, 유체 채널(198)은 지지 어셈블리(180)의 샤프트(187)를 통하여 배치된 열 전달 도관(199)과 유체 연통한다. 유체 채널(198)은 균일한 열 전달을 지지 부재(185)의 기판 수용 표면에 제공하도록 지지 부재(185) 주위에 위치된다. 유체 채널(198)과 열 전달 도관(199)은 지지 부재(185)를 가열시키거나 또는 냉각시키기 위해 열 전달 유체를 유동시킨다. 물, 질소, 에틸렌 글리콜, 또는 이들의 혼합물들과 같은 임의의 적합한 열 전달 유체가 이용될 수 있다. 지지 어셈블리(180)는 지지 부재(185)의 지지 표면의 온도를 모니터링하기 위해 내장된 열전쌍(도시되지 않음)을 더 포함할 수 있다. 예를 들어, 열전쌍으로부터의 신호는 유체 채널(198)을 통하여 순환되는 유체의 온도나 유속을 제어하기 위한 피드백 루프에서 이용될 수 있다.
지지 부재(185)는 지지 부재(185)와 리드 어셈블리(140) 사이의 거리가 제어될 수 있도록 챔버 바디(112) 내에서 수직으로 이동될 수 있다. 센서(도시되지 않음)는 챔버(100) 내에서의 지지 부재(185)의 위치에 관한 정보를 제공할 수 있다.
동작 시, 지지 부재(185)는 처리중인 기판의 온도를 제어하기 위해 리드 어셈블리(140)의 가까운 근처로 상승될 수 있다. 이와 같이, 기판은 분배 플레이트(170)로부터 방출되는 복사를 통해 가열될 수 있다. 대안적으로, 기판은 리프트 링(195)에 의해 작동되는 리프트 핀(193)을 이용하여 지지 부재(185)로부터 가열된 리드 어셈블리(140)의 가까운 근처로 리프트될 수 있다.
프로세스 챔버(100)의 동작들을 조절하기 위해서 시스템 제어기(도시되지 않음)가 사용될 수 있다. 시스템 제어기는 컴퓨터의 메모리 상에 저장된 컴퓨터 프로그램의 제어 하에서 동작할 수 있다. 컴퓨터 프로그램은 프로세스 챔버(100)에서 이하 설명되는 세정전 프로세스가 수행될 수 있게 하는 명령어들을 포함할 수 있다. 예를 들어, 컴퓨터 프로그램은 프로세스 시퀀싱과 타이밍, 가스들의 혼합물, 챔버 압력들, RF 전력 레벨들, 서셉터 위치설정, 슬릿 밸브 개폐, 웨이퍼 냉각 및 특정 프로세스의 다른 파라미터들을 지시할 수 있다.
도 2는 본 명세서에 개시된 바와 같은 프로세스들을 수행하도록 적응될 수 있는 예시적인 멀티-챔버 처리 시스템(200)의 개략적 평면도인데, 멀티-챔버 처리 시스템은 그에 결합된 프로세스 챔버(100)를 갖는다. 시스템(200)은 시스템(200)의 내외로 기판들을 이송하기 위한 하나 이상 로드 록 챔버들(202, 204)을 포함할 수 있다. 통상적으로, 시스템(200)이 진공 하에 있으므로, 로드 록 챔버들(202, 204)은 시스템(200) 내에 도입되는 기판들을 "펌프 다운(pump down)"할 수 있다. 제1 로봇(210)은 로드 록 챔버들(202, 204)과 하나 이상의 기판 프로세스 챔버들(212, 214, 216, 100)(4개가 도시됨)의 제1 세트 사이에서 기판들을 이송할 수 있다. 각각의 프로세스 챔버(212, 214, 216, 100)는 에칭 프로세스, 원자 층 에칭(ALE), 주기적 층 퇴적(CLD), 원자층 퇴적(ALD), 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 탈기, 배향 및 다른 기판 프로세스들과 같은 적어도 하나의 기판 처리 동작들을 수행하도록 구성된다. 에칭 프로세스를 수행하는데 이용되는 프로세스 챔버(100)의 다른 챔버들(212, 214, 216)에 대한 위치는 예시를 위한 것이고, 프로세스 챔버(100)의 위치는 원한다면 프로세스 챔버들(212, 214, 216) 중 임의의 하나와 선택적으로 엇바뀔 수 있다.
제1 로봇(210)은 하나 이상의 이송 챔버들(222, 224)로/로부터 기판들을 이송할 수 있다. 이송 챔버들(222, 224)은 기판들이 시스템(200) 내에서 이송되게 하면서 초고진공 상태를 유지하는데 이용될 수 있다. 제2 로봇(230)은 이송 챔버들(222, 224)과 하나 이상 프로세스 챔버들(232, 234, 236, 238)의 제2 세트 사이에서 기판들을 이송할 수 있다. 프로세스 챔버들(212, 214, 216, 100)과 유사하게, 프로세스 챔버들(232, 234, 236, 238)은 본 명세서에 설명되는 건식 에칭 프로세스들을 포함하여, 예를 들어, 퇴적, 선세정, 탈기, 및 배향을 포함하는 임의의 다른 적합한 프로세스를 포함하는 다양한 기판 처리 동작들을 수행하도록 구비될 수 있다. 기판 프로세스 챔버들(212, 214, 216, 100, 232, 234, 236, 238) 중 임의의 것은 시스템(200)에 의해 수행될 특정 프로세스를 위해 필요하지 않다면 시스템(200)으로부터 제거될 수 있다.
도 3은 높은 선택도와 우수한 프로파일 제어를 이용하여 기판 상에 배치된 에칭 스톱 층을 에칭하기 위해 에칭 프로세스를 수행하기 위한 방법(300)을 설명한다. 도 3에서 설명되는 방법(300)은 이하 논의되는 도 4의 (a) 내지 (d)에 도시된 가공 단계들의 시퀀스에 대응된다. 도 4의 (a) 내지 (d)는 방법(300)에 의해 설명된 필름 스택(450)에 배치된 에칭 스톱 층(404)을 에칭하는 상이한 단계들 동안 기판 상에 필름 스택(450)을 형성시킨 기판(402)의 개략적인 단면도들을 도시한다.
도 1에 도시된 프로세스 챔버(100), 또는 다른 적합한 프로세스 챔버와 같은 프로세스 챔버 내로, 도 4의 (a)에 도시된 기판(402)과 같은 기판을 이송하는 것에 의해서 블록(302)에서 방법(300)이 시작된다. 기판(402)은 실질적으로 평면인 표면, 불균일한 표면, 또는 구조체가 형성된 실질적으로 평면인 표면을 가질 수 있다. 도 4의 (a)에 도시된 기판(402)은 기판(402) 상에 형성된 필름 스택(450)을 포함한다. 일 실시예에서, 기판(402)은 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 스트레인드 실리콘, 실리콘 게르마늄, 도핑되거나 도핑되지 않은 폴리실리콘, 도핑되거나 도핑되지 않은 실리콘 웨이퍼들과 패터닝되거나 패터닝되지 않은 웨이퍼들 SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 실리콘 질화물, 도핑된 실리콘, 게르마늄, 갈륨 비소, 글라스, 사파이어와 같은 재료일 수 있다. 기판(402)은, 200㎜, 300㎜ 또는 450㎜ 직경의 웨이퍼들과 같은 다양한 치수들뿐만 아니라 직사각형 또는 정사각형 패널들을 가질 수 있다. 달리 언급되지 않으면, 본 명세서에 설명되는 실시예들과 예들은 300㎜ 직경 또는 450㎜ 직경을 갖는 기판들 상에 수행된다.
일 실시예에서, 필름 스택(450)은 기판(402) 상의 에칭 스톱 층(404) 상에 배치된 재료 층(406)을 포함한다. 일 실시예에서, 재료 층(406)과 에칭 스톱 층(404)은 프론트 엔드 또는 백 엔드 프로세스들에서 게이트 구조체, 얕은 트렌치 격리(STI) 구조체, 콘택 구조체 또는 인터커넥트 구조체를 형성하는데 이용될 수 있다. 일 실시예에서, 방법(300)은 내부 재료 층(406)과 에칭 스톱 층(404) 내에 콘택 구조체를 형성하기 위해 에칭 스톱 층(404) 상에서 수행될 수 있다. 일 실시예에서, 에칭 스톱 층(404)은 실리콘 질화물 층(SiN)과 실리콘 산질화물 층(SiON)과 같은 실리콘 유전체 층일 수 있다. 에칭 스톱 층(404)(예를 들어, 실리콘 질화물 층)이 콘택 구조체 내에 이용되는 실시예에서, 기판은 에칭 스톱 층(404)이 제거되고 에칭되고 나서 노출될 에칭 스톱 층(404) 바로 밑에서 내부에 형성된 접촉 금속 실리사이드를 포함할 수 있다. 접촉 금속 실리사이드의 적합한 예들은 텅스텐, 텅스텐 실리사이드, 티타늄/코발트(Ti/Co) 합금 실리사이드, 티타늄/니켈(Ti/Ni) 합금 실리사이드, 코발트/니켈(Co/Ni) 합금 실리사이드와 니켈/백금(Ni/Pt) 실리사이드를 포함할 수 있다.
재료 층(406)은, 다른 무엇보다도, 산화물 층, 질화물 층, 티타늄 질화물 층, 산화물과 질화물 층의 합성물, 질화물 층을 사이에 두는 적어도 2개 이상의 산화물 층들, 및 이들의 조합들로 구성되는 그룹으로부터 선택된 유전체 층일 수 있다. 유전체 층을 위한 다른 적합한 재료들은 실리콘 산화물이나 TEOS와 같은 도핑되지 않은 실리콘 글라스(USG), 붕소 실리케이트 글라스(BSG), 인 실리케이트 글라스(PSG), 붕소 인 실리케이트(BPSG), 및 이들의 조합들을 포함한다. 본 명세서에도시된 예시적인 실시예에서, 재료 층(406)은 도핑되지 않은 실리콘 글라스(USG) 층이다. 일 실시예에서, 유전체 재료 층(406)은 약 3000Å 내지 약 15000Å 사이의, 예컨대 약 4000Å에서 약 12000Å 사이의, 예를 들어, 약 10000Å인, 두께를 갖는다.
패터닝된 마스크 층(411)이 재료 층(406) 상에 배치된다. 패터닝된 마스크 층(411)은 에칭을 위해 에칭 스톱 층(404)의 표면의 일부들(413)을 노출시키는 개방 피처(412)를 갖는다. 일 실시예에서, 마스크 층(411)은 하드마스크 층, 포토레지스트 마스크, 또는 이들의 조합일 수 있다. 마스크 층(411) 내의 개방 피처(412)는 에칭 스톱 층(404) 내에 개방 피처들(414)를 원하는 종횡비들로 형성시키기 위한 에칭 마스크로서 이용된다. 본 명세서에 설명되는 개방 피처들(414)은 트렌치들, 비아들, 개구들 등을 포함할 수 있다. 마스크 층(411)이 하드마스크 층인 일 실시예에서, 마스크 층(411)은 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 비정질 탄소, 및 이들의 조합들로 구성되는 그룹으로부터 선택된 재료일 수 있다. 마스크 층(411)이 리소크래피로 패터닝된 마스크와 같은 패터닝된 포토레지스트 층인 실시예에서, 포토레지스트 층은 포지티브 톤 포토레지스트, 네거티브 톤 포토레지스트, 자외선(UV) 리소그래피 포토레지스트, 아이 라인(i-line) 포토레지스트, e-빔 레지스트(예를 들어, 화학적으로 증폭된 레지스트(CAR)) 또는 다른 적절한 포토레지스트일 수 있다. 도 4의 (a)에 도시된 예시적인 실시예에서, 패터닝된 마스크 층(411)은 하드 마스크 층(408) 상에 배치된 포토레지스트 층(410)의 결합물이고, 하드 마스크 층(408)은 실리콘 질화물 층 또는 실리콘 산화물 층이다.
블록(304)에서는, 후속 화학적 에칭 프로세스에서 에칭 스톱 층(404)의 제거를 용이하게 하기 위해서 표면 특성들을 변경하기 위해 에칭 스톱 층(404)의 표면의 노출된 부분들(413)을 처리하는 처리 프로세스(treatment process)가 수행된다. 블록(304)에서 수행되는 처리 프로세스는 챔버(100) 내로 처리 가스 혼합물을 공급하는 것을 포함한다. 다음으로 에칭 스톱 층(404)을 여기 상태로 활성화하여 도 4의 (b)에 도시된 바와 같이, 처리된 에칭 스톱 층(426)을 형성하기 위해, 에칭 스톱 층(404)의 표면의 노출된 부분들(413)을 플라즈마 처리하기 위한 플라즈마가 처리 가스 혼합물로부터 형성되고, 에칭 스톱 층은 이후 프로세스 챔버(100) 내로 후속하여 공급되는 화학적 에칭 가스들과 쉽게 반응하여 프로세스 챔버(100) 외부로 쉽게 펌핑될 수 있는 휘발성 가스를 형성할 수 있다.
일 실시예에서, 처리 가스 혼합물은 수소 함유 가스, 질소 함유 가스, 또는 불활성 가스 중 적어도 하나를 포함한다. 처리 가스 혼합물에서 공급된 수소 함유 가스, 질소 함유 가스, 또는 불활성 가스는 처리 가스 혼합물로부터 형성된 플라즈마에서의 이온들의 수명을 증가시키는 것을 도울 수 있다고 생각된다. 이온들의 증가된 수명은 기판(402) 상의 에칭 스톱 층(426)과 반응하는 것 및 이를 보다 철저히 활성화시키는 것을 도울 수 있고, 그로 인해 후속 화학적 에칭 프로세스 동안 기판(402)으로부터 활성화된 에칭 스톱 층(426)의 제거를 강화한다. 질소 함유 가스가 처리 가스 혼합물에 이용되는 실시예에서, 질소 함유 가스로부터의 질소 원자들은 재료 층(406)에서의 및/또는 에칭 스톱 층(404)에서의 산소 원자들과 반응하여 휘발성 상태에 있는 질소 산소(NO, 또는 NO*) 화합물을 형성할 수 있고, 질소 산소 화합물은 쉽게 기판 표면으로부터 제거되고 프로세스 챔버 외부로 펌핑될 수 있다. 수소 함유 가스가 처리 가스 혼합물에 이용되는 실시예에서, 수소 함유 가스로부터의 수소 원자들은 에칭 스톱 층(404)에 포함된 실리콘 원자들과 반응할 수 있고, 그로 인해 처리된 재료 층(426)의 처리된 표면(예를 들어, 노출된 부분(413)) 상에 접합되는 Si-H 또는 Si-OH의 약한 댕글링 접합(weak and dangling bond)을 형성한다. Si-H 또는 Si-OH 접합 단자들을 이용하여 처리된 에칭 스톱 층(426)은 프로세스 챔버(100)에 후속하여 공급되는 다른 에칭제들에 의해서 쉽게 흡수될 수 있으며, 그로 인해 기판 표면으로부터 처리된 에칭 스톱 층(426)의 제거를 용이하게 하는데 도움을 준다. 유사하게, 헬륨(He)이나 아르곤(Ar)과 같은 불활성 가스가 이용되는 실시예에서, 불활성 가스는 에칭 스톱 층(404)을 여기 상태로 활성화하기 위해 에칭 스톱 층(404)을 처리하고 약하게 충돌할(gently bombard) 수 있고, 그로 인해 프로세스 챔버(100)에 공급된 에칭제들과 반응하는 것을 돕는다.
일 실시예에서, 프로세스 챔버(100) 내로 공급되는 수소 함유 가스는 H2, H2O 등 중 적어도 하나를 포함한다. 프로세스 챔버(100) 내로 공급되는 질소 함유 가스는 N2, N2O, NO2, NH3 등 중 적어도 하나를 포함한다. 프로세스 챔버(100) 내에 공급되는 불활성 가스는 Ar, He, Kr 등 중 적어도 하나를 포함한다. 예시적 실시예에서, 처리 프로세스를 수행하기 위해 프로세스 챔버(100) 내에 공급되는 수소 함유 가스는 H2 가스이고, 처리 프로세스를 수행하기 위해 프로세스 챔버(100) 내에 공급되는 질소 함유 가스는 N2 가스이고, 불활성 가스는 He 또는 Ar이다.
플라즈마 처리 프로세스 동안, 여러 프로세스 파라미터들이 선처리(pretreatment) 프로세스를 제어하기 위해 조절될 수 있다. 예시적인 일 실시예에서, 프로세스 챔버(100)에서의 프로세스 압력은 약 10밀리토르 내지 약 5000밀리토르 사이에서, 예컨대 약 10밀리토르 내지 약 200밀리토르 사이에서 조절된다. 처리 가스 혼합물에서 플라즈마를 유지하기 위해서 약 13MHz의 주파수에서의 낮은 RF 바이어스 전력이 인가될 수 있다. 예를 들어, 프로세스 챔버(100) 내부에서 플라즈마를 유지하기 위해서 약 200와트 미만의, 예컨대 약 20와트와 약 200와트 사이의 RF 바이어스 전력이 인가될 수 있다. 처리 가스 혼합물은 약 200sccm 내지 약 800sccm 사이의 레이트로 챔버 내로 유동될 수 있다. 기판 온도는 약 섭씨 25도 내지 약 섭씨 300도 사이에서, 예컨대 약 섭씨 50도 내지 약 섭씨 110도 사이에서 유지된다.
일 실시예에서, 기판은 가스의 동작 온도, 압력 및 유량에 따라서, 약 5초 내지 약 5분 동안 처리 프로세스를 거친다. 예를 들어, 기판은 약 30초 내지 약 90초 동안 선처리 프로세스들에 노출될 수 있다. 예시적인 실시예에서, 기판은 약 90초 이하 동안 처리 프로세스에 노출된다.
블록(306)에서는, 도 4의 (c)에 도시된 바와 같이, 처리된 에칭 스톱 층(426)을 기판(402)으로부터 서서히 제거하기 위해 화학적 에칭 프로세스가 수행된다. 처리된 에칭 스톱 층(426)을 에칭하기 위해 화학적 에칭 가스 혼합물로부터 플라즈마 캐비티(150) 내에 원격 플라즈마 소스를 형성하기 위해서 프로세스 챔버(100) 내로 플라즈마 캐비티(150)를 통하여 화학적 에칭 가스 혼합물을 공급하는 것에 의해서 화학적 에칭 프로세스가 수행된다. 처리된 에칭 스톱 층(426)이 표면 상에서 Si-H 또는 Si-OH 접합 단자들과의 약한 댕글링 접합들(weak and dangling bond)을 갖도록 처리됨에 따라, 화학적 에칭 가스 혼합물로부터의 공격적인 에칭제들은, 화학적 에칭 프로세스 동안, Si-H 또는 Si-OH 접합 단자들과의 약한 댕글링 접합들을 쉽게 공격할 수 있고, 처리된 에칭 스톱 층(426)을 기판(402)으로부터 효율적으로 제거할 수 있다.
일 실시예에서, 처리된 에칭 스톱 층(426)을 제거하는데 이용된 화학적 에칭 가스 혼합물은 암모니아(NH3)와 3불화 질소(NF3) 가스들의 혼합물이다. 프로세스 챔버 내로 도입된 각각의 가스의 양은, 예를 들어, 챔버 바디에 결합된 진공 시스템의 능력들뿐만 아니라, 제거될 에칭 스톱 층(426)의 두께, 세정되는 기판의 기하형상, 플라즈마의 체적 용량, 챔버 바디의 체적 용량을 수용하기 위해 변경되고 조절될 수 있다.
하나 이상의 실시예들에서, 암모니아(NH3) 대 3불화 질소(NF3)의 적어도 1:1 몰 비를 갖는 화학적 에칭 가스 혼합물을 제공하기 위해 가스들이 첨가된다. 하나 이상의 실시예들에서, 화학적 에칭 가스 혼합물의 몰 비는 적어도 약 3:1(암모니아 대 3불화 질소)이다. 가스들은 약 3:1(암모니아 대 3불화 질소) 내지 약 30:1의, 예컨대 약 5:1의 몰 비로 챔버(100) 내에 도입된다. 암모니아(NH3) 대 3불화 질소(NF3) 사이의 비율은 에칭 선택도를 향상시키기 위해서도 조절될 수 있고, 에칭 선택도는 처리된 에칭 스톱 층(426)과 인접한 재료 층(406) 사이의 선택도(예를 들어, 실리콘 산화물 층에 대한 실리콘 질화물 층의 선택도) 및 처리된 에칭 스톱 층(426)과 기저 기판(402) 사이의 선택도(예를 들어, 다른 무엇보다도, 실리콘 재료, 도전성 재료 또는 금속 실리사이드 층과 같은, 기판에서의 재료들에 대한 실리콘 질화물 층의 선택도)를 포함한다는 점에 유의한다.
에칭 스톱 층(426)은 위에 배치된 재료 층(406)에 비하여 상대적으로 얇은 두께를 종종 갖기 때문에, 블록(306)에서 화학적 에칭 프로세스 동안 플라즈마 캐비티(150)에서 원격으로 생성되는 플라즈마는, 기저 기판(402)이 노출될 때까지 처리된 에칭 스톱 층(426)을 서서히, 약하게 그리고 점진적으로 에칭하기 위해서, 비교적 순하고 약한 에칭제들을 형성하도록 에칭제들을 해리시킬 수 있다. 종래의 인-시투 플라즈마 에칭 프로세스에 비하여, 인터페이스 에칭에 대해 우수한 제어를 제공하여 인접한 재료 층(406)을 손상시키지 않고 기판(402)으로부터 제거되는 처리된 에칭 스톱 층(426)에 대한 정확한 에칭 종료 지점을 허용하기 위해서, 처리된 에칭 스톱 층(426)을 제거하기 위해 블록(306)에서 수행되는 화학적 에칭 프로세스는 원격 플라즈마 소스를 이용하는 느린 속도, 예컨대 초당 약 1Å과 초당 약 10Å사이로 진행하도록 제어될 수 있다. 화학적 에칭 프로세스는 기판 표면을 과도하게 공격적이고 물리적으로 충돌(bombarding)하거나, 스퍼터링 또는 바이어싱하지 않고 기판(402)으로부터 처리된 에칭 스톱 층(426)을 화학적으로 반응시키고 제거하도록 구성되고, 그로 인해 처리된 에칭 스톱 층(426)을 서서히 제거하는 순한 에칭 프로세스를 제공한다. 화학적 에칭 프로세스의 각각의 사이클은 처리된 에칭 스톱 층(426)을 우수한 프로파일 제어를 이용하여 서서히 제거하여 기판에 대한 오버에칭, 프로파일 변형, 또는 CD 제어의 상실의 가능성을 감소시키기 위해서, 처리된 에칭 스톱 층(426)의 약 25Å만을 에칭해낼 수 있다.
더욱이, NH3 및 NF3와 같은, 에칭제들이 재료 층(406) 및/또는 마스크 층(411)으로부터 방출되는 산소 원자들/산소 복사들과 반응하면서 처리된 에칭 스톱 층(426)과 공격적으로 반응할 수 있다고 생각된다. 에칭 가스 혼합물로부터 해리된 질소 성분들은 재료 층(406)으로부터 방출된 산소 성분들과 신속하게 반응할 수 있고, 재료 층은 블록(304)에서의 처리 프로세스 동안 또는 블록(306)에서의 화학적 에칭 프로세스 동안 해리된다. 다음으로 질소 성분들과 산소 성분들이 반응하여 질소-산소(NO, 또는 NO*) 화합물을 형성하여, 재료 층(406)에서의 개구 피처들(414)의 측벽을 보호하고 실리콘 질화물 층을 효율적으로 에칭하는 것을 돕는다. 재료 층(406)의 측벽이 보호되므로, 그것은 처리된 에칭 스톱 층(426)을 이방성으로 에칭하기 위해 원하는 지향성을 갖도록 에칭제들을 한정하는 것을 도우며, 그로 인해 에칭 효율과 프로파일 제어를 강화한다. 추가적으로, 에칭 프로세스 동안 생성된 질소-산소(NO, 또는 NO*) 화합물은 휘발성 상태에 있을 수도 있고, 질소 산소 화합물은 기판 표면으로부터 쉽게 제거될 수 있고, 에칭 프로세스 이후 프로세스 챔버로부터 펌핑될 수 있다.
화학적 에칭 프로세스 동안, 블록(306)에서의 화학적 에칭 프로세스를 제어하기 위해 여러 프로세스 파라미터들이 조절될 수 있다. 예시적인 일 실시예에서, 프로세스 챔버(100)에서의 프로세스 압력은 약 10밀리토르와 약 5000밀리토르 사이, 예컨대 약 800밀리토르와 약 5토르 사이, 예를 들어, 약 1500밀리토르로 조절된다. 화학적 에칭 가스 혼합물에 플라즈마를 유지하기 위해서 RF 소스 전력은 약 60MHz의 주파수로 제공된다. 예를 들어, 약 20와트 내지 약 800와트, 예컨대 약 400와트의 RF 소스 전력이 약 15sccm 내지 약 200sccm 사이의 레이트로 챔버 내로 유동되는 화학적 에칭 가스 혼합물에 인가될 수 있다. 일례에서는, NH3 가스가 약 5sccm과 약 300sccm 사이의, 예컨대 약 20sccm과 약 300sccm 사이의 레이트로, 예컨대 약 100sccm으로 에칭 가스 혼합물 내에 공급될 수 있다. NF3 가스는 약 5sccm과 약 300sccm 사이의, 예컨대 약 5sccm과 약 50sccm 사이의 레이트로, 예컨대 약 20sccm으로 에칭 가스 혼합물 내에 공급될 수 있다. 일 실시예에서는, Ar 또는 He와 같은 불활성 가스도 에칭 가스 혼합물 내에 공급될 수 있다. 일 실시예에서, He 가스가 이용되고, 약 200sccm과 약 1000sccm 사이로, 약 600sccm으로 에칭 가스 혼합물 내에 공급된다. 기판 온도는 약 섭씨 25도 내지 약 섭씨 300도 사이로, 예컨대 약 섭씨 50도 내지 약 섭씨 150도 사이로, 예를 들어 약 섭씨 110도로 유지된다. 일 실시예에서는, 이온 충돌을 감소시키기 위해 화학적 에칭 프로세스 동안 어떠한 바이어스 전력도 제공되지 않는다.
도 4의 (c)에 도시된 실시예에서, 화학적 에칭의 제1 사이클 이후, 처리된 재료 층(426)은 기판(402)으로부터 제거된 약 20Å과 약 50Å 사이의 두께(420)를 가질 수 있다.
블록(308)에서는, 기판 표면으로부터 에칭 잔류물들을 제거하기 위해 선택적인 전이 프로세스가 수행될 수 있다. 프로세스 챔버로부터 에칭 잔류물들을 펌핑/퍼징하는 것을 돕기 위해 퍼지 가스 또는 캐리어 가스를 포함하는 전이 가스 혼합물이 프로세스 챔버에 공급될 수 있다. 적합한 퍼지/캐리어 가스들은 아르곤, 헬륨, 수소, 질소, 또는 이들의 혼합물들을 포함한다. 챔버 내의 운용 압력은 변경될 수 있다. RF 소스 또는 RF 바이어스 전력들의 인가는 펌프/퍼지 프로세스 동안 제거될 수 있는데, 예를 들어, 전이 프로세스 동안 RF 전력을 인가하지 않고 전이 프로세스가 수행된다. 퍼지/캐리어 가스는 프로세스 챔버로부터 에칭 잔류물들의 펌프/퍼지를 용이하게 하는데 이용될 수 있다. 일 실시예에서, 기판은 약 5초 내지 약 5분 사이 동안 전이 프로세스의 대상이 된다. 예를 들어, 기판은 약 5초 내지 약 10초 동안 전이 프로세스의 대상이 될 수 있다. 예시적인 실시예에서, 기판은 약 5 초 동안 전이 프로세스에 노출된다.
도 4의 (D)에 도시된 바와 같이, 마스크 층(411)과 재료 층(406) 사이에 정의된 피처(414)에 의해서 노출된 에칭 스톱 층(404)이 제거되어, 기저 기판(402)의 표면(436)을 노출시킬 때까지, 블록들(304, 306 및 308)은 도 3에서 루프(310)에 의해 표시되는 바와 같이 반복되어(즉, 주기적으로) 수행될 수 있다는 점에 유의한다. 일 실시예에서, 에칭 스톱 층(404)은 약 5nm와 약 500nm, 예컨대 약 약 10nm와 약 35nm 사이의 두께를 가질 수 있다. 반복된 프로세스들은 과도하게 공격적으로 재료 층(406)을 공격하지 않고도 처리된 에칭 스톱 층(426)을 주기적으로 그리고 증진적으로 에칭할 수 있으며, 그로 인해 우수한 인터페이스 에칭 제어와 적절한 에칭 스톱 엔드포인트를 제공한다. 반복적 처리, 화학적 에칭 프로세스 및/또는 선택적인 전이 프로세스를 이용하는 증진적 에칭은 재료 층(406), 에칭 스톱 층(404) 및 기저 기판(402) 사이에서 피처 수직성을 향상시키고 에칭 선택도를 촉진하며, 그로 인해 마스크 층(411)과 재료 층(406)으로부터 에칭 스톱 층(404)까지 피처 임계 치수들(CD)을 전사하기 위한 마스크의 정밀도를 강화한다. 일 실시예에서, 마스크 층(411) 내에 형성된 피처는 40nm 미만의 CD 및 7보다 더 큰, 예컨대 약 5와 약 10 사이의 종횡비를 가질 수 있다.
따라서, 높은 선택도 및 우수한 프로파일 제어를 이용하여 에칭 스톱 층을 주기적으로 에칭하기 위한 방법과 장치가 제공된다. 이 방법은 전체 에칭 수율의 수용 범위를 제공하면서 우수한 인터페이스 제어를 이용하여 적절한 에칭 스톱 엔드포인트를 허용할 수 있다. 재료 층(406)을 공격적으로 공격하지 않고도 에칭 스톱 층 내로 피처들을 에칭하기 위해서, 에칭 프로세스는 주기적 다단계 에칭 프로세스들, 예를 들어, 처리 프로세스, 화학적 세정 프로세스 및 선택적인 전이 프로세스를 이용한다. 주기적 다단계 에칭 프로세스는 전체 프로세스 시간을 현저히 저하시키지 않고도 높은 선택도와 우수한 프로파일 제어를 이용하여 에칭 스톱 층을 효율적으로 에칭할 수 있다.
전술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가 실시예들은 그것의 기본 범위로부터 벗어나지 않고서 고안될 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (17)

  1. 에칭 스톱 층을 에칭하기 위한 방법으로서,
    (a) 실리콘 질화물 층을 처리하기 위해 처리 가스 혼합물(treatment gas mixture)을 프로세스 챔버 내로 공급함으로써 상기 실리콘 질화물 층이 위에 배치되어 있는 기판 상에 처리 프로세스를 수행하는 단계;
    (b) 상기 프로세스 챔버 내로 화학적 에칭 가스 혼합물을 공급함으로써 상기 기판 상에 화학적 에칭 프로세스를 수행하는 단계 - 상기 화학적 에칭 가스 혼합물은 적어도 암모늄 가스 및 3불화 질소를 포함하며, 상기 화학적 에칭 프로세스는 상기 처리된 실리콘 질화물 층을 에칭함 -; 및
    기저 기판이 노출될 때까지 상기 실리콘 질화물 층을 에칭하기 위해 단계 (a) 내지 단계 (b)를 반복하여 수행하는 단계
    를 포함하는 방법.
  2. 제1항에 있어서,
    (c) 단계 (b)를 수행한 이후에 상기 프로세스 챔버 내로 전이 가스 혼합물을 공급함으로써 상기 에칭된 기판 상에 전이 프로세스를 수행하는 단계
    를 더 포함하는 방법.
  3. 제2항에 있어서,
    상기 전이 가스 혼합물은 적어도 수소 함유 가스, 질소 함유 가스 또는 불활성 가스를 포함하는, 방법.
  4. 제2항에 있어서,
    기저 기판이 노출될 때까지 상기 실리콘 질화물 층을 에칭하기 위해 단계 (a) 내지 단계 (c)를 반복하여 수행하는 단계
    를 더 포함하는 방법.
  5. 제1항에 있어서,
    상기 처리 가스 혼합물은 적어도 수소 함유 가스, 질소 함유 가스 또는 불활성 가스를 포함하는, 방법.
  6. 제1항에 있어서,
    상기 기판 상에 처리 프로세스를 수행하는 단계는,
    상기 처리 가스 혼합물에 RF 바이어스 전력을 인가하는 단계
    를 더 포함하는, 방법.
  7. 제1항에 있어서,
    상기 기판 상에 상기 화학적 에칭 프로세스를 수행하는 단계는,
    상기 프로세스 챔버로부터 원격으로 상기 화학적 에칭 가스 혼합물에 RF 소스 전력을 인가하는 단계
    를 더 포함하는, 방법.
  8. 제2항에 있어서,
    상기 전이 프로세스를 수행하는 단계는,
    RF 전력을 인가하지 않고 에칭 잔류물을 제거하기 위해 상기 전이 가스 혼합물을 공급하는 단계
    를 더 포함하는, 방법.
  9. 제1항에 있어서,
    상기 기판 상에 화학적 에칭 프로세스를 수행하는 단계는,
    상기 화학적 에칭 가스 혼합물 내의 암모늄 가스와 3불화 질소를 5:1의 몰 비로 공급하는 단계
    를 더 포함하는, 방법.
  10. 제1항에 있어서,
    기판 온도를 섭씨 50도와 섭씨 150도 사이로 유지하는 단계
    를 더 포함하는 방법.
  11. 제1항에 있어서,
    상기 실리콘 질화물 층은 반도체 디바이스 내의 콘택 구조체에 이용되는 에칭 스톱 층인, 방법.
  12. 에칭 스톱 층을 에칭하기 위한 방법으로서,
    (a) 실리콘 질화물 층이 위에 배치되어 있는 기판을 프로세스 챔버 내에 이송하는 단계 - 상기 실리콘 질화물 층 상에 배치된 패터닝된 마스크 층과 함께 패터닝된 실리콘 산화물 층이 상기 실리콘 질화물 층의 부분을 노출시킴 -;
    (b) 상기 실리콘 질화물 층의 상기 노출된 부분을 처리하기 위해 처리 가스 혼합물을 공급하는 단계 - 상기 처리 가스 혼합물은 불활성 가스를 포함함 -;
    (c) 상기 프로세스 챔버 내로 화학적 에칭 가스 혼합물을 공급하는 단계 - 상기 화학적 에칭 가스 혼합물은 상기 처리된 실리콘 질화물 층을 에칭하기 위해 적어도 암모늄 가스 및 3불화 질소를 포함함 -; 및
    상기 실리콘 질화물 층의 상기 노출된 부분이 상기 기판으로부터 제거될 때까지 단계 (b) 내지 단계 (c)를 반복하여 수행하는 단계
    를 포함하는 방법.
  13. 제12항에 있어서,
    (d) 단계 (c)를 수행한 이후에 상기 프로세스 챔버에 전이 가스 혼합물을 공급하는 단계를 더 포함하고, 상기 전이 가스 혼합물은 불활성 가스를 포함하는 방법.
  14. 제12항에 있어서,
    상기 화학적 에칭 가스 혼합물 내의 암모늄 가스와 3불화 질소는 3:1 내지 30:1의 몰 비로 공급되는, 방법.
  15. 제12항에 있어서,
    상기 처리 가스 혼합물에 RF 바이어스 전력을 인가하는 단계; 및
    상기 프로세스 챔버로부터 원격으로 상기 화학적 에칭 가스 혼합물에 RF 소스 전력을 인가하는 단계
    를 더 포함하는, 방법.
  16. 실리콘 질화물 층을 에칭하기 위한 방법으로서,
    (a) 금속 실리사이드 구조체 상에 배치된 실리콘 질화물 층을 갖는 기판을 프로세스 챔버 내에 이송하는 단계 - 상기 실리콘 질화물 층은 상기 실리콘 질화물 층 상에 배치된 패터닝된 마스크 층과 함께 패터닝되고, 상기 실리콘 질화물 층의 부분을 노출시키는 실리콘 산화물 층을 가짐 -;
    (b) 상기 실리콘 질화물 층의 상기 노출된 부분을 처리하기 위해 RF 바이어스 전력을 인가하는 동안, Ar 또는 He 가스를 공급하는 단계;
    (c) 상기 실리콘 산화물 층의 상기 처리된 노출된 부분을 에칭하기 위해 상기 프로세스 챔버로부터 원격으로 RF 소스 전력을 인가하는 동안, 적어도 암모늄 가스 및 3불화 질소를 포함하는 화학적 에칭 가스 혼합물을 공급하는 단계; 및
    (d) RF 전력을 인가하지 않으면서 상기 프로세스 챔버로 Ar 또는 He 가스를 공급하는 단계
    를 포함하는 방법.
  17. 제16항에 있어서,
    상기 실리콘 질화물 층의 상기 노출된 부분이 제거되어 그 아래 놓인 상기 금속 실리사이드 구조체가 노출될 때까지 단계 (b) 내지 단계 (d)를 반복하여 수행하는 단계를 더 포함하는, 방법.
KR1020167009638A 2013-09-17 2014-07-29 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들 KR102283949B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/029,769 2013-09-17
US14/029,769 US8980758B1 (en) 2013-09-17 2013-09-17 Methods for etching an etching stop layer utilizing a cyclical etching process
PCT/US2014/048550 WO2015041747A1 (en) 2013-09-17 2014-07-29 Methods for etching an etching stop layer utilizing a cyclical etching process

Publications (2)

Publication Number Publication Date
KR20160055877A KR20160055877A (ko) 2016-05-18
KR102283949B1 true KR102283949B1 (ko) 2021-07-30

Family

ID=52632217

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167009638A KR102283949B1 (ko) 2013-09-17 2014-07-29 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들

Country Status (6)

Country Link
US (1) US8980758B1 (ko)
JP (1) JP6440716B2 (ko)
KR (1) KR102283949B1 (ko)
CN (1) CN105556643B (ko)
TW (1) TWI631616B (ko)
WO (1) WO2015041747A1 (ko)

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20140199854A1 (en) * 2013-01-16 2014-07-17 United Microelectronics Corp. Method of forming film on different surfaces
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) * 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR20180102203A (ko) * 2016-02-05 2018-09-14 어플라이드 머티어리얼스, 인코포레이티드 복수 유형의 챔버들을 갖는 통합형 층 식각 시스템
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10312102B2 (en) * 2016-08-29 2019-06-04 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9923083B1 (en) 2016-09-09 2018-03-20 International Business Machines Corporation Embedded endpoint fin reveal
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP6836953B2 (ja) * 2016-12-13 2021-03-03 東京エレクトロン株式会社 窒化シリコンから形成された第1領域を酸化シリコンから形成された第2領域に対して選択的にエッチングする方法
US10319613B2 (en) * 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
TWI756367B (zh) 2017-02-23 2022-03-01 日商東京威力科創股份有限公司 矽氮化物之準原子層蝕刻方法
TWI761461B (zh) 2017-02-23 2022-04-21 日商東京威力科創股份有限公司 用於製造自對準塊體結構之矽氮化物心軸的異向性抽出方法
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107731678B (zh) * 2017-08-24 2020-04-14 长江存储科技有限责任公司 三维存储器的制作方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
JP6960351B2 (ja) * 2018-02-19 2021-11-05 東京エレクトロン株式会社 処理方法
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7115783B2 (ja) * 2020-03-30 2022-08-09 ピーエスケー インコーポレイテッド 基板処理装置
TW202209480A (zh) * 2020-08-17 2022-03-01 日商東京威力科創股份有限公司 蝕刻方法及蝕刻裝置
CN117650047A (zh) * 2024-01-26 2024-03-05 北京北方华创微电子装备有限公司 形成半导体结构的方法、等离子体发生装置及半导体工艺设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100029094A1 (en) 2002-12-20 2010-02-04 Applied Materials, Inc. Method and Apparatus for Forming a High Quality Low Temperature Silicon Nitride Layer
JP2012231162A (ja) 2005-06-28 2012-11-22 Lam Research Corporation エッチングマスクスタックを用いたマルチマスクプロセス
US20130089988A1 (en) * 2011-10-07 2013-04-11 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW359008B (en) * 1997-12-20 1999-05-21 United Microelectronics Corp Double metal embedding
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
JP2006108629A (ja) * 2004-09-10 2006-04-20 Toshiba Corp 半導体装置の製造方法
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US8252696B2 (en) 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP4627335B2 (ja) * 2009-10-28 2011-02-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5646190B2 (ja) * 2010-03-12 2014-12-24 東京エレクトロン株式会社 洗浄方法及び処理装置
JP5703590B2 (ja) * 2010-05-10 2015-04-22 富士通セミコンダクター株式会社 半導体装置の製造方法
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100029094A1 (en) 2002-12-20 2010-02-04 Applied Materials, Inc. Method and Apparatus for Forming a High Quality Low Temperature Silicon Nitride Layer
JP2012231162A (ja) 2005-06-28 2012-11-22 Lam Research Corporation エッチングマスクスタックを用いたマルチマスクプロセス
US20130089988A1 (en) * 2011-10-07 2013-04-11 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination

Also Published As

Publication number Publication date
CN105556643B (zh) 2018-07-27
TW201515098A (zh) 2015-04-16
US8980758B1 (en) 2015-03-17
US20150079798A1 (en) 2015-03-19
CN105556643A (zh) 2016-05-04
TWI631616B (zh) 2018-08-01
WO2015041747A1 (en) 2015-03-26
KR20160055877A (ko) 2016-05-18
JP6440716B2 (ja) 2018-12-19
JP2016532313A (ja) 2016-10-13

Similar Documents

Publication Publication Date Title
KR102283949B1 (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
US9543163B2 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US9299577B2 (en) Methods for etching a dielectric barrier layer in a dual damascene structure
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US9269587B2 (en) Methods for etching materials using synchronized RF pulses
US9514953B2 (en) Methods for barrier layer removal
US9640385B2 (en) Gate electrode material residual removal process
JP6469705B2 (ja) エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
JP7176106B2 (ja) 誘電体材料の堆積方法
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
TWI768564B (zh) 用於蝕刻硬體之基於氫電漿清洗處理

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant