CN105556643B - 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法 - Google Patents

用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法 Download PDF

Info

Publication number
CN105556643B
CN105556643B CN201480051104.3A CN201480051104A CN105556643B CN 105556643 B CN105556643 B CN 105556643B CN 201480051104 A CN201480051104 A CN 201480051104A CN 105556643 B CN105556643 B CN 105556643B
Authority
CN
China
Prior art keywords
gas
substrate
layer
silicon nitride
nitride layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201480051104.3A
Other languages
English (en)
Other versions
CN105556643A (zh
Inventor
M-M·凌
S·康
J·T·彭德
S·D·耐马尼
B·J·霍华德
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105556643A publication Critical patent/CN105556643A/zh
Application granted granted Critical
Publication of CN105556643B publication Critical patent/CN105556643B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

提供了用于使用循环蚀刻工艺对设置在基板上的蚀刻停止层进行蚀刻的方法。在一个实施例中,用于对蚀刻停止层进行蚀刻的方法包括以下步骤:通过将处理气体混合物供应至处理腔室中以处理氮化硅层来对基板执行处理工艺,所述基板具有设置在所述基板上的所述氮化硅层;以及通过将化学蚀刻气体混合物供应至处理腔室来对所述基板执行化学蚀刻工艺,其中化学蚀刻气体混合物至少包括氨气和三氟化氮,其中化学蚀刻工艺蚀刻所述经处理的氮化硅层。

Description

用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法
发明的背景
技术领域
本发明的实施例大体上关于用于形成半导体器件的方法。更特定而言,本发明的实施例大体上关于通过使用用于制造半导体器件的循环蚀刻工艺对设置在基板上的蚀刻停止层进行蚀刻的方法。
背景技术
可靠地生产亚半微米以及更小的特征是对半导体器件的下一代极大规模集成电路(VLSI)和超大规模集成电路(ULSI)的关键技术挑战中的一项。然而,随着推行对电路技术的限制,VLSI和ULSI互连技术的缩小的尺寸已对处理能力提出额外的需求。栅极结构在基板上的可靠形成对于VLSI和ULSI的成功以及对于增加单个基板和管芯的电路密度和质量的持续的工作是重要的。
诸如光阻层之类的经图案化掩模常用在通过蚀刻工艺而在基板上形成结构,所述结构诸如,栅极结构、浅沟槽隔离(STI)、位线,等等。通过使用光刻工艺来以光学方式将具有所需的关键尺寸的图案转移至光阻层,以常规方式制造经图案化的掩模。随后,光阻层经显影以去除光阻剂中不需要的部分,从而在其余的光阻剂中产生开口。
为了能够制造下一代器件和结构,已将设计称为半导体器件而形成的结构的几何形状限制推至技术极限,对于对具有较高深宽比的小关键尺寸结构的制造的准确的工艺控制的需求已变得日益重要。蚀刻工艺期间的不良的工艺控制将导致不规则结构轮廓和线边缘粗糙度,进而导致所形成的结构的不良的线完整性。此外,在蚀刻期间形成的蚀刻副产物的不规则的轮廓和生长可能逐渐阻塞用于制造小关键尺寸结构的小开口,进而导致经蚀刻的结构的弯曲的、畸变的、倾倒的,或扭转的轮廓。
此外,经选择以用于设置在膜叠层中的硬掩模层、邻接的层以及位于下方的蚀刻停止层的材料以及甚至基板上的位于下方的材料之间的相似性也可能导致上述各者之间类似的蚀刻特性,从而在蚀刻期间导致不良的选择性。硬掩模层、邻接的层以及基板上的材料之间的不良的选择性可能导致硬掩模层的不均匀的、锥形的以及变形的轮廓,进而导致不良的图案转移以及无法进行准确的结构尺寸控制。由此,蚀刻停止层常用于提供蚀刻停止界面,所述界面可提供高蚀刻选择性以辅助保护位于下方的材料免受损害并减少过度蚀刻的可能性。
由此,要求用于蚀刻工艺的化学蚀刻剂具有对于邻接的材料层、蚀刻停止层以及材料层(导电层或电介质层)的位于下方的层的上表面的更大的蚀刻选择性,以便提供优良的界面控制。当蚀刻停止层经蚀刻时,邻接的材料层可能受到反应性蚀刻物质的侵蚀,导致邻接的材料层的顶部和/或侧壁上的不均匀性或锥形轮廓,从而导致不期望的轮廓变形。由此,需要具有高度选择性的蚀刻剂增强以用于准确的图案转移。然而,常规的蚀刻剂对于实现下一代器件的稳健的制造是选择性不足的。
由此,需要具有高选择性和准确的工艺和轮廓控制的、用于制造半导体器件的对蚀刻停止层进行蚀刻的改进的方法。
发明内容
提供了使用循环蚀刻工艺来对设置在基板上的蚀刻停止层进行蚀刻的方法。在一个实施例中,用于对蚀刻停止层进行蚀刻的方法包括以下步骤:通过将处理气体混合物供应至处理腔室中以处理氮化硅层来对基板执行处理工艺,所述基板具有设置在所述基板上的氮化硅层;以及通过将化学蚀刻气体混合物供应至处理腔室中来对所述基板执行化学蚀刻工艺,其中化学蚀刻气体混合物至少包括氨气和三氟化氮,其中化学蚀刻工艺蚀刻经处理的氮化硅层。
在另一实施例中,用于对蚀刻停止层进行蚀刻的方法包括以下步骤:在处理腔室中移送基板,所述基板具有设置在所述基板上的氮化硅层,其中设置在所述氮化硅层上的经图案化氧化硅层以及经图案化掩模层使氮化硅层的部分暴露;供应处理气体混合物以处理所述氮化硅层的所述被暴露部分,其中处理气体混合物包括惰性气体;以及将化学蚀刻气体混合物供应至处理腔室中,其中化学蚀刻气体混合物至少包括氨气和三氟化氮以蚀刻所述经处理的氮化硅层。
在又一实施例中,用于对氮化硅层进行蚀刻的方法包括以下步骤:将基板移送至处理腔室中,所述基板具有设置在金属硅化物结构上的氮化硅层,其中所述氮化硅层具有设置在所述氮化硅层上的经图案化的氧化硅层以及经图案化的掩模层,从而使所述氮化硅层的部分暴露;在施加将RF偏置功率以处理所述被暴露的氮化硅层的同时供应Ar气或He气;在所述处理腔室的远程施加RF源功率以蚀刻所述经处理的氮化硅层的同时供应化学蚀刻气体混合物,所述化学蚀刻气体混合物至少包括至少氨气和三氟化氮;以及在不施加RF功率的情况下将Ar气或He气供应至所述处理腔室。
附图说明
因此,为了可详细地理解上文列举的本发明的特征的方式,可通过参考实施例来进行对上文简述的本发明的更特定的描述,在所附附图中示出实施例中的一些。然而,将注意到,附图仅示出本发明的典型实施例,因此将不视作限制本发明的范围,因为本发明可承认其他等效的实施例。
图1是说明性处理腔室的横截面图,本发明的实施例可在所述说明性处理腔室中实践。
图2是说明性多腔室处理系统的示意性俯视图。
图3绘示根据本发明的一个实施例的、使用循环蚀刻工艺对蚀刻停止层进行蚀刻的流程图;以及
图4A-4D描绘根据本发明的一个实施例的、设置在半导体基板上的蚀刻停止层在对蚀刻停止层的蚀刻期间的横截面图。
为了便于理解,在可能的情况下,已使用完全相同元件符号来指定各图所共有的完全相同的元件。构想了一个实施例的元件和特征可在无需进一步详述的情况下而有益地并入其他实施例。
然而,将注意,附图仅示出本发明的示例性实施例,因此将不视作限制本发明的范围,因为本发明可承认其他等效的实施例。
具体实施方式
本发明的实施例提供了用于以高选择性和准确的轮廓控制来对在半导体器件基板上形成的蚀刻停止层进行蚀刻的方法。在一个实施例中,蚀刻工艺包括以下步骤:使用缓慢的循环蚀刻工艺以逐渐地对蚀刻停止层进行蚀刻,直到位于下方的基板被暴露为止。缓慢的循环蚀刻工艺可辅助控制蚀刻选择性,并且在界面处提供相对准确的蚀刻停止端点,以便提供对蚀穿所述蚀刻停止层以使位于下方的基板暴露的的优良的轮廓控制。在一个实施例中,可利用蚀刻工艺来蚀刻半导体器件的接触结构中所利用的蚀刻停止层。
如本文中使用的术语“接触结构”是包括可形成栅极电极的部分的金属硅化物的材料层。在一个或更多个实施例中,金属硅化物可以是硅化镍、硅化钴、硅化钛,或上述各者的任何组合。金属硅化物也可包括钨、硅化钨、钛/钴合金硅化物、钛/镍合金硅化物、钴/镍合金硅化物以及硅化镍/铂。或者,接触结构可以是硅基、锗基,或含有锗掺杂剂和/或其他掺杂剂的硅基。
图1是说明性处理腔室100的横截面图,所述说明性处理腔室100适用于执行下文进一步所述的蚀刻工艺。腔室100可经配置以将材料从设置在基板表面上的材料层去除。腔室100对于执行等离子体辅助干法蚀刻工艺是特别有用的。处理腔室100可以是可购自美国加利福尼亚州圣克拉拉市的应用材料公司的SiconiTM腔室。应注意,购自其他制造商的其他真空处理腔室也可经调适以实践本发明。
处理腔室100在不破坏真空的情况下提供对基板表面的加热和冷却两者。在一个实施例中,处理腔室100包括腔室主体112、盖组件140以及支撑组件180。盖组件140设置在腔室主体112的上端处,并且支撑组件180至少部分地设置在腔室主体112内。
腔室主体112包括形成在此腔室主体112的侧壁中的流量阀开口114以提供对处理腔室100的内部的接取。选择性地开启并关闭流量阀开口114以允许由晶片传送机器人(未示出)进出腔室主体112的内部。
在一个或更多个实施例中,腔室主体112包括形成在所述腔室主体112内部的通路115,以便使热传递流体流经所述腔室主体112。热传递流体可以是加热流体或冷却剂,并且用于在处理和基板移送期间控制腔室主体112的温度。对腔室主体112的温度的控制对于防止气体或副产物在腔室壁上的不期望的凝结是重要的。示例性热传递流体包括水、乙二醇,或这两者的混合物。示例性热传递流体也可包括氮气。
腔室主体112可进一步包括围绕支撑组件180的衬垫120。衬垫120是可移除的以用于保养和清洁。衬垫120可由金属(诸如,铝)、陶瓷材料或任何其他工艺相容材料制成。衬垫120可经珠粒喷击以增加表面糙度和/或表面积,这提高了沉积在衬垫120上的任何材料的附着,从而防止导致处理腔室100的污染物的材料剥脱。在一个或更多个实施例中,衬垫120包括一个或更多个孔125和泵送通路129,所述一个或更多个孔125和所述泵送通路129形成在所述衬垫120中,所述泵送通路129与真空系统流体地连通。孔125为气体提供进入泵送通路129的流径,所述泵送通路129为处理腔室100内的气体提供出口。
真空系统可包括真空泵130和节流阀132以调节穿过处理腔室100的气体流。真空泵130耦接至设置在腔室主体112中的真空端口131,并且因此与在衬垫120内形成的泵送通路129流体地连通。除非另作说明,否则术语“气体”和“多种气体”可互换地使用,并且是指一种或更多种前体、反应物、催化剂、载体、净化气体、清洁气体、上述各者的组合、以及引入到腔室主体112内的任何其他流体。
盖组件140包括至少两个堆叠的部件,这两个堆叠的部件经配置以在它们之间形成等离子体体积或空腔。在一个或更多个实施例中,盖组件140包括第一电极143(“上电极”),所述第一电极143设置在第二电极145(“下电极”)的竖直上方,从而在两者之间约束等离子体体积或空腔150。第一电极143连接至电源152(诸如,RF(射频)功率供应器),并且第二电极145接地,从而在这两个电极143、145之间形成电容。
在一个或更多个实施例中,盖组件140包括一个或更多个进气口154(仅示出一个),所述一个或更多个进气口154至少部分形成在第一电极143的上部区段156内。一种或更多种工艺气体经由一个或更多个进气口154进入盖组件140。一个或更多个进气口154在它的第一端处与等离子体空腔150流体地连通,并且在它的第二端处耦接至一个或更多个上游气源和/或其他气体递送部件,诸如,气体混合器。
在一个或更多个实施例中,第一电极143具有容纳等离子体空腔150的扩展区段155。在一个或更多个实施例中,扩展区段155是环形构件,所述环形构件具有从扩展区段155的上部155A至扩展区段155的下部155B逐渐增大的内表面或直径157。由此,第一电极143与第二电极145之间的距离是可变的。此可变的距离有助于控制在等离子体空腔150内生成的等离子体的形成和稳定性。
在一个或更多个实施例中,扩展区段155类似于倒置的截顶锥或“漏斗”。在一个或更多个实施例中,扩展区段155的内表面157从扩展区段155的上部155A到下部155B逐渐倾斜。取决于工艺要求和/或工艺限制,内径157的斜率或角度可发生变化。取决于特定的工艺要求和/或限制,扩展区段155的长度或宽度可发生变化。
如上文所提及,由于第一电极143的逐渐增大的内表面157,第一电极143的扩展区段155使第一电极143与第二电极145之间的竖直距离变化。此可变的距离与等离子体空腔150内的功率等级直接相关。在不希望受理论约束的情况下,这两个电极143、145之间的距离的变化允许等离子体探索到必要的功率等级,以使其自身即使不充满整个等离子体空腔150也维持在等离子体空腔150内的某个部分内。因此,等离子体空腔150内的等离子体较不依赖于压力,从而允许在更宽的操作窗口内生成并维持等离子体。由此,可在盖组件140内形成更具可再现性且更可靠的等离子体。由于在等离子体空腔150中生成的等离子体在进入支撑组件180上方的处理区域141(基板在所述处理区域141中行进)之前被限定在盖组件140中,因此等离子体被视作在处理区域141的远程生成的远程等离子体源。
如上所述,扩展区段155与进气口154流体地连通。一个或更多个进气口154的第一端可在扩展区段155的内径的最高点处通向等离子体空腔150。类似地,一个或更多个进气口154的第一端可沿扩展区段155的内径157在任何高度间隔处通向等离子体空腔150。尽管未示出,但是两个进气口154可设置在扩展区段155的相对侧以产生进入扩展区段155的旋流形式或“涡流”,这有助于在等离子体空腔150内混合气体。
盖组件140可进一步包括绝缘体环160,所述绝缘体环160使第一电极143与第二电极145电绝缘。绝缘体环160可由氧化铝或任何其他绝缘工艺相容材料制成。绝缘体环160围绕或基本上围绕至少扩展区段155。
盖组件140可进一步包括与第二电极145邻接的分配板170和阻隔板175。第二电极145、分配板170以及阻隔板175可堆叠并设置在盖沿(rim)178上,所述盖沿178连接至腔室主体112。铰接组件(未示出)可用于将盖沿178耦接至腔室主体112。盖沿178可包括嵌入式通路或通道179以用于容纳热传递介质。取决于工艺要求,热传递介质可用于加热、冷却或两者。
在一个或更多个实施例中,第二电极或顶板145可包括形成在等离子体空腔150下方的多个气道或孔165,以允许气体从等离子体空腔150流经第二电极或顶板。分配板170基本上为圆盘形,并且也包括多个孔172或通路以分配流经所述分配板170的气流。孔172可定尺寸并围绕分配板170定位以将受控且均匀的流分配提供至腔室主体112,待处理的基板位于所述腔室主体112中。此外,孔172通过减缓流动气体的速度分布以及重新引导此速度分布并均匀地分配气流以提供跨基板表面的均匀的气体分配来防止气体直接碰撞基板表面。
在一个或更多个实施例中,分配板170包括一个或更多个嵌入式通路或通道174以用于容纳用于提供对盖组件140的温度控制的加热器或加热流体。可在通道174内插入电阻式加热元件(未示出)以加热分配板170。热电偶可连接至分配板170以调节所述分配板170的温度。如上所述,热电偶可用于反馈回路中以控制施加于加热元件的电流。
或者,可使热传递介质通过通道174。一个或更多个通道174可包含冷却介质(如果需要),以取决于腔室主体112内的工艺要求来更佳地控制分配板170的温度。例如,可使用任何适用的热传递介质,诸如,氮、水、乙二醇,或上述各者的混合物。
在一个或更多个实施例中,可使用一个或更多个加热灯(未示出)来加热盖组件140。通常情况下,加热灯围绕分配板170的上表面而布置以通过辐射来加热盖组件140的部件(包括分配板170)。
阻隔板175(如果存在)可任选地设置在第二电极145与分配板170之间。阻隔板175可移除地安装至第二电极145的下表面。阻隔板175可与第二电极145良好地热接触和电接触。在一个或更多个实施例中,可使用螺钉或类似的紧固件将阻隔板175耦接至第二电极145。阻隔板175也可经螺纹紧固或螺接至第二电极145的外径上。
阻隔板175包括多个孔176以提供从第二电极145至分配板170的多个气道。孔176可经定尺并围绕阻隔板175定位以将受控且均匀的气流分配提供至分配板170。
支撑组件180可包括支座构件185以支撑用于在腔室主体112内进行处理的基板(此视图中未示出)。支座构件185可通过轴187而耦接至升举机构183,所述轴187延伸穿过在腔室主体112的底表面中形成的位于中心的开口114。可通过波纹管188来将升举机构183柔性地密封至腔室主体112,所述波纹管188防止轴187周围的真空泄露。升举机构183允许在腔室主体112内在工艺位置与下移送位置之间竖直地移动支座构件185。移送位置略低于形成在腔室主体112的侧壁中的流量阀开口114。
在一个或更多个实施例中,支座构件185具有平坦的圆形表面或基本上平坦的圆形表面,以用于支撑在所述表面上待处理的基板。支座构件185可由铝构造。支座构件185可包括可移除顶板190以减少基板的背侧污染,所述可移除顶板190由某种其他材料(诸如,硅或陶瓷材料)制成。
在一个或更多个实施例中,可使用真空卡盘来将基板(未示出)紧固至支座构件185。在一个或更多个实施例中,可使用静电卡盘来将基板(未示出)紧固至支座构件185。静电卡盘至少通常包括围绕电极181的电介质材料,所述电极181可位于支座构件185中或形成为支座构件185的一体式部分。卡盘的电介质部分使卡盘电极与基板以及与支撑组件180的其余部分电绝缘。
在一个实施例中,电极181耦接至多个RF功率偏置源184、186。这些RF偏置电源184、186耦接在设置在支座构件185中的电极181之间。RF偏置功率激励并维持由设置在腔室主体的处理区域141中的气体形成的等离子体放电。
在图1中描绘的实施例中,双重式RF偏置电源184、186通过匹配电路189而耦接至设置在支座构件185中的电极181。由RF偏置电源184、186生成的信号通过匹配电路189而递送至支座构件185,通过单次馈电以使等离子体处理腔室100中提供的气体混合物电离,从而提供执行沉积或其他等离子体增强型工艺所需的离子能。RF偏置电源184、186一般能够生成频率从约50kHz至约200MHz并且功率在约0瓦特与约5000瓦特之间的RF信号。可将附加的偏置电源耦接至电极181以根据需要来控制等离子体的特性。
支座构件185可包括钻孔192,所述钻孔192穿过所述支座构件185而形成的钻孔192以容纳升举销193,图1中示出升举销中的一个。每一个升举销193由陶瓷或含陶瓷的材料构造,并且用于基板搬运和运输。当与设置在腔室主体112内的环形升举环195接合时,升举销193可在其相应的钻孔192内是可移动的。升举环195是可移动的,使得当升举环195在上部位置时,可在支座构件185的基板支撑表面上方延伸升举销193的上表面。相反,当升举环195在下部位置时,升举销193的上表面位于支座构件185的基板支撑表面下方。由此,当升举环195从下部位置移动至上部位置或从上部位置移动至下部位置时,在支座构件185中每一个升举销193的相应的钻孔192中移动每一个升举销193。
支撑组件180可进一步包括围绕支座构件185而设置的边缘(edge)环196。在一个或更多个实施例中,边缘环196是环形构件,所述环形构件经调适以覆盖支座构件185的外周并保护支座构件185免受沉积。边缘环196可定位在支座构件185上或邻接支座构件185而定位以在支座构件185的外径与边缘环196的内径之间形成环形净化气体通路。环形净化气体通路可与穿过支座构件185与轴187而形成的净化气体导管197流体地连通。净化气体导管197与净化气体供应器(未示出)流体地连通以将净化气体提供至净化气体通路。可单独地或组合地使用诸如氮、氩或氦之类的任何适合的净化气体。在操作中,净化气体流经导管197而进入净化气体通路,并且围绕设置在支座构件185上的基板的边缘。由此,与边缘环196协作的净化气体防止在基板的边缘和/或背侧处的沉积。
可通过循环流过流体通路198的流体来控制支撑组件180的温度,所述流体通路198嵌入在支座构件185的主体中。在一个或更多个实施例中,流体通路198与热传递导管199流体地连通,所述热传递导管199穿过支撑组件180的轴187而设置。流体通路198围绕支座构件185而定位以将均匀的热传递提供至支座构件185的基板接收表面。流体通路198和热传递导管199可使热传递流体流动以加热或冷却支座构件185。可使用任何适用的热传递流体,诸如,水、氮、乙二醇,或上述各者的混合物。支撑组件180可进一步包括嵌入式热电偶(未示出)以用于监测支座构件185的支座表面的温度。例如,来自热电偶的信号可用于反馈回路以控制循环通过流体通路198的流体的温度或流率。
可在腔室主体112内竖直地移动支座构件185,使得可控制支座构件185与盖组件140之间的距离。传感器(未示出)可提供关于腔室100内的支座构件185的位置的信息。
在操作中,可将支撑构件185升高到紧邻盖组件140以控制正在被处理的基板的温度。由此,可通过从分配板170发射出的辐射来加热基板。或者,可使用由升举环195启动的升举销193来将基板举离支座构件185以紧邻经加热的盖组件140。
系统控制器(未示出)可用于调节处理腔室100的操作。系统控制器可在存储在计算机的存储器上的计算机程序的控制下操作。计算机程序可包括指令,所述指令使得能够在处理腔室100中执行下文所述的预清洁工艺。例如,计算机程序可命令特定工艺的工艺定序和时序、气体混合物、腔室压力、RF功率等级、基座定位、流量阀开启和关闭、晶片冷却和其他参数。
图2是说明性多腔室处理系统200的示意性俯视图,所述说明性多腔室处理系统200可经调适以执行如本文中所公开的工艺,处理腔室100耦接至所述说明性多腔室处理系统200。系统200可包括一个或更多个负载锁定腔室202、204以用于将基板移进和移出系统200。通常情况下,由于系统200处于真空下,因此负载锁定腔室202、204可对被引入到系统200中的基板“抽真空”。第一机器人210可在负载锁定腔室202、204与第一组一个或更多个基板处理腔室212、214、216、100(示出四个)之间移送基板。每一个处理腔室212、214、216、100经配置以执行基板处理操作中的至少一种,所述基板处理操作诸如,蚀刻工艺、原子层蚀刻(ALE)、循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、脱气、定向以及其他基板工艺。用于执行蚀刻工艺的处理腔室100相对于其他腔室212、214、216的位置是说明性的,并且如果需要,则处理腔室100的位置可任选地与处理腔室212、214、216中的任一者互换。
第一机器人210也可将基板移送至/移送出一个或更多个移送腔室222、224。移送腔室222、224可用于在允许在系统200内移送基板时维持超高真空条件。第二机器人230可在移送腔室222、224与第二组一个或更多个处理腔室232、234、236、238之间移送基板。与处理腔室212、214、216、100类似,处理腔室232、234、236、238可经装备以执行各种基板处理操作,包括本文所述的干法蚀刻工艺以及任何其他适合的工艺,例如包括,沉积、预清洁、脱气以及定向。如果基板处理腔室212、214、216、100、232、234、236、238中的任何一个对于将由系统200执行的特定的工艺不是必要的,则可将基板处理腔室212、214、216、100、232、234、236、238中的任何一个从系统200中移除。
图3示出用于执行蚀刻工艺以便以高选择性和优良的轮廓控制来对设置在基板上的蚀刻停止层进行蚀刻的方法300。图3中描述的方法300对应于图4A-4D中描绘的制造阶段的序列,这些制造阶段的序列在下文中进行论述。图4A-4D示出由方法300示出对设置在膜叠层450中的蚀刻停止层404进行蚀刻的不同阶段期间,具有膜叠层450形成在基板402上的所述基板402的示意性横截面图。
方法300在框302处开始:将基板(诸如,图4A中描绘的基板402)移送至处理腔室(诸如,图1中描绘的处理腔室100或其他适当的处理腔室)内。基板402可具有基本上平坦的表面、不均匀的表面,或具有形成在其上的结构的基本上平坦的表面。图4A中所示的基板402包括在基板402上形成的膜叠层450。在一个实施例中,基板402可以是诸如晶态硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或非掺杂的多晶硅、绝缘体(SOI)上的掺杂或非掺杂的硅晶片以及图案化或非图案化的晶片硅、掺碳氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石之类的材料。基板402可具有各种尺寸,诸如,200mm、300mm或450mm直径的晶片,以及矩形或正方形面板。除非另作说明,否则本文所述的实施例和示例在直径为300mm或450mm的基板上执行。
在一个实施例中,膜叠层450包括设置在基板402上的蚀刻停止层404上的材料层406。在一个实施例中,材料层406和蚀刻停止层404可用于在前端和后端工艺中形成栅极结构、浅沟槽隔离(STI)结构、接触结构或互连结构。在一个实施例中,可对蚀刻停止层404执行方法300以在材料层406中以及在材料层中的蚀刻停止层404中形成接触结构。在一个实施例中,蚀刻停止层404可以是硅电介质层,诸如,氮化硅层(SiN)和氮氧化硅层(SiON)。在蚀刻停止层404(例如,氮化硅层)用于接触结构中的实施例中,基板可包括s个触接触金属硅化物,这些接触金属硅化物在基板中且直接在蚀刻停止层404下方形成,以便在蚀刻停止层404被去除和蚀刻掉之后被暴露。接触金属硅化物的适合示例可包括钨、硅化钨、Ti/Co合金硅化物、Ti/Ni合金硅化物、Co/Ni合金硅化无以及Ni/Pt硅化物。
材料层406可以是选自由以下各项组成的组的电介质层:氧化物层、氮化物层、氮化钛层、氧化物与氮化物层的合成物、夹杂氮化物层的至少两个或多于两个的氧化物层以及上述各项的组合,等等。电介质层的其他适合材料包括未掺杂的硅玻璃(USG)(诸如,氧化硅或TEOS)、硼硅酸盐玻璃(BSG)、磷硅酸盐玻璃(PSG)、硼磷硅酸盐玻璃(BPSG)以及上述各项的组合。在本文所描绘的一示例性实施例中,材料层406是未掺杂的硅玻璃(USG)层。在一个实施例中,电介质材料层406具有约至约之间的厚度,诸如,约 至约之间,例如,约
经图案化的掩模层411设置在材料层406上。经图案化掩模层411具有开口特征412,所述开口特征使蚀刻停止层404的表面的多个部分413暴露以进行蚀刻。在一个实施例中,掩模层411可以是硬掩模层、光阻剂掩模,或这两者的组合。掩模层411中的开口特征412用作蚀刻掩模,以在蚀刻停止层404中以所需深宽比来形成开口特征414。本文所述的开口特征414可包括沟槽、通孔、开口,等等。在掩模层411是硬掩模层的一个实施例中,掩模层411可以是选自由以下各项组成的组的材料:硅、氧化硅、氮化硅、氮氧化硅、碳化硅、非晶碳以及上述各项的组合。在掩模层411是经图案化的光阻层的(诸如,经光刻式图案化的掩模)的实施例中,光阻层可以是正型光阻剂、负型光阻剂、UV光刻光阻剂、i-line光阻剂、电子射束抗蚀剂(例如,经化学式放大的抗蚀剂(CAR))或其他适合的光阻剂。在图4A中所描绘的示例性实施例中,经图案化掩模层411是设置在硬掩模层408上的光阻层410的组合,并且硬掩模层408是氮化硅层或氧化硅层。
在框304处,执行处理工艺以处理蚀刻停止层404的表面的被暴露部分413,从而改变表面性质以促进在后续的化学蚀刻工艺中去除蚀刻停止层404。在框304中执行的处理工艺包括以下步骤:将处理气体混合物供应至腔室100中。随后,从处理气体混合物中形成等离子体以对蚀刻停止层404的表面的被暴露部分413进行等离子体处理来将蚀刻停止层404活化至激发态,从而形成经处理的蚀刻停止层426,如图4B中所示,所述蚀刻停止层426随后可容易地与后续被供应至处理腔室100中的化学蚀刻气体反应,从而形成可易于从处理腔室100中泵送出的挥发性气体副产物。
在一个实施例中,处理气体混合物包括含氢气体、含氮气体或惰性气体中的至少一种。人们相信,在处理气体混合物中供应的含氢气体、含氮气体或惰性气体可辅助增加由处理气体混合物形成的等离子体中的离子的使用寿命。离子的增加的使用寿命可辅助与基板402上的蚀刻停止层426更彻底地反应并且活化所述蚀刻停止层426,进而在后续的化学蚀刻工艺期间增强将经活化的蚀刻停止层426从基板402的去除。在含氮气体用于处理气体混合物中的实施例中,来自含氮气体的氮原子可与材料层406中和/或蚀刻停止层404中的氧原子反应以形成挥发性状态的氮氧(NO或NO*)化合物,所述氮氧化合物可容易地从基板表面去除,并从处理腔室中被泵送出。在含氢气体用于处理气体混合物中的实施例中,来自含氢气体的氢原子可与蚀刻停止层404中所含的硅原子反应,从而在经处理的材料层426的经处理的表面(例如,被暴露的部分413)上形成Si-H键或Si-OH键的弱键和悬空键。具有Si-H或Si-OH键末端的经处理的蚀刻停止层426可容易地由后续供应至处理腔室100的其他蚀刻剂吸收,从而辅助容易地将经处理的蚀刻停止层426从基板表面去除。类似地,在利用诸如He或Ar之类的惰性气体的实施例中,惰性气体可处理并温和地轰击蚀刻停止层404将此蚀刻停止层404活化至激发态,从而辅助与供应至处理腔室100的蚀刻剂的反应。
在一个实施例中,供应至处理腔室100中的含氢气体包括H2、H2O等中的至少一种。供应至处理腔室100中的含氮气体至少包括N2、N2O、NO2、NH3,及类似物。供应至处理腔室100中的惰性气体包括Ar、He、Kr等。在示例性实施例中,在处理腔室100中供应以执行处理工艺的含氢气体是氢气,在处理腔室100中供应以执行处理工艺的含氮气体是氮气,并且惰性气体是He或Ar。
在等离子体处理工艺期间,若干工艺参数可经调节以控制预处理工艺。在一个示例性实施例中,处理腔室100中的工艺压力调节为在约10毫托至约5000毫托之间,诸如,约10毫托与约200毫托之间。可施加在约13MHz频率下的低RF偏置功率以将等离子体维持在处理气体混合物中。例如,可施加小于约200瓦特(诸如,在约20瓦特与约200瓦特之间)的RF偏置功率以将等离子体维持在处理腔室100内部。能使处理气体混合物以约200sccm至约800sccm之间的速率流入腔室。基板温度维持在约25℃至约300℃之间,诸如,约50℃与约110℃之间。
在一个实施例中,取决于操作温度、压力和气体流率,基板经受处理工艺达约5秒至约5分钟之间。例如,基板可暴露于预处理工艺达约30秒至约90秒。在一个示例性实施例中,基板暴露于处理工艺达约90秒或更短的时长。
在框306处,执行化学蚀刻工艺缓慢地将经处理的蚀刻停止层426从基板402去除,如图4C中所示。通过以下步骤来执行化学蚀刻工艺:通过等离子体空腔150而将化学蚀刻气体混合物供应至处理腔室100中以在等离子体空腔150中由化学蚀刻气体混合物形成远程等离子体来源,以便蚀刻经处理的蚀刻停止层426。由于经处理的蚀刻停止层426已被处理为在表面上具有带有Si-H或Si-OH键末端的弱键和悬空键,因此来自化学蚀刻气体混合物的剧烈的蚀刻剂可易于侵蚀带有Si-H或Si-OH键末端的弱键和悬空键,并且在化学蚀刻工艺期间高效地将经处理的蚀刻停止层426从基板402去除。
在一个实施例中,用于去除经处理的蚀刻停止层426的化学蚀刻气体混合物是氨气(NH3)与三氟化氮(NF3)气体的混合物。被引入处理腔室的每一种气体的量可变化并经调节以适应例如以下各项:待去除的蚀刻停止层426的厚度、正在被清洁的基板的几何形状、等离子体的体积容量、腔室主体的体积容量以及耦接至腔室主体的真空系统的容量。
在一个或更多个实施例中,被添加以提供化学蚀刻气体混合物的气体具有至少1:1的氨气(NH3)比三氟化氮(NF3)摩尔比。在一个或更多个实施例中,化学蚀刻气体混合物的摩尔比为至少约3:1(氨气比三氟化氮)。以从约3:1(氨气比三氟化氮)至约30:1(诸如,约5:1)的摩尔比在腔室100中引入这些气体。应注意,氨气(NH3)与三氟化氮(NF3)之间的比率也可经调节以改善蚀刻选择性,所述蚀刻选择性包括经处理的蚀刻停止层426与邻接的材料层406之间的选择性(例如,氮化硅层对氧化硅层的选择性)以及经处理的蚀刻停止层426与位于下方的基板402之间的选择性(例如,氮化硅层对基板中的材料的选择性,所述材料诸如,硅材料、导电性材料或金属硅化物层,等等)。
由于蚀刻停止层426与设置在其上方的材料层406相比常具有相对薄的厚度,因此在框306处的化学蚀刻工艺期间在等离子体空腔150中远程地生成的等离子体可使蚀刻剂分解以形成相对适度且温和的蚀刻剂,从而缓慢地、温和地且逐渐地蚀刻经处理的蚀刻停止层426,直到位于下方的基板402被暴露为止。与常规的的原位的(in-situ)等离子体蚀刻工艺相比,在框306处经执行以去除经处理的蚀刻停止层426的化学蚀刻工艺可经控制以利用远程等离子体源、以慢速率(诸如,在约/秒与约/秒之间)继续进行,从而提供对界面蚀刻的优良控制,进而在不损害邻接的材料层406的情况下允许为正在从基板402被去除的经处理的蚀刻停止层426提供精确的蚀刻端点。化学蚀刻工艺经配置以使经处理的蚀刻停止层426发生化学反应,并且在不过度剧烈且物理地轰击、溅射,或偏置基板表面的情况下将经处理的蚀刻停止层426从基板402去除,从而提供温和的蚀刻工艺,所述温和的工艺缓慢地去除经处理的蚀刻停止层426。化学蚀刻工艺的每一个循环可仅蚀刻掉约经处理的蚀刻停止层426,从而以优良的轮廓控制来缓慢地去除经处理的蚀刻停止层426,进而减少对基板的过度蚀刻、轮廓变形,或失去CD控制的可能性。
此外,人们相信,诸如NH3和NF3之类的蚀刻剂可与经处理的蚀刻停止层426剧烈地反应,而与从材料层406和/或掩模层411中释放的氧原子/氧自由基温和地反应。从蚀刻气体混合物中离解的氮元素可迅速地与从材料层406中释放的氧元素反应,所述材料层406要么在框304处的处理工艺期间被离解,要么在框306处的化学蚀刻工艺期间被离解。随后,使氮元素和氧元素反应以形成氮氧(NO或NO*)化合物,从而保护材料层406中的开口特征414的侧壁,并且有助于高效地蚀刻氮化硅层。由于材料层406的侧壁受保护,因此此侧壁有助于将蚀刻剂约束为具有所需的方向性以各向异性地蚀刻经处理的蚀刻停止层426,从而增强蚀刻效率和轮廓控制。此外,在蚀刻工艺期间生成的氮氧(NO或NO*)化合物也可处于挥发性状态,此状态下的混合物可蚀刻工艺之后容易地从基板表面去除并且从处理腔室中被泵送出。
在框306处,在化学蚀刻工艺期间,若干工艺参数可经调节以控制化学蚀刻工艺。在一个示例性实施例中,处理腔室100中的工艺压力调节为在约10毫托与约5000毫托之间,诸如,约800毫托与约5托之间,例如,约1500毫托。以约60MHz的频率提供RF源功率以将等离子体维持在化学蚀刻气体混合物中。例如,约20瓦特至约800瓦特(诸如,约400瓦特)的RF源功率可以是化学蚀刻气体混合物,能以约15sccm至约200sccm之间的速率使所述化学蚀刻气体混合物流入腔室。在一个示例中,能约5sccm与约300sccm之间的速率在蚀刻气体混合物中供应NH3气体,所述速率诸如,在约20sccm与约300sccm之间,诸如,约100sccm。能以约5sccm与约300sccm之间的速率在蚀刻气体混合物中供应NF3气体,所述速率诸如,在约5sccm与约50sccm之间,诸如,约20sccm。在一个实施例中,也可在蚀刻气体混合物中供应诸如Ar或He之类的惰性气体。在一个实施例中,在蚀刻气体混合物中使用并供应约200sccm与约1000sccm(诸如,约600sccm)之间的He气。基板温度维持在约25℃至约300℃之间,诸如,约50℃与约150℃之间,例如,约110℃。在一个实施例中,在化学蚀刻工艺期间,没有偏置功率被提供以减少离子轰击。
在图4C中所描绘的实施例中,在化学蚀刻的第一循环之后,经处理的材料层426具有约与约之间的从基板402中被去除的厚度420。
在框308处,可执行任选的过渡工艺以将蚀刻残余物从基板表面去除。包括净化气体或载气的过渡气体混合物可供应至处理腔室以辅助将蚀刻残余物从处理腔室泵送掉或净化掉。适合的净化气体/载气包括氩、氦、氢、氮,或上述各项的混合物。腔室内的操作压力可变化。可在泵送/净化工艺期间消除对RF源或RF偏置功率的施加,例如,在过渡过程期间,在不施加RF功率的情况下来执行过渡工艺。净化气体/载气可用于促进将蚀刻残余物从处理腔室中泵送掉/净化掉。在一个实施例中,基板经受过渡工艺达约5秒至约5分钟。例如,基板可暴露于过渡工艺达约5秒至约10秒。在示例性实施例中,基板暴露于过渡工艺达约5秒。
应注意,可重复地(即,循环地)执行框304、306和308(如图3中的回路310所指示),直到由限定在掩模层411与材料层406之间的特征414暴露的蚀刻停止层404被去除为止(如图4D所示),从而使位于下方的基板402的表面436暴露。在一个实施例中,蚀刻停止层404可具有在约5纳米与约500纳米之间的厚度,诸如,在约10纳米与约35纳米之间。经重复的工艺可在不过于剧烈地侵蚀材料层406的情况下循环地且递增地蚀刻经处理的蚀刻停止层426,从而提供优良的界面蚀刻控制以及适当的蚀刻停止端点。通过利用重复处理的递增式蚀刻、化学蚀刻工艺和/或任选的过渡工艺改善特征的垂直性并促进材料层406、蚀刻停止层404与位于下方的基板402之间的蚀刻选择性,从而增强掩模将特征关键尺寸(CD)从掩模层411和材料层406传递至蚀刻停止层404的准确度。在一个实施例中,形成在掩模层411中的特征可具有小于40纳米的CD以及大于7(诸如,在约5与约10之间)的深宽比。
由此,提供了用于以高选择性和优良的轮廓控制循环地对蚀刻停止层进行蚀刻的方法和设备。所述方法可允许具有优良的界面控制的适当的蚀刻停止端点,同时提供可接受范围的整体蚀刻产量。利用循环多步骤蚀刻工艺(例如,处理工艺、化学清洁工艺以及任选的过渡工艺)的蚀刻工艺在不剧烈地侵蚀材料层406的情况下将特征蚀刻到蚀刻停止层中。循环多步骤蚀刻工艺能以高选择性以及优良的轮廓控制,在不使整体工艺时间显著降级的情况下高效地对蚀刻停止层进行蚀刻。
尽管前述内容针对本发明的实施例,但是可设计本发明的其他和进一步实施例而不背离本发明的基本范围,并且本发明的范围由所附权利要求书来确定。

Claims (13)

1.一种用于对蚀刻停止层进行蚀刻的方法,所述方法包括以下步骤:
(a)通过将处理气体混合物供应至处理腔室中以处理氮化硅层来对基板执行处理工艺,所述基板具有设置在所述基板上的所述氮化硅层;
(b)通过将化学蚀刻气体混合物供应至所述处理腔室中以对所述基板执行化学蚀刻工艺,其中所述化学蚀刻气体混合物至少包括氨气和三氟化氮,其中所述化学蚀刻工艺蚀刻所述经处理的氮化硅层;以及
重复地执行步骤(a)-步骤(b)以蚀刻所述氮化硅层,直到位于下方的基板被暴露为止。
2.如权利要求1所述的方法,所述方法进一步包括以下步骤:
(c)在执行步骤(b)之后通过将过渡气体混合物供应至所述处理腔室中来对所述经蚀刻的基板执行过渡工艺。
3.如权利要求2所述的方法,其中所述过渡气体混合物至少包括含氢气体、含氮气体或惰性气体。
4.如权利要求2所述的方法,所述方法进一步包括以下步骤:
重复地执行步骤(a)-步骤(c)以蚀刻所述氮化硅层,直到位于下方的基板被暴露为止。
5.如权利要求1所述的方法,其中所述处理气体混合物至少包括含氢气体、含氮气体或惰性气体。
6.如权利要求1所述的方法,其中对所述基板执行所述处理工艺的步骤进一步包括以下步骤:
将RF偏置功率施加至所述处理气体混合物。
7.如权利要求1所述的方法,其中对所述基板执行所述化学蚀刻工艺的步骤进一步包括以下步骤:
将RF源功率施加至位于所述处理腔室远程的所述化学蚀刻气体混合物。
8.如权利要求2所述的方法,其中执行所述过渡工艺的步骤进一步包括以下步骤:
在不施加RF功率的情况下,供应所述过渡气体混合物以去除蚀刻残余物。
9.如权利要求1所述的方法,其中对所述基板执行所述化学蚀刻工艺的步骤进一步包括以下步骤:
在所述化学蚀刻气体混合物中以从5:1起的摩尔比来供应所述氨气和所述三氟化氮。
10.如权利要求1所述的方法,所述方法进一步包括以下步骤:
将基板温度维持在50℃与150℃之间。
11.如权利要求1所述的方法,其中所述氮化硅层是用于半导体器件中的接触结构中的蚀刻停止层。
12.一种用于对蚀刻停止层进行蚀刻的方法,所述方法包括以下步骤:
(a)在处理腔室中移送基板,所述基板具有设置在所述基板上的氮化硅层,其中设置在所述氮化硅层上的经图案化的氧化硅层以及经图案化的掩模层使所述氮化硅层的部分暴露;
(b)供应处理气体混合物以处理所述氮化硅层的所述被暴露部分,其中所述处理气体混合物包括惰性气体;
(c)将化学蚀刻气体混合物供应至所述处理腔室中,其中所述化学蚀刻气体混合物至少包括氨气和三氟化氮以蚀刻所述经处理的氮化硅层;以及
重复地执行步骤(b)和(c),直到所述氮化硅层的所述被暴露部分从所述基板上被去除为止。
13.如权利要求12所述的方法,所述方法进一步包括以下步骤:
(d)在执行步骤(c)之后将过渡气体混合物供应至所述处理腔室,其中所述过渡气体混合物包括惰性气体。
CN201480051104.3A 2013-09-17 2014-07-29 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法 Expired - Fee Related CN105556643B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/029,769 US8980758B1 (en) 2013-09-17 2013-09-17 Methods for etching an etching stop layer utilizing a cyclical etching process
US14/029,769 2013-09-17
PCT/US2014/048550 WO2015041747A1 (en) 2013-09-17 2014-07-29 Methods for etching an etching stop layer utilizing a cyclical etching process

Publications (2)

Publication Number Publication Date
CN105556643A CN105556643A (zh) 2016-05-04
CN105556643B true CN105556643B (zh) 2018-07-27

Family

ID=52632217

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480051104.3A Expired - Fee Related CN105556643B (zh) 2013-09-17 2014-07-29 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法

Country Status (6)

Country Link
US (1) US8980758B1 (zh)
JP (1) JP6440716B2 (zh)
KR (1) KR102283949B1 (zh)
CN (1) CN105556643B (zh)
TW (1) TWI631616B (zh)
WO (1) WO2015041747A1 (zh)

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US20140199854A1 (en) * 2013-01-16 2014-07-17 United Microelectronics Corp. Method of forming film on different surfaces
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) * 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR20180102203A (ko) * 2016-02-05 2018-09-14 어플라이드 머티어리얼스, 인코포레이티드 복수 유형의 챔버들을 갖는 통합형 층 식각 시스템
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10312102B2 (en) 2016-08-29 2019-06-04 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9923083B1 (en) 2016-09-09 2018-03-20 International Business Machines Corporation Embedded endpoint fin reveal
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
JP6836953B2 (ja) * 2016-12-13 2021-03-03 東京エレクトロン株式会社 窒化シリコンから形成された第1領域を酸化シリコンから形成された第2領域に対して選択的にエッチングする方法
US10319613B2 (en) * 2016-12-13 2019-06-11 Tokyo Electron Limited Method of selectively etching first region made of silicon nitride against second region made of silicon oxide
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR102537742B1 (ko) 2017-02-23 2023-05-26 도쿄엘렉트론가부시키가이샤 자가 정렬 블록 구조물들의 제조를 위한 실리콘 질화물 맨드렐의 이방성 추출 방법
US10431470B2 (en) 2017-02-23 2019-10-01 Tokyo Electron Limited Method of quasi-atomic layer etching of silicon nitride
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107731678B (zh) * 2017-08-24 2020-04-14 长江存储科技有限责任公司 三维存储器的制作方法
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
JP6960351B2 (ja) * 2018-02-19 2021-11-05 東京エレクトロン株式会社 処理方法
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7115783B2 (ja) * 2020-03-30 2022-08-09 ピーエスケー インコーポレイテッド 基板処理装置
TW202209480A (zh) * 2020-08-17 2022-03-01 日商東京威力科創股份有限公司 蝕刻方法及蝕刻裝置
CN117650047B (zh) * 2024-01-26 2024-05-17 北京北方华创微电子装备有限公司 形成半导体结构的方法、等离子体发生装置及半导体工艺设备

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW359008B (en) * 1997-12-20 1999-05-21 United Microelectronics Corp Double metal embedding
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
KR100442167B1 (ko) 2001-12-26 2004-07-30 주성엔지니어링(주) 자연산화막 제거방법
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
JP2006108629A (ja) * 2004-09-10 2006-04-20 Toshiba Corp 半導体装置の製造方法
US7271108B2 (en) * 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
JP4827081B2 (ja) 2005-12-28 2011-11-30 東京エレクトロン株式会社 プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US8252696B2 (en) * 2007-10-22 2012-08-28 Applied Materials, Inc. Selective etching of silicon nitride
US7871926B2 (en) * 2007-10-22 2011-01-18 Applied Materials, Inc. Methods and systems for forming at least one dielectric layer
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US20110061812A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP4627335B2 (ja) * 2009-10-28 2011-02-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP5646190B2 (ja) * 2010-03-12 2014-12-24 東京エレクトロン株式会社 洗浄方法及び処理装置
JP5703590B2 (ja) * 2010-05-10 2015-04-22 富士通セミコンダクター株式会社 半導体装置の製造方法
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
KR20110086540A (ko) 2011-07-12 2011-07-28 조인숙 불소화합물을 이용한 필름의 선택적인 식각 방법
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films

Also Published As

Publication number Publication date
CN105556643A (zh) 2016-05-04
TWI631616B (zh) 2018-08-01
JP6440716B2 (ja) 2018-12-19
KR20160055877A (ko) 2016-05-18
US8980758B1 (en) 2015-03-17
JP2016532313A (ja) 2016-10-13
US20150079798A1 (en) 2015-03-19
TW201515098A (zh) 2015-04-16
KR102283949B1 (ko) 2021-07-30
WO2015041747A1 (en) 2015-03-26

Similar Documents

Publication Publication Date Title
CN105556643B (zh) 用于利用循环蚀刻工艺对蚀刻停止层进行蚀刻的方法
US9543163B2 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
KR102627546B1 (ko) 이방성 텅스텐 에칭을 위한 방법 및 장치
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
JP6469705B2 (ja) エッチング後のインターフェースを安定化し、次の処理ステップ前のキュータイム問題を最小化する方法
EP3038142A1 (en) Selective nitride etch
TWI645469B (zh) 使用氣體分配板熱之溫度躍升
TW201532143A (zh) 蝕刻雙鑲嵌結構中的介電阻隔層之方法
TWI791492B (zh) 超高選擇性氮化合物蝕刻以形成鰭式場效電晶體裝置
US20150064921A1 (en) Low temperature plasma anneal process for sublimative etch processes
CN107919298B (zh) 气相刻蚀装置及设备
JP6920309B2 (ja) エッチングハードウェアに対する水素プラズマベース洗浄処理
CN111725062A (zh) 膜的蚀刻方法和等离子体处理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20180727