US20230084901A1 - Ultrahigh selective nitride etch to form finfet devices - Google Patents

Ultrahigh selective nitride etch to form finfet devices Download PDF

Info

Publication number
US20230084901A1
US20230084901A1 US17/948,350 US202217948350A US2023084901A1 US 20230084901 A1 US20230084901 A1 US 20230084901A1 US 202217948350 A US202217948350 A US 202217948350A US 2023084901 A1 US2023084901 A1 US 2023084901A1
Authority
US
United States
Prior art keywords
gas
substrate
distribution device
plasma
chamber region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
US17/948,350
Inventor
Kwame Eason
Dengliang Yang
Pilyeon Park
Faisal Yaqoob
Joon Hong Park
Mark Kawaguchi
Ji Zhu
Ivelin Angelov
Hsiao-Eei Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US17/948,350 priority Critical patent/US20230084901A1/en
Publication of US20230084901A1 publication Critical patent/US20230084901A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/002Cooling arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Definitions

  • the present disclosure relates to substrate processing devices, and more particularly to selective etching of silicon nitride.
  • Substrate processing systems may be used to etch film on a substrate such as a semiconductor wafer.
  • the substrate processing systems typically include a processing chamber, a gas distribution device and a substrate support. During processing, the substrate is arranged on the substrate support. Different gas mixtures may be introduced into the processing chamber and radio frequency (RF) plasma may be used to activate chemical reactions.
  • RF radio frequency
  • silicon nitride film needs to be etched with very high selectivity to other exposed film materials.
  • dummy nitride films that are used during integration of FinFET devices need to be selectively etched.
  • Hot phosphoric acid is the main chemistry that is used for etching silicon nitride film in these devices.
  • Hot phosphoric acid has several limitations including meeting selectivity requirements for epitaxial silicon (epi-Si), silicon phosphorous (SiP) and silicon germanium (SiGe) beyond 10 nm node, particle and defect control, pattern collapsing from surface tension, and surface depletion of carbon (C) from silicon oxycarbonitride (SiOCN) film.
  • a substrate processing system for selectively etching a layer on a substrate includes an upper chamber region, an inductive coil arranged around the upper chamber region and a lower chamber region including a substrate support to support a substrate.
  • a gas distribution device is arranged between the upper chamber region and the lower chamber region and includes a plate with a plurality of holes in fluid communication with the upper chamber region and the lower chamber region. A surface to volume ratio of the holes is greater than or equal to 4.
  • An RF generator supplies RF power to the inductive coil.
  • a gas delivery system selectively supplies gas mixtures.
  • a controller is configured to cause the gas delivery system to selectively supply an etch gas mixture to the upper chamber and to strike plasma in the upper chamber to selectively etch a layer of the substrate relative to at least one other exposed layer of the substrate.
  • the gas distribution device includes a cooling plenum that circulates fluid in the gas distribution device to control a temperature of the gas distribution device and a purge gas plenum to direct purge gas through the gas distribution device into the lower chamber.
  • the controller is further configured to transition the plasma to a post etch gas mixture after a predetermined etch period.
  • the post etch gas mixture includes a hydrogen species and an oxide species.
  • the controller is configured to transition the plasma from the etch gas mixture to the post etch gas mixture without extinguishing the plasma.
  • a thickness of the plate is in a range from 5 mm to 25 mm
  • a diameter of the holes is in a range from 1 mm to 5 mm
  • a number of the holes is in a range from 100 to 5000
  • a diameter of the plate is in a range from 6′′ to 20′′.
  • the layer of the substrate includes silicon nitride that is etched selectively relative to the at least one other exposed layer.
  • the at least one other exposed layer is selected from a group consisting of silicon dioxide (SiO 2 ), silicon oxycarbonitride (SiOCN), silicon germanium (SiGe), amorphous silicon (a-Si) and epitaxial-silicon (epi-Si).
  • the etch gas mixture includes gases promoting nitride etch and passivation of at least one of silicon (Si), silicon germanium (SiGe) and oxide.
  • the gases promoting nitride etch include one or more gases selected from a group consisting of nitrogen trifluoride (NF 3 ), difluoromethane (CH 2 F 2 ), tetrafluoromethane (CF 4 ), fluoromethane (CH 3 F), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O 2 /N 2 /N 2 O), and combinations thereof.
  • NF 3 nitrogen trifluoride
  • CH 2 F 2 difluoromethane
  • CF 4 tetrafluoromethane
  • fluoromethane CH 3 F
  • a combination of molecular oxygen, molecular nitrogen, and nitrous oxide O 2 /N 2 /N 2 O
  • the gases promoting passivation include one or more gases selected from a group consisting of fluoromethane (CH 3 F), difluoromethane (CH 2 F 2 ), methane (CH 4 ), carbonyl sulfide (COS), hydrogen sulfide (H 2 S), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O 2 /N 2 /N 2 O), and combinations thereof.
  • gases promoting passivation include one or more gases selected from a group consisting of fluoromethane (CH 3 F), difluoromethane (CH 2 F 2 ), methane (CH 4 ), carbonyl sulfide (COS), hydrogen sulfide (H 2 S), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O 2 /N 2 /N 2 O), and combinations thereof.
  • a substrate processing system for selectively etching a layer on a substrate includes a substrate processing chamber including an upper chamber region and a lower chamber region including a substrate support to support a substrate.
  • a gas distribution device is arranged between the upper chamber region and the lower chamber region and includes a plurality of holes in fluid communication with the upper chamber region and the lower chamber region. A surface to volume ratio of the holes is greater than or equal to 4.
  • a plasma supply at least one of generates plasma in or supplies plasma to the upper chamber region to selectively etch a layer of the substrate relative to at least one other exposed layer of the substrate.
  • the gas distribution device includes a cooling plenum for circulating fluid in a portion of the gas distribution device to control a temperature of the gas distribution device.
  • the gas distribution device further includes a purge gas plenum to direct purge gas from the gas distribution device in a direction into the lower chamber towards the substrate support.
  • the gas distribution device includes a cooling plenum to circulate fluid to control a temperature of the gas distribution device; and a purge gas plenum to direct purge gas from the gas distribution device into the lower chamber.
  • a thickness of the gas distribution device is in a range from 5 mm to 25 mm and a diameter of the holes is in a range from 1 mm to 5 mm.
  • a number of the holes is in a range from 100 to 5000 and a diameter of the gas distribution device is in a range from 6′′ to 20′′.
  • the layer of the substrate includes silicon nitride that is etched selectively relative to the at least one other exposed layer.
  • the at least one other exposed layer is selected from a group consisting of silicon dioxide (SiO 2 ), silicon oxycarbonitride (SiOCN), silicon germanium (SiGe), amorphous silicon (a-Si) and epitaxial-silicon (epi-Si).
  • the plasma supply generates the plasma using a plasma gas mixture including gases promoting nitride etch and promoting passivation of at least one of silicon (Si), silicon germanium (SiGe) and oxide.
  • gases promoting nitride etch include one or more gases selected from a group consisting of nitrogen trifluoride (NF 3 ), difluoromethane (CH 2 F 2 ), tetrafluoromethane (CF 4 ), fluoromethane (CH 3 F), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O 2 /N 2 /N 2 O), and combinations thereof.
  • the gases promoting passivation include one or more gases selected from a group consisting of fluoromethane (CH 3 F), difluoromethane (CH 2 F 2 ), methane (CH 4 ), carbonyl sulfide (COS), hydrogen sulfide (H 2 S), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O 2 /N 2 /N 2 O), and combinations thereof.
  • gases promoting passivation include one or more gases selected from a group consisting of fluoromethane (CH 3 F), difluoromethane (CH 2 F 2 ), methane (CH 4 ), carbonyl sulfide (COS), hydrogen sulfide (H 2 S), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O 2 /N 2 /N 2 O), and combinations thereof.
  • a method for selectively etching a layer on a substrate includes providing a substrate processing chamber including a gas distribution device arranged between an upper chamber region and a lower chamber region.
  • the lower chamber region includes a substrate support to support a substrate.
  • the gas distribution device includes a plate including a plurality of holes in fluid communication with the upper chamber region and the lower chamber region. A surface to volume ratio of the holes is greater than or equal to 4.
  • the method further includes supplying an etch gas mixture to the upper chamber region.
  • the method further includes striking plasma in the upper chamber region to selectively etch a layer of the substrate relative to at least one other exposed layer of the substrate.
  • the method includes supplying fluid to a cooling plenum in the gas distribution device to control a temperature of the gas distribution device and purge gas to a purge gas plenum in the gas distribution device.
  • the purge gas plenum directs the purge gas from the gas distribution device towards the substrate support.
  • the method includes transitioning the plasma to a post etch gas mixture after a predetermined etch period.
  • the post etch gas mixture includes a hydrogen species and an oxide species.
  • the transitioning from the etch gas mixture to the post etch gas mixture is performed without extinguishing the plasma.
  • a thickness of the plate is in a range from 5 mm to 25 mm, a diameter of the holes is in a range from 1 mm to 5 mm, a number of the holes is in a range from 100 to 5000 and a diameter of the plate is in a range from 6′′ to 20′′.
  • the layer of the substrate includes silicon nitride that is etched selectively relative to the at least one other exposed layer.
  • the at least one other exposed layer is selected from a group consisting of silicon dioxide (SiO 2 ), silicon oxycarbonitride (SiOCN), silicon germanium (SiGe), amorphous silicon (a-Si) and epitaxial-silicon (epi-Si).
  • the etch gas mixture includes gases promoting nitride etch and passivation of at least one of silicon (Si), silicon germanium (SiGe) and oxide.
  • the gases promoting nitride etch include one or more gases selected from a group consisting of nitrogen trifluoride (NF 3 ), difluoromethane (CH 2 F 2 ), tetrafluoromethane (CFO, fluoromethane (CH 3 F), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O 2 /N 2 /N 2 O), and combinations thereof.
  • the gases promoting passivation include one or more gases selected from a group consisting of fluoromethane (CH 3 F), difluoromethane (CH 2 F 2 ), methane (CH 4 ), carbonyl sulfide (COS), hydrogen sulfide (H 2 S), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O 2 /N 2 /N 2 O), and combinations thereof.
  • gases promoting passivation include one or more gases selected from a group consisting of fluoromethane (CH 3 F), difluoromethane (CH 2 F 2 ), methane (CH 4 ), carbonyl sulfide (COS), hydrogen sulfide (H 2 S), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O 2 /N 2 /N 2 O), and combinations thereof.
  • FIG. 1 is a perspective view of an example of a FinFET device during selective etching of a silicon nitride film according to the present disclosure
  • FIG. 2 is a functional block diagram of an example of an inductively coupled plasma (ICP) substrate processing chamber for selectively etching silicon nitride film according to the present disclosure
  • ICP inductively coupled plasma
  • FIGS. 3 - 4 are graphs showing peak voltage as a function of ICP power for various different types of plasma and pressures according to the present disclosure
  • FIG. 5 illustrates etch rate as a function of substrate support temperature for the ICP processing chamber according to the present disclosure
  • FIG. 6 illustrates substrates without post etch treatment, with O 2 post etch treatment, and with H:O post etch treatment according to the present disclosure
  • FIG. 7 is a graph illustrating an example of kinetic energy as a function of a fraction of molecules at higher and lower temperatures
  • FIG. 8 illustrates an example of dimensions of a through hole formed in the plate of the gas distribution device
  • FIG. 9 is a side cross-sectional view of an example of a gas distribution device including a single plenum according to the present disclosure.
  • FIG. 10 is a side cross-sectional view of an example of a gas distribution device including dual plenums according to the present disclosure
  • FIG. 11 is mix temperature plot according to the present disclosure.
  • FIG. 12 is a flowchart illustrating an example of a method for selectively etching one layer of a substrate relative to at least one other exposed layer of the substrate.
  • This present disclosure relates to a process that generates radicals to promote nitride etch while selectively passivating films other than silicon nitride.
  • the process is thermodynamically in equilibrium between adsorption of radicals to a surface and desorption of reactants from the surface.
  • the high radical generation results in high SiN removal rate with low across-wafer variation.
  • Radical generation may be modulated by power, pressure, chemistry and/or gas dilution.
  • the radicals are generated in an H-mode plasma state (rather than an E-mode plasma state) to provide high radical dissociation for surface passivation.
  • the process selectively removes silicon nitride relative to other exposed films such as silicon dioxide (SiO 2 ), silicon oxycarbonitride (SiOCN) spacer material, silicon germanium (SiGe), amorphous silicon (a-Si) and epitaxial-silicon (epi-Si).
  • SiO 2 silicon dioxide
  • SiOCN silicon oxycarbonitride
  • SiGe silicon germanium
  • a-Si amorphous silicon
  • epi-Si epitaxial-silicon
  • the process uses an inductively coupled plasma (ICP) source to generate radicals and ions.
  • ICP inductively coupled plasma
  • CCP capacitive coupled plasma
  • the plasma includes ions that physically etch the substrate and radicals that chemically etch the substrate. Improved selectivity can be achieved by reducing or eliminating ions and high energy radicals. While the use of downstream plasma may reduce or eliminate the ions, the high energy radicals may still cause undesirable loss of film. Systems and methods described herein are used to reduce or filter high energy tails of the radicals to decrease the undesired film loss.
  • the gas distribution device can be designed to deliver gas via holes having a predetermined surface to volume (S/V) ratio.
  • a temperature of the gas distribution device can be stabilized and uniformly controlled using a fluid plenum through which fluid (such as liquid or gas) flows.
  • Purge gas can be introduced into a lower chamber below the gas distribution device using another Plenum in the gas distribution device.
  • a gap between the substrate and the gas distribution device can be modulated to improve selectivity.
  • the FinFET device 10 includes an amorphous silicon layer 14 and a silicon dioxide (SiO 2 ) layer 16 .
  • a silicon nitride layer 18 is arranged over a SiO 2 spacer or layer 22 , a low k spacer 24 and a SiN spacer or layer 26 .
  • the FinFET device 10 may also include silicon germanium (SiGe) 28 .
  • SiGe silicon germanium
  • the substrate may also include other film materials such as silicon oxycarbonitride (SiOCN) and/or epi-Si that may be exposed during etching.
  • SiOCN silicon oxycarbonitride
  • epi-Si epi-Si that may be exposed during etching.
  • the substrate processing chamber 100 includes a downstream inductively coupled plasma (ICP) source.
  • ICP inductively coupled plasma
  • CCP capacitively coupled plasma
  • the substrate processing chamber 100 includes a lower chamber region 102 and an upper chamber region 104 .
  • the lower chamber region 102 is defined by chamber sidewall surfaces 108 , a chamber bottom surface 110 and a lower surface of a gas distribution device 114 .
  • the gas distribution device 114 is omitted.
  • the upper chamber region 104 is defined by an upper surface of the gas distribution device 114 and an inner surface of a upper chamber wall 118 (for example a dome-shaped chamber).
  • the upper chamber wall 118 rests on a first annular support 121 .
  • the first annular support 121 includes one or more gas flow channels and/or holes 123 for delivering process gas to the upper chamber region 104 , as will be described further below.
  • the gas flow channels and/or holes 123 may be uniformly spaced around a periphery of the upper chamber region 104 .
  • the process gas is delivered by the one or more gas flow channels and/or holes 123 in an upward direction at an acute angle relative to a plane including the gas distribution device 114 , although other angles/directions may be used.
  • a plenum 134 in the first annular support 121 supplies gas to the one or more spaced gas flow channels and/or holes 123 .
  • the first annular support 121 may rest on a second annular support 125 that defines one or more gas flow channels and/or holes 127 for delivering process gas to the lower chamber region 102 .
  • holes 131 in the gas distribution device 114 align with the gas flow channels and/or holes 127 .
  • the gas distribution device 114 has a smaller diameter and the holes 131 are not needed.
  • the process gas is delivered by the one or more spaced gas flow channels and/or holes 127 in a downward direction towards the substrate at an acute angle relative to the plane including the gas distribution device 114 , although other angles/directions may be used.
  • the upper chamber region 104 is cylindrical with a flat top surface and one or more flat inductive coils may be used.
  • a single chamber may be used with a spacer located between a showerhead and the substrate support.
  • a substrate support 122 is arranged in the lower chamber region 102 .
  • the substrate support 122 includes an electrostatic chuck (ESC), although other types of substrate supports can be used.
  • a substrate 126 is arranged on an upper surface of the substrate support 122 during etching.
  • a temperature of the substrate 126 may be controlled by a heater plate 141 , an optional cooling plate with fluid channels and one or more sensors (not shown); although any other suitable substrate support temperature control system may be used.
  • a temperature controller 143 may be used to control heating and cooling of the substrate support 122 . Heating may be performed by the heater plate 141 and cooling may be performed by the cooling plate with fluid channels 145 .
  • a temperature controller 147 may be used to control a temperature of the gas distribution device 114 by supplying heating/cooling fluid to a plenum in the gas distribution device 114 .
  • the temperature controllers 143 and/or 147 may further include a source of fluid, a pump, control valves and a temperature sensor (all not shown).
  • the gas distribution device 114 includes a showerhead (for example, a plate 128 having a plurality of spaced holes 129 ).
  • the plurality of spaced holes 129 extend from the upper surface of the plate 128 to the lower surface of the plate 128 .
  • the spaced holes 129 have a diameter in a range from 0.4′′ to 0.75′′ and the showerhead is made of a conducting material such as aluminum or a non-conductive material such as ceramic with an embedded electrode made of a conducting material.
  • smaller holes 129 can be used to increase the surface to volume ratio.
  • One or more inductive coils 140 are arranged around an outer portion of the upper chamber wall 118 . When energized, the one or more inductive coils 140 create an electromagnetic field inside of the upper chamber wall 118 . In some examples, an upper coil and a lower coil are used.
  • a gas injector 142 injects one or more gas mixtures from a gas delivery system 150 - 1 into the upper chamber region 104 .
  • a gas delivery system 150 - 1 includes one or more gas sources 152 , one or more valves 154 , one or more mass flow controllers (MFCs) 156 , and a mixing manifold 158 , although other types of gas delivery systems may be used.
  • a gas splitter (not shown) may be used to vary flow rates of a gas mixture.
  • Another gas delivery system 150 - 2 may be used to supply an etch gas, tuning gas, purge gas or other gas mixtures to the gas flow channels and/or holes 123 and/or 127 (in addition to or instead of etch gas from the gas injector 142 ).
  • Suitable gas delivery systems are shown and described in commonly assigned U.S. patent application Ser. No. 14/945,680, entitled “Gas Delivery System” and filed on Dec. 4, 2015, which is hereby incorporated by reference in its entirety.
  • Suitable single or dual gas injectors and other gas injection locations are shown and described in commonly assigned U.S. Provisional Patent Application Ser. No. 62/275,837, entitled “Substrate Processing System with Multiple Injection Points and Dual Injector” and filed on Jan. 7, 2016, which is hereby incorporated by reference in its entirety.
  • the gas injector 142 includes a center injection location that directs gas in a downward direction and one or more side injection locations that inject gas at an angle with respect to the downward direction.
  • the gas delivery system 150 - 1 delivers a first portion of the gas mixture at a first flow rate to the center injection location and a second portion of the gas mixture at a second flow rate to the side injection location(s) of the gas injector 142 .
  • different gas mixtures are delivered by the gas injector 142 .
  • the gas delivery system 150 - 2 delivers tuning gas to the gas flow channels and/or holes 123 and 127 and/or to other locations in the processing chamber as will be described below.
  • the gas delivery system 150 - 2 can also deliver gas to a plenum in the gas distribution device 114 (described below in conjunction with FIG. 11 ).
  • a plasma generator 170 may be used to generate RF power that is output to the one or more inductive coils 140 .
  • Plasma 190 is generated in the upper chamber region 104 .
  • the plasma generator 170 includes an RF generator 172 and a matching network 174 .
  • the matching network 174 matches an impedance of the RF generator 172 to the impedance of the one or more inductive coils 140 .
  • the gas distribution device 114 is connected to a reference potential such as ground.
  • a valve 178 and a pump 180 may be used to control pressure inside of the lower and upper chamber regions 102 , 104 and to evacuate reactants.
  • a controller 176 communicates with the gas delivery systems 150 - 1 and 150 - 2 , the valve 178 , the pump 180 , and/or the plasma generator 170 to control flow of process gas, purge gas, tuning gas, RF plasma and chamber pressure.
  • plasma is sustained inside the upper chamber wall 118 by the one or more inductive coils 140 .
  • One or more gas mixtures are introduced from a top portion of the chamber using the gas injector 142 (and/or gas flow channels and/or holes 123 ) and plasma is confined within the upper chamber wall 118 using the gas distribution device 114 .
  • Confining the plasma in the upper chamber wall 118 allows volume recombination of plasma species and effusing desired etchant species through the gas distribution device 114 .
  • Some amount of ions will diffuse out of the plasma region through the gas distribution device 114 .
  • the amount of plasma that diffuses is an order of magnitude lower than the plasma located inside the upper chamber wall 118 .
  • Most of ions in the plasma are lost by volume recombination at high pressures. Surface recombination loss at the upper surface of the gas distribution device 114 also lowers ion density below the gas distribution device 114 .
  • an RF bias generator 184 is provided and includes an RF generator 186 and a matching network 188 .
  • the RF bias can be used to create plasma between the gas distribution device 114 and the substrate support or to create a self-bias on the substrate 126 to attract ions.
  • the controller 176 may be used to control the RF bias.
  • the plasma gas mixture includes gases promoting nitride etch and Si, SiGe and oxide passivation.
  • Gases for promoting nitride etch include one or more of nitrogen trifluoride (NF 3 ), difluoromethane (CH 2 F 2 ), tetrafluoromethane (CF 4 ), fluoromethane (CH 3 F), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O 2 /N 2 /N 2 O), and combinations thereof.
  • gases for promoting oxide passivation include one or more of methane (CH 4 ), CH 3 F, CH 2 F 2 , carbonyl sulfide (COS), hydrogen sulfide (H 2 S), and/or O 2 /N 2 /N 2 O.
  • gases for promoting silicon and SiGe passivation include one or more of O 2 /N 2 /N 2 O, N 2 O, or O 2 /N 2 .
  • argon (Ar) may be provided in the plasma gas chemistry to provide a carrier gas effect and to increase plasma density.
  • Ar has a low electron affinity.
  • Ar is supplied in a range of 0% to 15% of the plasma gas mixture supplied during etching.
  • a post etch treatment is performed to de-fluorinate final surfaces.
  • transition from etching to post etch treatment is made without extinguishing the plasma.
  • the post etch treatment includes a hydrogen containing species and an oxide containing species.
  • hydrogen sources include, but not limited to: H 2 , NH 3 , CH 4 , C 2 H 4 , C 3 H 6 , C 2 H 6 , etc.
  • oxygen sources include, but not limited to: O 2 , CO 2 , N 2 O, H 2 O, O 3 , etc.
  • the H source is supplied in a range from 25% to 75% and the oxygen source is suppled in a range from 75% to 25%.
  • the post etch treatment is performed for a predetermined period.
  • the etching process described above is highly selective to SiN. Selectivity relative to other film materials that can be obtained includes:
  • SiN/PE Ox ⁇ 100 SiN/spacer low k 10-100 SiN/SiGe 10-100 SiN/Epi Si 10-100
  • the proposed process has several advantages including an all dry removal process that is capable of increasing FinFET scaling to higher aspect ratios and increased density.
  • the process has higher manufacturing throughput and lower defects as compared to existing technology.
  • the plasma transitions from the E-mode plasma state to the H-mode plasma state.
  • a power level where the E to H mode transition occurs is affected by pressure, chemistry (the particular F gas species used), and chemistry of other gases in the mixture (e.g. addition of low electron affinity gases such as Ar).
  • the etching mechanism leaves surface nominally F terminated. In some examples, a post etch treatment is performed as will be described below.
  • the E to H mode transition occurs at about 2200 W of ICP power.
  • FIG. 4 the E to H mode transition for other plasma gas chemistry is shown.
  • Plasma-1 corresponds to a plasma gas mixture of N 2 O, O 2 , N 2 , CO 2 , and NF 3 .
  • NF 3 is a strong electronegative species.
  • Plasma-2 corresponds to a plasma gas mixture of N 2 O, O 2 , N 2 , CO 2 , NF 3 and Ar.
  • Ar has a low electron affinity.
  • Plasma-3 corresponds to a plasma gas mixture of N 2 O, O 2 , N 2 , CO 2 , Ar and CF 4 .
  • CF 4 is a weak electronegative F species.
  • Plasma-4 corresponds to a plasma gas mixture of N 2 O, O 2 , N 2 , CO 2 , and NF 3 at high pressure. As can be seen in FIG. 4 , the transition to H mode varies depending upon the gas chemistry, ICP chamber pressure and ICP power.
  • the mechanism for the silicon nitride etching occurs in three steps: first chemisorption of radicals on the surface, followed by desorption of products from the surface, finally leading to a fluorination step.
  • chemisorption radicals from downstream plasma attach to nitrogen atom of the nitride.
  • desorption the desorption rate is high for the by-product species, essentially serving as an N extraction process.
  • fluorination silicon in the nitride is removed by F reactions.
  • the radicals from the downstream plasma attach to oxide. The desorption rate is very low on oxide. The oxide is protected from F attack by the radicals.
  • FIG. 6 a graph of particle performance is shown for SiN etch with 1) no post etch treatment (PET), 2) treatment with oxygen only PET, and 3) treatment with oxygen and hydrogen PET (1:1 ratio).
  • PET post etch treatment
  • O 2 -based plasma is marginally effective since condensation increased over time as can be seen in FIG. 6 .
  • H 2 /O 2 -based plasma with ratios of 1:1 is effective since condensation was stable over time as can be seen in FIG. 6 .
  • the plasma includes ions that physically etch the substrate and radicals that chemically etch the substrate. Improved selectivity can be achieved by reducing or eliminating ions and high energy radicals. While the use of downstream plasma may reduce or eliminate ions, the high energy radicals may still cause undesirable loss of film. Systems and methods described herein are used to reduce or filter high energy tails (as indicated in FIG. 7 ) of the radicals to decrease the undesired film loss.
  • the gas distribution device 114 can be designed to deliver gas using the plate 128 including the holes 129 having a predetermined surface to volume (S/V) ratio.
  • Hole surface area of one of the holes is defined by 2 ⁇ r h *L, where r h is the radius of the hole and L is the thickness of the gas distribution device.
  • Hole volume for a single hole is defined by ⁇ r h 2 *L. Therefore, the SN ratio is given by:
  • N the number of holes.
  • the SN ratio of the gas distribution device 114 is greater than or equal to 4. In some examples, the SN ratio is greater than or equal to 5, 6, 7, 8, 9, 10, 11 or greater in units of (1/cm). A typical value for the SN ratio for existing gas distribution devices is usually less than two. The higher SN ratio increases an ion flux removal rate and a high thermal energy radical flux removal rate. In other words, the high energy tails are reduced.
  • the thickness of the gas distribution device is in a range from 5 mm to 25 mm.
  • the hole diameter is in a range from 1 mm to 5 mm as compared to a typical hole diameter in a range from 10 mm to 25 mm.
  • the thickness of the plate 128 is in a range from 5 mm to 25 mm.
  • the number of holes is in a range from 100 to 5000.
  • the plate diameter is in a range from 6′′ to 20′′.
  • a temperature of the gas distribution device can be controlled using a gas distribution device including a fluid plenum through which fluid (such as liquid or gas) flows.
  • a fluid inlet 310 receives fluid such as gas or liquid.
  • the fluid inlet 310 is in fluid contact with a first plenum 314 .
  • the fluid flows into the fluid inlet 310 , through the first plenum 314 (around a periphery of the gas distribution device 114 ) and out a fluid outlet 320 .
  • the gas distribution device 114 includes an annular, radially-outer portion 316 in which the first plenum 314 is formed.
  • the annular, radially-outer portion 316 has a greater thickness in an axial direction than a radially inner portion of the gas distribution device 114 . Fluid flowing through the first plenum 314 absorbs heat to cool the gas distribution device 114 or heat from the fluid is absorbed by the gas distribution device 114 to heat the gas distribution device 114 . As a result, the temperature of the gas distribution device 114 is more uniform.
  • the gas distribution device 114 may include a second plenum 350 for delivering purge gas to the lower chamber region 102 .
  • the second plenum 350 extends throughout a surface of the gas distribution device 114 located above the substrate 126 .
  • a gas inlet 354 to the second plenum 350 is connected to a source of purge gas such as the gas delivery system 150 - 2 described above.
  • a plurality of gas holes 360 extend through a lower surface of the gas distribution device 114 to direct gas from the second plenum 350 downwardly towards the substrate 126 .
  • the gas distribution device 114 can be made using two or more plates having facing surfaces that are machined to create one or both plenums and then the plates are connected together using seals, welding or other methods.
  • the purge gas is introduced into the lower chamber region 102 below the gas distribution device 114 .
  • the purge gas is cooler than the plasma gas.
  • the purge gas mixes with downstream plasma gas to reduce overall gas temperature. Depending on the relative flow rates, the purge gas can reduce the temperature of the plasma gas reaching the substrate from 300° C. to less than 100° C.
  • the temperature of T mix can be determined as follows:
  • T m ⁇ i ⁇ x Q m ⁇ a ⁇ i ⁇ n ⁇ T m ⁇ a ⁇ i ⁇ n + Q purge ⁇ T purge Q m ⁇ a ⁇ i ⁇ n + Q purge
  • Q main is the downstream plasma gas flow
  • Q purge is the purge gas flow
  • T main is the downstream plasma gas temperature
  • T purge is the plasma gas temperature
  • FIG. 11 examples of plasma gas flow rates and purge gas flow rates are shown where the plasma gas has a temperature of 300° C. and the purge gas has a temperature of 25° C.
  • a gap g between the substrate 126 and a bottom surface of the gas distribution device 114 can be increased as compared to prior designs.
  • the gap is in a range from 20 mm to 80 mm. In general, increasing the gap tends to reduce high energy species reaching the wafer surface.
  • Using the higher SN ratio in combination with other features described herein can be used to reduce the plasma energy to less than 1 eV.
  • the lower plasma energy achieved using these features compares favorably to higher plasma energy (in the range from 1 eV to 5 eV) for downstream plasma without the features described herein.
  • film loss is significantly reduced from about 10 Angstroms for the downstream plasma without the foregoing features to less than 2 Angstroms (or 1 monolayer) with these features.
  • a method 300 for selectively etching one layer of the substrate relative to one or more other exposed layers of the substrate is shown.
  • a substrate is arranged in a lower chamber region of a substrate processing system.
  • an etch gas mixture is supplied to an upper chamber region.
  • cooling fluid is supplied to a gas distribution device in between the upper chamber region and the lower chamber region.
  • a purge gas is supplied to the gas distribution device.
  • plasma is struck in the upper chamber for a predetermined etch period to selectively etch one layer of the substrate relative to another layer of the substrate.
  • the etch gas mixture is transitioned to a post etch gas mixture for a predetermined post etch period.
  • the plasma is extinguished.
  • Spatial and functional relationships between elements are described using various terms, including “connected,” “engaged,” “coupled,” “adjacent,” “next to,” “on top of,” “above,” “below,” and “disposed.” Unless explicitly described as being “direct,” when a relationship between first and second elements is described in the above disclosure, that relationship can be a direct relationship where no other intervening elements are present between the first and second elements, but can also be an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements.
  • the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean “at least one of A, at least one of B, and at least one of C.”
  • a controller is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.).
  • These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems.
  • the controller may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • RF radio frequency
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g. a server
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

Abstract

A substrate processing system for selectively etching a layer on a substrate includes an upper chamber region, an inductive coil arranged around the upper chamber region and a lower chamber region including a substrate support to support a substrate. A gas distribution device is arranged between the upper chamber region and the lower chamber region and includes a plate with a plurality of holes. A cooling plenum cools the gas distribution device and a purge gas plenum directs purge gas into the lower chamber. A surface to volume ratio of the holes is greater than or equal to 4. A controller selectively supplies an etch gas mixture to the upper chamber and a purge gas to the purge gas plenum and strikes plasma in the upper chamber to selectively etch a layer of the substrate relative to at least one other exposed layer of the substrate.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • The present disclosure is a divisional of U.S. patent application Ser. No. 15/458,292 filed on Mar. 14, 2017. The entire disclosure of the applications referenced above is incorporated herein by reference.
  • FIELD
  • The present disclosure relates to substrate processing devices, and more particularly to selective etching of silicon nitride.
  • BACKGROUND
  • The background description provided here is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
  • Substrate processing systems may be used to etch film on a substrate such as a semiconductor wafer. The substrate processing systems typically include a processing chamber, a gas distribution device and a substrate support. During processing, the substrate is arranged on the substrate support. Different gas mixtures may be introduced into the processing chamber and radio frequency (RF) plasma may be used to activate chemical reactions.
  • When integrating some semiconductor substrates such as fin field effect transistor (FinFET) devices, silicon nitride film needs to be etched with very high selectivity to other exposed film materials. For example, dummy nitride films that are used during integration of FinFET devices need to be selectively etched.
  • Hot phosphoric acid is the main chemistry that is used for etching silicon nitride film in these devices. Hot phosphoric acid has several limitations including meeting selectivity requirements for epitaxial silicon (epi-Si), silicon phosphorous (SiP) and silicon germanium (SiGe) beyond 10 nm node, particle and defect control, pattern collapsing from surface tension, and surface depletion of carbon (C) from silicon oxycarbonitride (SiOCN) film.
  • SUMMARY
  • A substrate processing system for selectively etching a layer on a substrate includes an upper chamber region, an inductive coil arranged around the upper chamber region and a lower chamber region including a substrate support to support a substrate. A gas distribution device is arranged between the upper chamber region and the lower chamber region and includes a plate with a plurality of holes in fluid communication with the upper chamber region and the lower chamber region. A surface to volume ratio of the holes is greater than or equal to 4. An RF generator supplies RF power to the inductive coil. A gas delivery system selectively supplies gas mixtures. A controller is configured to cause the gas delivery system to selectively supply an etch gas mixture to the upper chamber and to strike plasma in the upper chamber to selectively etch a layer of the substrate relative to at least one other exposed layer of the substrate.
  • In other features, the gas distribution device includes a cooling plenum that circulates fluid in the gas distribution device to control a temperature of the gas distribution device and a purge gas plenum to direct purge gas through the gas distribution device into the lower chamber.
  • In other features, the controller is further configured to transition the plasma to a post etch gas mixture after a predetermined etch period. The post etch gas mixture includes a hydrogen species and an oxide species. The controller is configured to transition the plasma from the etch gas mixture to the post etch gas mixture without extinguishing the plasma.
  • In other features, a thickness of the plate is in a range from 5 mm to 25 mm, a diameter of the holes is in a range from 1 mm to 5 mm, a number of the holes is in a range from 100 to 5000, and a diameter of the plate is in a range from 6″ to 20″.
  • In other features, the layer of the substrate includes silicon nitride that is etched selectively relative to the at least one other exposed layer. The at least one other exposed layer is selected from a group consisting of silicon dioxide (SiO2), silicon oxycarbonitride (SiOCN), silicon germanium (SiGe), amorphous silicon (a-Si) and epitaxial-silicon (epi-Si). In other features, the etch gas mixture includes gases promoting nitride etch and passivation of at least one of silicon (Si), silicon germanium (SiGe) and oxide. The gases promoting nitride etch include one or more gases selected from a group consisting of nitrogen trifluoride (NF3), difluoromethane (CH2F2), tetrafluoromethane (CF4), fluoromethane (CH3F), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O2/N2/N2O), and combinations thereof. The gases promoting passivation include one or more gases selected from a group consisting of fluoromethane (CH3F), difluoromethane (CH2F2), methane (CH4), carbonyl sulfide (COS), hydrogen sulfide (H2S), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O2/N2/N2O), and combinations thereof.
  • A substrate processing system for selectively etching a layer on a substrate includes a substrate processing chamber including an upper chamber region and a lower chamber region including a substrate support to support a substrate. A gas distribution device is arranged between the upper chamber region and the lower chamber region and includes a plurality of holes in fluid communication with the upper chamber region and the lower chamber region. A surface to volume ratio of the holes is greater than or equal to 4. A plasma supply at least one of generates plasma in or supplies plasma to the upper chamber region to selectively etch a layer of the substrate relative to at least one other exposed layer of the substrate.
  • In other features, the gas distribution device includes a cooling plenum for circulating fluid in a portion of the gas distribution device to control a temperature of the gas distribution device. The gas distribution device further includes a purge gas plenum to direct purge gas from the gas distribution device in a direction into the lower chamber towards the substrate support. The gas distribution device includes a cooling plenum to circulate fluid to control a temperature of the gas distribution device; and a purge gas plenum to direct purge gas from the gas distribution device into the lower chamber.
  • In other features, a thickness of the gas distribution device is in a range from 5 mm to 25 mm and a diameter of the holes is in a range from 1 mm to 5 mm. A number of the holes is in a range from 100 to 5000 and a diameter of the gas distribution device is in a range from 6″ to 20″. The layer of the substrate includes silicon nitride that is etched selectively relative to the at least one other exposed layer. The at least one other exposed layer is selected from a group consisting of silicon dioxide (SiO2), silicon oxycarbonitride (SiOCN), silicon germanium (SiGe), amorphous silicon (a-Si) and epitaxial-silicon (epi-Si).
  • In other features, the plasma supply generates the plasma using a plasma gas mixture including gases promoting nitride etch and promoting passivation of at least one of silicon (Si), silicon germanium (SiGe) and oxide. The gases promoting nitride etch include one or more gases selected from a group consisting of nitrogen trifluoride (NF3), difluoromethane (CH2F2), tetrafluoromethane (CF4), fluoromethane (CH3F), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O2/N2/N2O), and combinations thereof. The gases promoting passivation include one or more gases selected from a group consisting of fluoromethane (CH3F), difluoromethane (CH2F2), methane (CH4), carbonyl sulfide (COS), hydrogen sulfide (H2S), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O2/N2/N2O), and combinations thereof.
  • A method for selectively etching a layer on a substrate includes providing a substrate processing chamber including a gas distribution device arranged between an upper chamber region and a lower chamber region. The lower chamber region includes a substrate support to support a substrate. The gas distribution device includes a plate including a plurality of holes in fluid communication with the upper chamber region and the lower chamber region. A surface to volume ratio of the holes is greater than or equal to 4. The method further includes supplying an etch gas mixture to the upper chamber region. The method further includes striking plasma in the upper chamber region to selectively etch a layer of the substrate relative to at least one other exposed layer of the substrate.
  • In other features, the method includes supplying fluid to a cooling plenum in the gas distribution device to control a temperature of the gas distribution device and purge gas to a purge gas plenum in the gas distribution device. The purge gas plenum directs the purge gas from the gas distribution device towards the substrate support.
  • In other features, the method includes transitioning the plasma to a post etch gas mixture after a predetermined etch period. The post etch gas mixture includes a hydrogen species and an oxide species. The transitioning from the etch gas mixture to the post etch gas mixture is performed without extinguishing the plasma. A thickness of the plate is in a range from 5 mm to 25 mm, a diameter of the holes is in a range from 1 mm to 5 mm, a number of the holes is in a range from 100 to 5000 and a diameter of the plate is in a range from 6″ to 20″.
  • In other features, the layer of the substrate includes silicon nitride that is etched selectively relative to the at least one other exposed layer. The at least one other exposed layer is selected from a group consisting of silicon dioxide (SiO2), silicon oxycarbonitride (SiOCN), silicon germanium (SiGe), amorphous silicon (a-Si) and epitaxial-silicon (epi-Si).
  • In other features the etch gas mixture includes gases promoting nitride etch and passivation of at least one of silicon (Si), silicon germanium (SiGe) and oxide. The gases promoting nitride etch include one or more gases selected from a group consisting of nitrogen trifluoride (NF3), difluoromethane (CH2F2), tetrafluoromethane (CFO, fluoromethane (CH3F), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O2/N2/N2O), and combinations thereof. The gases promoting passivation include one or more gases selected from a group consisting of fluoromethane (CH3F), difluoromethane (CH2F2), methane (CH4), carbonyl sulfide (COS), hydrogen sulfide (H2S), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O2/N2/N2O), and combinations thereof.
  • Further areas of applicability of the present disclosure will become apparent from the detailed description, the claims and the drawings. The detailed description and specific examples are intended for purposes of illustration only and are not intended to limit the scope of the disclosure.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present disclosure will become more fully understood from the detailed description and the accompanying drawings, wherein:
  • FIG. 1 is a perspective view of an example of a FinFET device during selective etching of a silicon nitride film according to the present disclosure;
  • FIG. 2 is a functional block diagram of an example of an inductively coupled plasma (ICP) substrate processing chamber for selectively etching silicon nitride film according to the present disclosure;
  • FIGS. 3-4 are graphs showing peak voltage as a function of ICP power for various different types of plasma and pressures according to the present disclosure;
  • FIG. 5 illustrates etch rate as a function of substrate support temperature for the ICP processing chamber according to the present disclosure;
  • FIG. 6 illustrates substrates without post etch treatment, with O2 post etch treatment, and with H:O post etch treatment according to the present disclosure;
  • FIG. 7 is a graph illustrating an example of kinetic energy as a function of a fraction of molecules at higher and lower temperatures;
  • FIG. 8 illustrates an example of dimensions of a through hole formed in the plate of the gas distribution device;
  • FIG. 9 is a side cross-sectional view of an example of a gas distribution device including a single plenum according to the present disclosure;
  • FIG. 10 is a side cross-sectional view of an example of a gas distribution device including dual plenums according to the present disclosure;
  • FIG. 11 is mix temperature plot according to the present disclosure; and
  • FIG. 12 is a flowchart illustrating an example of a method for selectively etching one layer of a substrate relative to at least one other exposed layer of the substrate.
  • In the drawings, reference numbers may be reused to identify similar and/or identical elements.
  • DETAILED DESCRIPTION
  • This present disclosure relates to a process that generates radicals to promote nitride etch while selectively passivating films other than silicon nitride. The process is thermodynamically in equilibrium between adsorption of radicals to a surface and desorption of reactants from the surface. The high radical generation results in high SiN removal rate with low across-wafer variation. Radical generation may be modulated by power, pressure, chemistry and/or gas dilution. In some examples, the radicals are generated in an H-mode plasma state (rather than an E-mode plasma state) to provide high radical dissociation for surface passivation.
  • The process selectively removes silicon nitride relative to other exposed films such as silicon dioxide (SiO2), silicon oxycarbonitride (SiOCN) spacer material, silicon germanium (SiGe), amorphous silicon (a-Si) and epitaxial-silicon (epi-Si). The process is residue free, leaves no solid by-products, and allows for a de-fluorination of surfaces after etch. The process enables a fully dry etch process, without pattern collapse in high aspect ratio 3-D structures.
  • In some examples, the process uses an inductively coupled plasma (ICP) source to generate radicals and ions. In some examples, a capacitive coupled plasma (CCP) source is used to selectively generate radicals.
  • The plasma includes ions that physically etch the substrate and radicals that chemically etch the substrate. Improved selectivity can be achieved by reducing or eliminating ions and high energy radicals. While the use of downstream plasma may reduce or eliminate the ions, the high energy radicals may still cause undesirable loss of film. Systems and methods described herein are used to reduce or filter high energy tails of the radicals to decrease the undesired film loss.
  • As will be described further below, systems and methods according to the present disclosure reduce the radical energy using one or more features. The gas distribution device can be designed to deliver gas via holes having a predetermined surface to volume (S/V) ratio. A temperature of the gas distribution device can be stabilized and uniformly controlled using a fluid plenum through which fluid (such as liquid or gas) flows. Purge gas can be introduced into a lower chamber below the gas distribution device using another Plenum in the gas distribution device. A gap between the substrate and the gas distribution device can be modulated to improve selectivity.
  • Referring now to FIG. 1 , an example of a FinFET device 10 is shown. While FinFET devices are described herein, the selective etching process can be used on other devices. The FinFET device 10 includes an amorphous silicon layer 14 and a silicon dioxide (SiO2) layer 16. A silicon nitride layer 18 is arranged over a SiO2 spacer or layer 22, a low k spacer 24 and a SiN spacer or layer 26. The FinFET device 10 may also include silicon germanium (SiGe) 28. During etching of the SiN layer 18, various different film types are exposed to the etching including SiO2 film, low k film, and SiGe film. Etching the SiN film needs to be performed without damage or substantial removal of the SiO2 film, low k film, and/or SiGe film. In addition to these types of film, the substrate may also include other film materials such as silicon oxycarbonitride (SiOCN) and/or epi-Si that may be exposed during etching.
  • Referring now to FIG. 2 , an example of a substrate processing chamber 100 for selectively etching SiN film of a substrate according to the present disclosure is shown. While a specific substrate processing chamber is shown and described, the methods described herein may be implemented on other types of substrate processing systems. In some examples, the substrate processing chamber 100 includes a downstream inductively coupled plasma (ICP) source. An optional capacitively coupled plasma (CCP) source may be provided.
  • The substrate processing chamber 100 includes a lower chamber region 102 and an upper chamber region 104. The lower chamber region 102 is defined by chamber sidewall surfaces 108, a chamber bottom surface 110 and a lower surface of a gas distribution device 114. In some examples, the gas distribution device 114 is omitted.
  • The upper chamber region 104 is defined by an upper surface of the gas distribution device 114 and an inner surface of a upper chamber wall 118 (for example a dome-shaped chamber). In some examples, the upper chamber wall 118 rests on a first annular support 121. In some examples, the first annular support 121 includes one or more gas flow channels and/or holes 123 for delivering process gas to the upper chamber region 104, as will be described further below. The gas flow channels and/or holes 123 may be uniformly spaced around a periphery of the upper chamber region 104. In some examples, the process gas is delivered by the one or more gas flow channels and/or holes 123 in an upward direction at an acute angle relative to a plane including the gas distribution device 114, although other angles/directions may be used. In some examples, a plenum 134 in the first annular support 121 supplies gas to the one or more spaced gas flow channels and/or holes 123.
  • The first annular support 121 may rest on a second annular support 125 that defines one or more gas flow channels and/or holes 127 for delivering process gas to the lower chamber region 102. In some examples, holes 131 in the gas distribution device 114 align with the gas flow channels and/or holes 127. In other examples, the gas distribution device 114 has a smaller diameter and the holes 131 are not needed. In some examples, the process gas is delivered by the one or more spaced gas flow channels and/or holes 127 in a downward direction towards the substrate at an acute angle relative to the plane including the gas distribution device 114, although other angles/directions may be used.
  • In other examples, the upper chamber region 104 is cylindrical with a flat top surface and one or more flat inductive coils may be used. In still other examples, a single chamber may be used with a spacer located between a showerhead and the substrate support.
  • A substrate support 122 is arranged in the lower chamber region 102. In some examples, the substrate support 122 includes an electrostatic chuck (ESC), although other types of substrate supports can be used. A substrate 126 is arranged on an upper surface of the substrate support 122 during etching. In some examples, a temperature of the substrate 126 may be controlled by a heater plate 141, an optional cooling plate with fluid channels and one or more sensors (not shown); although any other suitable substrate support temperature control system may be used. In some examples, a temperature controller 143 may be used to control heating and cooling of the substrate support 122. Heating may be performed by the heater plate 141 and cooling may be performed by the cooling plate with fluid channels 145.
  • A temperature controller 147 may be used to control a temperature of the gas distribution device 114 by supplying heating/cooling fluid to a plenum in the gas distribution device 114. The temperature controllers 143 and/or 147 may further include a source of fluid, a pump, control valves and a temperature sensor (all not shown).
  • In some examples, the gas distribution device 114 includes a showerhead (for example, a plate 128 having a plurality of spaced holes 129). The plurality of spaced holes 129 extend from the upper surface of the plate 128 to the lower surface of the plate 128. In some examples, the spaced holes 129 have a diameter in a range from 0.4″ to 0.75″ and the showerhead is made of a conducting material such as aluminum or a non-conductive material such as ceramic with an embedded electrode made of a conducting material. In other examples described further below, smaller holes 129 can be used to increase the surface to volume ratio.
  • One or more inductive coils 140 are arranged around an outer portion of the upper chamber wall 118. When energized, the one or more inductive coils 140 create an electromagnetic field inside of the upper chamber wall 118. In some examples, an upper coil and a lower coil are used. A gas injector 142 injects one or more gas mixtures from a gas delivery system 150-1 into the upper chamber region 104.
  • In some examples, a gas delivery system 150-1 includes one or more gas sources 152, one or more valves 154, one or more mass flow controllers (MFCs) 156, and a mixing manifold 158, although other types of gas delivery systems may be used. A gas splitter (not shown) may be used to vary flow rates of a gas mixture. Another gas delivery system 150-2 may be used to supply an etch gas, tuning gas, purge gas or other gas mixtures to the gas flow channels and/or holes 123 and/or 127 (in addition to or instead of etch gas from the gas injector 142).
  • Suitable gas delivery systems are shown and described in commonly assigned U.S. patent application Ser. No. 14/945,680, entitled “Gas Delivery System” and filed on Dec. 4, 2015, which is hereby incorporated by reference in its entirety. Suitable single or dual gas injectors and other gas injection locations are shown and described in commonly assigned U.S. Provisional Patent Application Ser. No. 62/275,837, entitled “Substrate Processing System with Multiple Injection Points and Dual Injector” and filed on Jan. 7, 2016, which is hereby incorporated by reference in its entirety.
  • In some examples, the gas injector 142 includes a center injection location that directs gas in a downward direction and one or more side injection locations that inject gas at an angle with respect to the downward direction. In some examples, the gas delivery system 150-1 delivers a first portion of the gas mixture at a first flow rate to the center injection location and a second portion of the gas mixture at a second flow rate to the side injection location(s) of the gas injector 142. In other examples, different gas mixtures are delivered by the gas injector 142. In some examples, the gas delivery system 150-2 delivers tuning gas to the gas flow channels and/or holes 123 and 127 and/or to other locations in the processing chamber as will be described below. For example, the gas delivery system 150-2 can also deliver gas to a plenum in the gas distribution device 114 (described below in conjunction with FIG. 11 ).
  • A plasma generator 170 may be used to generate RF power that is output to the one or more inductive coils 140. Plasma 190 is generated in the upper chamber region 104. In some examples, the plasma generator 170 includes an RF generator 172 and a matching network 174. The matching network 174 matches an impedance of the RF generator 172 to the impedance of the one or more inductive coils 140. In some examples, the gas distribution device 114 is connected to a reference potential such as ground. A valve 178 and a pump 180 may be used to control pressure inside of the lower and upper chamber regions 102, 104 and to evacuate reactants.
  • A controller 176 communicates with the gas delivery systems 150-1 and 150-2, the valve 178, the pump 180, and/or the plasma generator 170 to control flow of process gas, purge gas, tuning gas, RF plasma and chamber pressure. In some examples, plasma is sustained inside the upper chamber wall 118 by the one or more inductive coils 140. One or more gas mixtures are introduced from a top portion of the chamber using the gas injector 142 (and/or gas flow channels and/or holes 123) and plasma is confined within the upper chamber wall 118 using the gas distribution device 114.
  • Confining the plasma in the upper chamber wall 118 allows volume recombination of plasma species and effusing desired etchant species through the gas distribution device 114. In some examples, there is no RF bias applied to the substrate 126. As a result, there is no active sheath on the substrate 126 and ions are not hitting the substrate with any finite energy. Some amount of ions will diffuse out of the plasma region through the gas distribution device 114. However, the amount of plasma that diffuses is an order of magnitude lower than the plasma located inside the upper chamber wall 118. Most of ions in the plasma are lost by volume recombination at high pressures. Surface recombination loss at the upper surface of the gas distribution device 114 also lowers ion density below the gas distribution device 114.
  • In other examples, an RF bias generator 184 is provided and includes an RF generator 186 and a matching network 188. The RF bias can be used to create plasma between the gas distribution device 114 and the substrate support or to create a self-bias on the substrate 126 to attract ions. The controller 176 may be used to control the RF bias.
  • In some examples, the plasma gas mixture includes gases promoting nitride etch and Si, SiGe and oxide passivation. Gases for promoting nitride etch include one or more of nitrogen trifluoride (NF3), difluoromethane (CH2F2), tetrafluoromethane (CF4), fluoromethane (CH3F), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O2/N2/N2O), and combinations thereof. In some examples, gases for promoting oxide passivation include one or more of methane (CH4), CH3F, CH2F2, carbonyl sulfide (COS), hydrogen sulfide (H2S), and/or O2/N2/N2O. In some examples, gases for promoting silicon and SiGe passivation include one or more of O2/N2/N2O, N2O, or O2/N2. In some examples, argon (Ar) may be provided in the plasma gas chemistry to provide a carrier gas effect and to increase plasma density. Ar has a low electron affinity. In some examples, Ar is supplied in a range of 0% to 15% of the plasma gas mixture supplied during etching.
  • Suitable flow rates for various gases is shown below:
  • Gas Typical Flow (sccm) Range (sccm)
    NF 3 100   0-500
    CH2F2 100   0-500
    CF 4 100   0-500
    CH3F 100   0-500
    CHF 3 100   0-500
    CH 4 100   0-500
    SiF 4 100   0-500
    SF 6 100   0-500
    H 2 1000   0-3000
    COS 100   0-500
    H2S 100   0-500
    Ar 2000 1000-5000
    O 2 3000 1000-5000
    N 2 3000 1000-5000
    N2O 5000 1000-8000
    CO 2 100   0-5000
  • In some examples, a post etch treatment is performed to de-fluorinate final surfaces. In some examples, transition from etching to post etch treatment is made without extinguishing the plasma. In some examples, the post etch treatment includes a hydrogen containing species and an oxide containing species. Examples of hydrogen sources include, but not limited to: H2, NH3, CH4, C2H4, C3H6, C2H6, etc. Examples of oxygen sources include, but not limited to: O2, CO2, N2O, H2O, O3, etc. In some examples, the H source is supplied in a range from 25% to 75% and the oxygen source is suppled in a range from 75% to 25%. In some examples, the post etch treatment is performed for a predetermined period.
  • The etching process described above is highly selective to SiN. Selectivity relative to other film materials that can be obtained includes:
  • Parameter Selectivity
    SiN/PE Ox ≥100
    SiN/spacer low k 10-100
    SiN/SiGe 10-100
    SiN/Epi Si 10-100
  • The proposed process has several advantages including an all dry removal process that is capable of increasing FinFET scaling to higher aspect ratios and increased density. The process has higher manufacturing throughput and lower defects as compared to existing technology.
  • Referring now to FIGS. 3-4 , as ICP power increases, the plasma transitions from the E-mode plasma state to the H-mode plasma state. A power level where the E to H mode transition occurs is affected by pressure, chemistry (the particular F gas species used), and chemistry of other gases in the mixture (e.g. addition of low electron affinity gases such as Ar). The etching mechanism leaves surface nominally F terminated. In some examples, a post etch treatment is performed as will be described below.
  • For the example in FIG. 3 , the E to H mode transition occurs at about 2200 W of ICP power. In FIG. 4 , the E to H mode transition for other plasma gas chemistry is shown. Plasma-1 corresponds to a plasma gas mixture of N2O, O2, N2, CO2, and NF3. NF3 is a strong electronegative species. Plasma-2 corresponds to a plasma gas mixture of N2O, O2, N2, CO2, NF3 and Ar. Ar has a low electron affinity. Plasma-3 corresponds to a plasma gas mixture of N2O, O2, N2, CO2, Ar and CF4. CF4 is a weak electronegative F species. Plasma-4 corresponds to a plasma gas mixture of N2O, O2, N2, CO2, and NF3 at high pressure. As can be seen in FIG. 4 , the transition to H mode varies depending upon the gas chemistry, ICP chamber pressure and ICP power.
  • In some examples, the mechanism for the silicon nitride etching occurs in three steps: first chemisorption of radicals on the surface, followed by desorption of products from the surface, finally leading to a fluorination step. During chemisorption, radicals from downstream plasma attach to nitrogen atom of the nitride. During desorption, the desorption rate is high for the by-product species, essentially serving as an N extraction process. During fluorination, silicon in the nitride is removed by F reactions. In contrast, the radicals from the downstream plasma attach to oxide. The desorption rate is very low on oxide. The oxide is protected from F attack by the radicals.
  • Referring now to FIG. 5 , differential etch rates for SiN and SiO2 (for example using tetraethyl orthosilicate (TEOS)) are shown. Radical flux helps achieve high etch rate and high selectivity.
  • In FIG. 6 , a graph of particle performance is shown for SiN etch with 1) no post etch treatment (PET), 2) treatment with oxygen only PET, and 3) treatment with oxygen and hydrogen PET (1:1 ratio). In some examples, the O2-based plasma is marginally effective since condensation increased over time as can be seen in FIG. 6 . In some examples, the H2/O2-based plasma with ratios of 1:1 is effective since condensation was stable over time as can be seen in FIG. 6 .
  • Referring now to FIG. 7 , the plasma includes ions that physically etch the substrate and radicals that chemically etch the substrate. Improved selectivity can be achieved by reducing or eliminating ions and high energy radicals. While the use of downstream plasma may reduce or eliminate ions, the high energy radicals may still cause undesirable loss of film. Systems and methods described herein are used to reduce or filter high energy tails (as indicated in FIG. 7 ) of the radicals to decrease the undesired film loss.
  • Systems and methods according to the present disclosure reduce the radical energy using one or more features. Referring now to FIGS. 8-9 , the gas distribution device 114 can be designed to deliver gas using the plate 128 including the holes 129 having a predetermined surface to volume (S/V) ratio. Hole surface area of one of the holes is defined by 2πrh*L, where rh is the radius of the hole and L is the thickness of the gas distribution device. Hole volume for a single hole is defined by πrh 2*L. Therefore, the SN ratio is given by:
  • S V = 2 π r h L N π r h 2 L N = 2 r h
  • where N is the number of holes.
  • The SN ratio of the gas distribution device 114 according to the present disclosure is greater than or equal to 4. In some examples, the SN ratio is greater than or equal to 5, 6, 7, 8, 9, 10, 11 or greater in units of (1/cm). A typical value for the SN ratio for existing gas distribution devices is usually less than two. The higher SN ratio increases an ion flux removal rate and a high thermal energy radical flux removal rate. In other words, the high energy tails are reduced. In some examples, the thickness of the gas distribution device is in a range from 5 mm to 25 mm. In some examples, the hole diameter is in a range from 1 mm to 5 mm as compared to a typical hole diameter in a range from 10 mm to 25 mm. In some examples, the thickness of the plate 128 is in a range from 5 mm to 25 mm. In some examples, the number of holes is in a range from 100 to 5000. The plate diameter is in a range from 6″ to 20″.
  • In FIG. 9 , a temperature of the gas distribution device can be controlled using a gas distribution device including a fluid plenum through which fluid (such as liquid or gas) flows. A fluid inlet 310 receives fluid such as gas or liquid. The fluid inlet 310 is in fluid contact with a first plenum 314. The fluid flows into the fluid inlet 310, through the first plenum 314 (around a periphery of the gas distribution device 114) and out a fluid outlet 320. In some examples, the gas distribution device 114 includes an annular, radially-outer portion 316 in which the first plenum 314 is formed. In some examples, the annular, radially-outer portion 316 has a greater thickness in an axial direction than a radially inner portion of the gas distribution device 114. Fluid flowing through the first plenum 314 absorbs heat to cool the gas distribution device 114 or heat from the fluid is absorbed by the gas distribution device 114 to heat the gas distribution device 114. As a result, the temperature of the gas distribution device 114 is more uniform.
  • Referring now to FIG. 10 , in some examples the gas distribution device 114 may include a second plenum 350 for delivering purge gas to the lower chamber region 102. In some examples, the second plenum 350 extends throughout a surface of the gas distribution device 114 located above the substrate 126. A gas inlet 354 to the second plenum 350 is connected to a source of purge gas such as the gas delivery system 150-2 described above. A plurality of gas holes 360 extend through a lower surface of the gas distribution device 114 to direct gas from the second plenum 350 downwardly towards the substrate 126. In some examples, the gas distribution device 114 can be made using two or more plates having facing surfaces that are machined to create one or both plenums and then the plates are connected together using seals, welding or other methods.
  • The purge gas is introduced into the lower chamber region 102 below the gas distribution device 114. The purge gas is cooler than the plasma gas. The purge gas mixes with downstream plasma gas to reduce overall gas temperature. Depending on the relative flow rates, the purge gas can reduce the temperature of the plasma gas reaching the substrate from 300° C. to less than 100° C. The temperature of Tmix can be determined as follows:
  • T m i x = Q m a i n T m a i n + Q purge T purge Q m a i n + Q purge
  • Where Qmain is the downstream plasma gas flow, Qpurge is the purge gas flow, Tmain is the downstream plasma gas temperature and Tpurge is the plasma gas temperature.
  • Referring now to FIG. 11 , examples of plasma gas flow rates and purge gas flow rates are shown where the plasma gas has a temperature of 300° C. and the purge gas has a temperature of 25° C.
  • A gap g between the substrate 126 and a bottom surface of the gas distribution device 114 can be increased as compared to prior designs. In some examples, the gap is in a range from 20 mm to 80 mm. In general, increasing the gap tends to reduce high energy species reaching the wafer surface.
  • Using the higher SN ratio in combination with other features described herein can be used to reduce the plasma energy to less than 1 eV. The lower plasma energy achieved using these features compares favorably to higher plasma energy (in the range from 1 eV to 5 eV) for downstream plasma without the features described herein. As a result, film loss is significantly reduced from about 10 Angstroms for the downstream plasma without the foregoing features to less than 2 Angstroms (or 1 monolayer) with these features.
  • Referring now to FIG. 12 , a method 300 for selectively etching one layer of the substrate relative to one or more other exposed layers of the substrate is shown. At 308, a substrate is arranged in a lower chamber region of a substrate processing system. At 312, an etch gas mixture is supplied to an upper chamber region. At 318, cooling fluid is supplied to a gas distribution device in between the upper chamber region and the lower chamber region. At 322, a purge gas is supplied to the gas distribution device. At 328, plasma is struck in the upper chamber for a predetermined etch period to selectively etch one layer of the substrate relative to another layer of the substrate. At 332, the etch gas mixture is transitioned to a post etch gas mixture for a predetermined post etch period. At 334, the plasma is extinguished.
  • The foregoing description is merely illustrative in nature and is in no way intended to limit the disclosure, its application, or uses. The broad teachings of the disclosure can be implemented in a variety of forms. Therefore, while this disclosure includes particular examples, the true scope of the disclosure should not be so limited since other modifications will become apparent upon a study of the drawings, the specification, and the following claims. It should be understood that one or more steps within a method may be executed in different order (or concurrently) without altering the principles of the present disclosure. Further, although each of the embodiments is described above as having certain features, any one or more of those features described with respect to any embodiment of the disclosure can be implemented in and/or combined with features of any of the other embodiments, even if that combination is not explicitly described. In other words, the described embodiments are not mutually exclusive, and permutations of one or more embodiments with one another remain within the scope of this disclosure.
  • Spatial and functional relationships between elements (for example, between modules, circuit elements, semiconductor layers, etc.) are described using various terms, including “connected,” “engaged,” “coupled,” “adjacent,” “next to,” “on top of,” “above,” “below,” and “disposed.” Unless explicitly described as being “direct,” when a relationship between first and second elements is described in the above disclosure, that relationship can be a direct relationship where no other intervening elements are present between the first and second elements, but can also be an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements. As used herein, the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean “at least one of A, at least one of B, and at least one of C.”
  • In some implementations, a controller is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the “controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • The controller, in some implementations, may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the “cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

Claims (16)

What is claimed is:
1. A method for selectively etching a layer on a substrate, comprising:
providing a substrate processing chamber including:
a gas distribution device arranged between an upper chamber region and a lower chamber region,
the lower chamber region including a substrate support to support a substrate, and
the gas distribution device including a plate including a plurality of holes in fluid communication with the upper chamber region and the lower chamber region,
wherein a ratio of a surface area of the holes to a volume of the holes is greater than or equal to 4 centimeters−1;
supplying an etch gas mixture to the upper chamber region; and
striking plasma in the upper chamber region thereby selectively etching a silicon nitride layer of the substrate relative to an epitaxial-silicon (epi-Si) layer of the substrate that is exposed to the plasma,
wherein a gap between a lower surface of the gas distribution device and an upper surface of the substrate during the etching is 20 mm to 80 mm.
2. The method of claim 1, further comprising:
supplying fluid to a cooling plenum in the gas distribution device to control a temperature of the gas distribution device; and
supplying purge gas to a purge gas plenum in the gas distribution device, wherein the purge gas plenum directs the purge gas from the gas distribution device towards the substrate support.
3. The method of claim 2, further comprising transitioning the plasma to a post etch gas mixture after a predetermined etch period, wherein the post etch gas mixture includes a hydrogen species and an oxide species.
4. The method of claim 3, wherein the transitioning from the etch gas mixture to the post etch gas mixture is performed without extinguishing the plasma.
5. The method of claim 1, wherein a thickness of the plate is in a range from 5 mm to 25 mm, a diameter of the holes is in a range from 1 mm to 5 mm, a number of the holes is in a range from 100 to 5000 and a diameter of the plate is in a range from 6″ to 20″.
6. The method of claim 1, wherein the etch gas mixture includes gases promoting nitride etch and passivation of at least one of silicon (Si), silicon germanium (SiGe) and oxide.
7. The method of claim 6, wherein the gases promoting nitride etch include one or more gases selected from a group consisting of nitrogen trifluoride (NF3), difluoromethane (CH2F2), tetrafluoromethane (CFO, fluoromethane (CH3F), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O2/N2/N2O), and combinations thereof.
8. The method of claim 6, wherein the gases promoting passivation include one or more gases selected from a group consisting of fluoromethane (CH3F), difluoromethane (CH2F2), methane (CH4), carbonyl sulfide (COS), hydrogen sulfide (H2S), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O2/N2/N2O), and combinations thereof.
9. A method for selectively etching a layer on a substrate, comprising:
providing a substrate processing chamber including:
a gas distribution device arranged between an upper chamber region and a lower chamber region,
the lower chamber region including a substrate support to support a substrate, and
the gas distribution device including a plate including a plurality of holes in fluid communication with the upper chamber region and the lower chamber region,
wherein a ratio of a surface area of the holes to a volume of the holes is greater than or equal to 4 centimeters−1;
the gas distribution device further including:
an annular radially-outer portion;
a cooling plenum formed in the annular radially-outer portion and to circulate fluid around a periphery of the gas distribution device and control a temperature of the gas distribution device; and
a purge gas plenum to direct purge gas from the gas distribution device in a direction into the lower chamber region towards the substrate support;
selectively enabling purge gas flow to the purge gas plenum;
supplying plasma gas to the upper chamber region thereby selectively etching a silicon nitride layer of the substrate relative to at least one other layer of the substrate that is exposed to the plasma gas, the at least one other layer of the substrate selected from a group consisting of silicon dioxide (SiO2), silicon oxycarbonitride (SiOCN), silicon germanium (SiGe), amorphous silicon (a-Si), and epitaxial-silicon (epi-Si); and
during the etching of the silicon nitride layer of the substrate relative to the at least one other layer of the substrate, controlling the purge gas flow and the plasma gas flow such that a temperature of a mixture of the purge gas and the plasma gas within the upper chamber region is less than 100 degrees Celsius.
10. The method of claim 9, wherein a thickness of the gas distribution device is in a range from 5 mm to 25 mm and a diameter of the holes is in a range from 1 mm to 5 mm.
11. The method of claim 9, wherein a number of the holes is in a range from 100 to 5000 and a diameter of the gas distribution device is in a range from 6″ to 20″.
12. The method of claim 9 further comprising generating the plasma gas using a plasma gas mixture including gases promoting nitride etch and promoting passivation of at least one of silicon (Si), silicon germanium (SiGe) and oxide.
13. The method of claim 12, wherein the gases promoting nitride etch include one or more gases selected from a group consisting of nitrogen trifluoride (NF3), difluoromethane (CH2F2), tetrafluoromethane (CF4), fluoromethane (CH3F), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O2/N2/N2O), and combinations thereof.
14. The method of claim 13, wherein the gases promoting passivation include one or more gases selected from a group consisting of fluoromethane (CH3F), difluoromethane (CH2F2), methane (CH4), carbonyl sulfide (COS), hydrogen sulfide (H2S), a combination of molecular oxygen, molecular nitrogen, and nitrous oxide (O2/N2/N2O), and combinations thereof.
15. The method of claim 9, further comprising transitioning from the plasma gas to a post etch gas mixture after a predetermined etch period, wherein the post etch gas mixture includes a hydrogen species and an oxide species.
16. The method of claim 15, wherein the transitioning from the plasma gas to the post etch gas mixture is performed without extinguishing the plasma.
US17/948,350 2017-03-14 2022-09-20 Ultrahigh selective nitride etch to form finfet devices Pending US20230084901A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/948,350 US20230084901A1 (en) 2017-03-14 2022-09-20 Ultrahigh selective nitride etch to form finfet devices

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/458,292 US11469079B2 (en) 2017-03-14 2017-03-14 Ultrahigh selective nitride etch to form FinFET devices
US17/948,350 US20230084901A1 (en) 2017-03-14 2022-09-20 Ultrahigh selective nitride etch to form finfet devices

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US15/458,292 Division US11469079B2 (en) 2017-03-14 2017-03-14 Ultrahigh selective nitride etch to form FinFET devices

Publications (1)

Publication Number Publication Date
US20230084901A1 true US20230084901A1 (en) 2023-03-16

Family

ID=63519608

Family Applications (2)

Application Number Title Priority Date Filing Date
US15/458,292 Active 2037-08-08 US11469079B2 (en) 2017-03-14 2017-03-14 Ultrahigh selective nitride etch to form FinFET devices
US17/948,350 Pending US20230084901A1 (en) 2017-03-14 2022-09-20 Ultrahigh selective nitride etch to form finfet devices

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US15/458,292 Active 2037-08-08 US11469079B2 (en) 2017-03-14 2017-03-14 Ultrahigh selective nitride etch to form FinFET devices

Country Status (4)

Country Link
US (2) US11469079B2 (en)
KR (2) KR102521089B1 (en)
CN (2) CN108630578B (en)
TW (2) TWI791492B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200098588A1 (en) * 2018-05-30 2020-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dry Ashing by Secondary Excitation

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10699911B2 (en) * 2017-11-07 2020-06-30 Tokyo Electron Limited Method of conformal etching selective to other materials
US10818507B2 (en) * 2018-05-11 2020-10-27 Tokyo Electron Limited Method of etching silicon nitride layers for the manufacture of microelectronic workpieces
US10991594B2 (en) * 2018-06-22 2021-04-27 Tokyo Electron Limited Method for area-selective etching of silicon nitride layers for the manufacture of microelectronic workpieces
CN112397411A (en) * 2019-08-13 2021-02-23 台湾积体电路制造股份有限公司 Process system including extraction device and monitoring method thereof
US11430893B2 (en) 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US5202008A (en) * 1990-03-02 1993-04-13 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
EP0634778A1 (en) * 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
JP2000514136A (en) * 1996-06-28 2000-10-24 ラム リサーチ コーポレイション High density plasma chemical vapor deposition apparatus and method
US20020000020A1 (en) * 1998-05-21 2002-01-03 Jimmie C. Walker Rechargeable industrial broom
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
JP2001164371A (en) * 1999-12-07 2001-06-19 Nec Corp Plasma cvd system and plasma cvd film deposition method
KR20010062209A (en) * 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
JP4371543B2 (en) * 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
KR100434487B1 (en) * 2001-01-17 2004-06-05 삼성전자주식회사 Shower head & film forming apparatus having the same
US6883802B2 (en) * 2002-06-26 2005-04-26 Prosoft Software, Inc. Puzzle
US20040007561A1 (en) * 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US20040219790A1 (en) * 2003-04-30 2004-11-04 Wilson Aaron R Etching methods, RIE methods, and methods of increasing the stability of photoresist during RIE
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
CN100552877C (en) * 2006-05-04 2009-10-21 台湾积体电路制造股份有限公司 Etaching device, immersion slot and engraving method
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7947561B2 (en) * 2008-03-14 2011-05-24 Applied Materials, Inc. Methods for oxidation of a semiconductor device
US7883988B2 (en) * 2008-06-04 2011-02-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor substrate
BG66633B1 (en) * 2011-03-28 2017-12-29 Ивайло Попов An adaptive cognitive method
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US9761449B2 (en) * 2013-12-30 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling materials and methods
US9627533B2 (en) * 2015-02-05 2017-04-18 International Business Machines Corporation High selectivity nitride removal process based on selective polymer deposition
JP6532066B2 (en) * 2015-03-30 2019-06-19 東京エレクトロン株式会社 How to etch atomic layers
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9397197B1 (en) * 2015-09-23 2016-07-19 International Business Machines Corporation Forming wrap-around silicide contact on finFET
US9653359B2 (en) * 2015-09-29 2017-05-16 International Business Machines Corporation Bulk fin STI formation
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
CN206432233U (en) * 2017-01-19 2017-08-22 江苏鲁汶仪器有限公司 The bottom electrode slide holder of etching machine
CN107910284B (en) * 2017-12-15 2018-10-12 广东工业大学 A kind of processing unit (plant) towards third generation semi-conducting material

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200098588A1 (en) * 2018-05-30 2020-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dry Ashing by Secondary Excitation

Also Published As

Publication number Publication date
CN108630578A (en) 2018-10-09
US11469079B2 (en) 2022-10-11
TW202333194A (en) 2023-08-16
CN116631901A (en) 2023-08-22
KR20180105072A (en) 2018-09-27
TW201843696A (en) 2018-12-16
KR102521089B1 (en) 2023-04-11
CN108630578B (en) 2023-04-28
KR20230066515A (en) 2023-05-16
US20180269070A1 (en) 2018-09-20
TWI791492B (en) 2023-02-11

Similar Documents

Publication Publication Date Title
US10192751B2 (en) Systems and methods for ultrahigh selective nitride etch
US20230084901A1 (en) Ultrahigh selective nitride etch to form finfet devices
US9601319B1 (en) Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US9837286B2 (en) Systems and methods for selectively etching tungsten in a downstream reactor
US10147588B2 (en) System and method for increasing electron density levels in a plasma of a substrate processing system
US10825659B2 (en) Substrate processing chamber including multiple gas injection points and dual injector
US10224212B2 (en) Isotropic etching of film with atomic layer control
KR102598863B1 (en) Rapid chamber cleaning using simultaneous in-situ and remote plasma sources
US9741584B1 (en) Densification of dielectric film using inductively coupled high density plasma
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
US20200017967A1 (en) Dielectric gapfill using atomic layer deposition (ald), inhibitor plasma and etching
US10727089B2 (en) Systems and methods for selectively etching film
KR102549146B1 (en) Pressure purge etch method for etching complex 3-d structures
US9691590B2 (en) Selective removal of boron doped carbon hard mask layers
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
US20220181128A1 (en) Apparatus for cleaning plasma chambers
KR20190133789A (en) Method for depositing thick tetraethyl orthosilicate films with low compressive stress, high film stability and low shrinkage at high deposition rates

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION