CN107039265B - 硬掩膜的自限性平坦化 - Google Patents

硬掩膜的自限性平坦化 Download PDF

Info

Publication number
CN107039265B
CN107039265B CN201710061603.6A CN201710061603A CN107039265B CN 107039265 B CN107039265 B CN 107039265B CN 201710061603 A CN201710061603 A CN 201710061603A CN 107039265 B CN107039265 B CN 107039265B
Authority
CN
China
Prior art keywords
etching
layer
chamber region
substrate
cores
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710061603.6A
Other languages
English (en)
Other versions
CN107039265A (zh
Inventor
杨邓良
朴俊洪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN107039265A publication Critical patent/CN107039265A/zh
Application granted granted Critical
Publication of CN107039265B publication Critical patent/CN107039265B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明提供硬掩膜的自限性平坦化。一种用于处理半导体衬底的方法包括:a)提供衬底叠层,所述衬底叠层包括第一层、以间隔关系布置在所述第一层上的多个芯和布置在所述第一层下方的一个或多个底层;b)在所述第一层和所述多个芯上沉积共形层;c)部分蚀刻所述共形层以产生与所述多个芯的侧壁相邻布置的间隔件,其中所述共形层的部分蚀刻使得所述间隔件的上部具有不对称轮廓;d)相对于所述间隔件和所述第一层选择性地蚀刻所述多个芯;e)在所述间隔件的侧壁上沉积聚合物膜;以及f)蚀刻所述间隔件的上部以去除所述不对称轮廓并平坦化所述间隔件的上部。

Description

硬掩膜的自限性平坦化
技术领域
本公开涉及衬底处理系统和方法,并且更具体地涉及用于执行硬掩膜的平坦化的衬底处理系统和方法。
背景技术
这里提供的背景描述是为了一般地呈现本公开的上下文的目的。署名的发明人(在该背景技术部分描述的、以及在提交时不会被认为是现有技术的描述的方面的范围内)的工作,既不明确地也不隐含地认为是针对本公开的现有技术。
衬底处理系统可用于在诸如半导体晶片的衬底上沉积和蚀刻薄膜。衬底处理系统通常包括处理室、气体分配装置和衬底支撑件。在处理期间,衬底被布置在衬底支撑件上。可以将不同的气体混合物引入到处理室中,并且射频(RF)等离子体可以用于激活化学反应。
双重图案化方法可以用于间距分裂。沉积并图案化芯层以限定多个间隔开的芯。共形层沉积在芯上。然后,使用各向异性蚀刻工艺蚀刻共形层以蚀刻共形层的大部分,同时在芯的侧壁上留下间隔件。对芯进行选择性蚀刻。然后,间隔件的临界尺寸(CD)被转移到下层。
在蚀刻之后,间隔件的上部的形状主要由于各向异性蚀刻期间的离子溅射而不对称。作为不对称形状的结果,当转移到下层时,临界尺寸(CD)的可变性增加。如果执行额外的间距分裂,则掩膜通常被转移到下层以产生正方形形状。
发明内容
一种用于处理半导体衬底的方法,包括:a)提供衬底叠层,所述衬底叠层包括第一层、以间隔关系布置在所述第一层上的多个芯和布置在所述第一层下方的一个或多个底层;b)在所述第一层和所述多个芯上沉积共形层;c)部分蚀刻所述共形层以产生与所述多个芯的侧壁相邻布置的间隔件,其中所述共形层的部分蚀刻使得所述间隔件的上部具有不对称轮廓;d)相对于所述间隔件和所述第一层选择性地蚀刻所述多个芯;e)在所述间隔件的侧壁上沉积聚合物膜;以及f)蚀刻所述间隔件的上部以去除所述不对称轮廓并平坦化所述间隔件的上部。
在其它特征中,该方法还包括g)蚀刻聚合物膜。该方法包括重复b)至g)一次或多次。
在其它特征中,f)在衬底处理室中执行,衬底处理室包括衬底支撑件、上部室区域、布置在上部室区域外部的感应线圈、包括衬底支撑件的下部室区域,以及气体分配装置,所述气体分配装置布置在上部室区域和下部室区域之间。气体分配装置包括与上部室区域和下部室区域流体连通的多个孔。
在其它特征中,该方法包括在f)期间将衬底处理室中的压强控制在0.4托至10托的范围内;以及将包括氟基气体的蚀刻气体混合物供应到所述上部室区域。
在其它特征中,该方法包括在f)期间向感应线圈提供200W至3kW范围内的功率。该方法包括在f)期间向衬底支撑件提供50W至1000W范围内的RF偏置功率。
在其它特征中,蚀刻气体混合物包括选自由六氟化硫(SF6)、三氟化氮(NF3)、四氟化碳(CF4)、二氟甲烷(CH2F2)、氟甲烷(CH3F)、六氟-2-丁炔(C4F6)和八氟环丁烷(C4F8)组成的组中的气体。蚀刻气体混合物还包括从由氩气(Ar)和氦气(He)组成的组中选择的一种或多种气体。在b)中沉积共形层包括原子层沉积。c)中的部分蚀刻在感应耦合等离子体(ICP)室中进行。
在其它特征中,多个芯包括非晶硅膜。共形层包括从由氮化硅、硅和二氧化硅组成的组中选择的材料。
一种用于处理半导体衬底的方法,包括:a)提供衬底叠层,所述衬底叠层包括第一层、以间隔关系布置在所述第一层上的多个芯和布置在所述第一层下方的一个或多个底层;b)在所述第一层和所述多个芯上沉积共形层;c)部分蚀刻所述共形层以产生与所述多个芯的侧壁相邻布置的间隔件,其中所述共形层的部分蚀刻导致所述间隔件的上部具有不对称轮廓,并且其中在c)之后聚合物膜保留在所述间隔件的侧壁;以及d)蚀刻所述间隔件的上部以移除所述不对称轮廓并平坦化所述间隔件的上部。
在其它特征中,该方法包括e)蚀刻聚合物膜和多个芯。该方法包括重复b)至e)一次或多次。
在其它特征中,d)在衬底处理室中执行,衬底处理室包括衬底支撑件、上部室区域、布置在上部室区域外部的感应线圈、包括衬底支撑件的下部室区域、以及气体分配装置,所述气体分配装置布置在上部室区域和下部室区域之间。气体分配装置包括与上部室区域和下部室区域流体连通的多个孔。
在其它特征中,该方法包括在d)期间将衬底处理室中的压强控制在0.4托至10托的范围内;以及将包括氟基气体的蚀刻气体混合物供应到所述上部室区域。该方法包括在d)期间向感应线圈提供200W至3kW范围内的功率。
该方法包括在d)期间向衬底支撑件提供50W至1000W范围内的RF偏置功率。蚀刻气体混合物包括选自由六氟化硫(SF6)、三氟化氮(NF3)、四氟化碳(CF4)、二氟甲烷(CH2F2)、氟甲烷(CH3F)、六氟-2-丁炔(C4F6)和八氟环丁烷(C4F8)组成的组中的气体。蚀刻气体混合物还包括从由氩气(Ar)和氦气(He)组成的组中选择的一种或多种气体。在b)中沉积共形层包括原子层沉积。c)中的部分蚀刻在感应耦合等离子体(ICP)室中进行。多个芯包括非晶硅膜。共形层包括从由氮化硅、硅和二氧化硅组成的组中选择的材料。
一种用于平坦化衬底的不对称表面的自限性蚀刻方法包括将衬底布置在衬底处理室的衬底支撑件上。衬底包括一特征,该特征包括具有不对称轮廓的上部。衬底处理室包括上部室区域、布置在上部室区域外部的感应线圈、包括衬底支撑件的下部室区域以及布置在上部室区域和下部室区域之间的气体分配装置。该方法包括将衬底处理室中的压强控制在0.4托至10托的范围内;向所述上部室区域供应包括氟基气体的蚀刻气体混合物;以及蚀刻所述特征的上部以去除所述不对称轮廓并且平坦化所述特征的上部。
在其它特征中,该方法包括在蚀刻期间向感应线圈提供200W到3kW范围内的功率。该方法包括在蚀刻期间向衬底支撑件提供50W至1000W范围内的RF偏置功率。蚀刻气体混合物包括选自由六氟化硫(SF6)、三氟化氮(NF3)、四氟化碳(CF4)、二氟甲烷(CH2F2)、氟甲烷(CH3F)、六氟-2-丁炔(C4F6)和八氟环丁烷(C4F8)组成的组中的气体。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于处理半导体衬底的方法,其包括:
a)提供衬底叠层,所述衬底叠层包括第一层、以间隔关系布置在所述第一层上的多个芯和布置在所述第一层下方的一个或多个底层;
b)在所述第一层和所述多个芯上沉积共形层;
c)部分蚀刻所述共形层以产生与所述多个芯的侧壁相邻布置的间隔件,其中所述共形层的所述部分蚀刻使得所述间隔件的上部具有不对称轮廓;
d)相对于所述间隔件和所述第一层选择性地蚀刻所述多个芯;
e)在所述间隔件的侧壁上沉积聚合物膜;和
f)蚀刻所述间隔件的所述上部以去除所述不对称轮廓并平坦化所述间隔件的所述上部。
2.根据条款1所述的方法,其还包括:g)蚀刻所述聚合物膜。
3.根据条款2所述的方法,其还包括重复b)至g)一次或多次。
4.根据条款1所述的方法,其中f)在衬底处理室中执行,所述衬底处理室包括衬底支撑件、上部室区域、布置在所述上部室区域外部的感应线圈、包括所述衬底支撑件的下部室区域和布置在所述上部室区域和所述下部室区域之间的气体分配装置。
5.根据条款4所述的方法,其中所述气体分配装置包括与所述上部室区域和所述下部室区域流体连通的多个孔。
6.根据条款5所述的方法,其还包括:
在f)期间将所述衬底处理室中的压强控制在0.4托至10托的范围内;和
向所述上部室区域供应包括氟基气体的蚀刻气体混合物。
7.根据条款4所述的方法,其还包括在f)期间向所述感应线圈提供200W至3kW范围内的功率。
8.根据条款4所述的方法,其还包括在f)期间向所述衬底支撑件提供50W至1000W范围内的RF偏置功率。
9.根据条款6所述的方法,其中所述蚀刻气体混合物包括选自由六氟化硫(SF6)、三氟化氮(NF3)、四氟化碳(CF4)、二氟甲烷(CH2F2)、氟甲烷(CH3F)、六氟-2-丁炔(C4F6)和八氟环丁烷(C4F8)组成的组中的气体。
10.根据条款9所述的方法,其中所述蚀刻气体混合物还包括选自由氩(Ar)和氦(He)组成的组中的一种或多种气体。
11.根据条款1所述的方法,其中b)中的沉积所述共形层包括原子层沉积。
12.根据条款1所述的方法,其中c)中的部分蚀刻在感应耦合等离子体(ICP)室中进行。
13.根据条款1所述的方法,其中所述多个芯包括非晶硅膜。
14.根据条款1所述的方法,其中所述共形层包括从由氮化硅、硅和二氧化硅组成的组中选择的材料。
15.一种用于处理半导体衬底的方法,其包括:
a)提供衬底叠层,所述衬底叠层包括第一层、以间隔关系布置在所述第一层上的多个芯和布置在所述第一层下方的一个或多个底层;
b)在所述第一层和所述多个芯上沉积共形层;
c)部分蚀刻所述共形层以产生与所述多个芯的侧壁相邻布置的间隔件,
其中所述共形层的所述部分蚀刻使得所述间隔件的上部具有不对称轮廓,和
其中聚合物膜在c)之后保留在所述间隔件的侧壁上;和
d)蚀刻所述间隔件的所述上部以去除所述不对称轮廓并平坦化所述间隔件的所述上部。
16.根据条款15所述的方法,其还包括e)蚀刻所述聚合物膜和所述多个芯。
17.根据条款16所述的方法,其还包括重复b)至e)一次或多次。
18.根据条款1所述的方法,其中d)在衬底处理室中执行,所述衬底处理室包括衬底支撑件、上部室区域、布置在所述上部室区域外部的感应线圈、包括所述衬底支撑件的下部室区域和布置在所述上部室区域和所述下部室区域之间的气体分配装置。
19.根据条款18所述的方法,其中所述气体分配装置包括与所述上部室区域和所述下部室区域流体连通的多个孔。
20.根据条款19所述的方法,其还包括:
在d)期间将所述衬底处理室中的压强控制在0.4托至10托的范围内;和
向所述上部室区域供应包括氟基气体的蚀刻气体混合物。
21.根据条款18所述的方法,其还包括在d)期间向所述感应线圈提供200W至3kW范围内的功率。
22.根据条款18所述的方法,其还包括在d)期间向所述衬底支撑件提供50W至1000W范围内的RF偏置功率。
23.根据条款20所述的方法,其中所述蚀刻气体混合物包括选自由六氟化硫(SF6)、三氟化氮(NF3)、四氟化碳(CF4)、二氟甲烷(CH2F2)、氟甲烷(CH3F)、六氟-2-丁炔(C4F6)和八氟环丁烷(C4F8)组成的组中的气体。
24.根据条款23所述的方法,其中所述蚀刻气体混合物还包括选自由氩(Ar)和氦(He)组成的组中的一种或多种气体。
25.根据条款15所述的方法,其中b)中的沉积所述共形层包括原子层沉积。
26.根据条款15所述的方法,其中c)中的所述部分蚀刻在感应耦合等离子体(ICP)室中进行。
27.根据条款15所述的方法,其中所述多个芯包括非晶硅膜。
28.根据条款15所述的方法,其中所述共形层包括从由氮化硅、硅和二氧化硅组成的组中选择的材料。
29.一种用于平坦化衬底的不对称表面的自限性蚀刻方法,其包括:
将衬底布置在衬底处理室的衬底支撑件上,
其中所述衬底包括特征,所述特征包括具有不对称轮廓的上部,和
其中所述衬底处理室包括上部室区域、布置在所述上部室区域外部的感应线圈、包括所述衬底支撑件的下部室区域以及布置在所述上部室区域和所述下部室区域之间的气体分配装置;
将所述衬底处理室内的压强控制在0.4托至10托的范围内;
向所述上部室区域供应包括氟基气体的蚀刻气体混合物;和
蚀刻所述特征的上部以去除所述不对称轮廓并且平坦化所述特征的所述上部。
30.根据条款29所述的方法,其还包括在蚀刻期间向所述感应线圈提供200W至3kW范围内的功率。
31.根据条款30所述的方法,其还包括在蚀刻期间向所述衬底支撑件提供50W至1000W范围内的RF偏置功率。
32.根据条款30所述的方法,其中所述蚀刻气体混合物包括选自由六氟化硫(SF6)、三氟化氮(NF3)、四氟化碳(CF4)、二氟甲烷(CH2F2)、氟甲烷(CH3F)、六氟-2-丁炔(C4F6)和八氟环丁烷(C4F8)组成的组中的气体。
从详细描述、权利要求和附图中,本公开的其它应用领域将变得显而易见。详细描述和具体示例仅意图用于说明的目的,并且不旨在限制本公开的范围。
附图说明
从详细描述和附图将更充分地理解本公开,其中:
图1是根据本发明的包括芯的叠层的一个示例的侧截面图;
图2是根据本公开的沉积在图1的叠层和芯上的共形层的一个示例的侧截面图;
图3是根据本公开的蚀刻共形层之后的叠层、芯和间隔件的一个示例的侧截面图;
图4是根据本公开的在芯被蚀刻之后的叠层的一个示例的侧截面图;
图5是根据本公开的沉积在图4的间隔件的侧壁上的聚合物膜的一个示例的侧截面图;
图6是示出了根据本公开的图5的间隔件的不对称上部的蚀刻的一个示例的侧截面图;
图7是示出了根据本公开的间隔件的上部被压平之后的叠层的一个示例的侧截面图;
图8是示出了根据本公开的移除聚合物膜之后的叠层的一个示例的侧截面图;
图9是根据本公开的沉积在图8的叠层上以执行附加的间距分裂的另一个共形层的一个示例的侧截面图;
图10是根据本公开的芯层、间隔件和聚合物膜的另一个示例的侧截面图;
图11是示出根据本公开的图10的叠层的蚀刻的侧截面图;
图12是示出根据本公开的图11的叠层在蚀刻之后的侧截面图;
图13是示出根据本公开的芯层和聚合物膜的去除的侧截面图;
图14是根据本公开的沉积在图13的叠层上以执行附加的间距分裂的另一个共形层的一个示例的侧截面图;
图15是示出根据本公开的用于平坦化间隔件的上部的方法的一个示例的流程图;
图16是示出根据本公开的用于平坦化间隔件的上部的方法的另一示例的流程图;和
图17是用于蚀刻叠层以平坦化间隔件的不对称上部的处理室的一个示例的功能框图。
在附图中,附图标记可以被再次使用以标识相似和/或相同的元件。
具体实施方式
本公开涉及用于使用自限性平坦化方法来修改硬掩膜的不对称形状的系统和方法。在一些示例中,间隔件的上部的圆形或不对称掩膜轮廓被修改为平坦轮廓。所执行的蚀刻工艺是自限性的。换句话说,当被蚀刻的不对称表面变平坦时,蚀刻停止。
根据本公开的平坦化方法可以在多次图案化方案期间使用,以通过创建对称的正方形掩膜来减小临界尺寸(CD)转移可变性。相同的掩膜可以直接用于后续轮次的间距分裂,而不需要转移到另一层。在一些示例中,该方法可以用于修改包括氮化硅(SiN)、硅(Si)和二氧化硅(SiO2)的掩膜。尽管前述描述涉及在双图案化背景中的间隔件的平坦化,但本文所述的蚀刻工艺的自限性质可用于平坦化其它不对称结构。
在一些示例中,在下面在图17中描述的双室处理系统中,在高压环境(例如,在0.4托和10托之间)中使用离子辅助自由基蚀刻来执行平坦化蚀刻。
现在参考图1,叠层50包括沉积和图案化以限定一个或多个间隔开的芯53-1、53-2、...和53-N(统称为芯53)的芯层52,其中N是大于1的整数。芯层52布置在层54上,层54布置在一个或多个底层56上。仅作为示例,芯层52可以由非晶硅(a-Si)制成。层54可以由氧化物膜制成。在一些示例中,底层56可以包括层58、层60、层64、层68、层70、层74、层76、层78和层80。可以理解,底层56的示例将根据不同的应用而变化。换句话说,在底层56中使用的膜材料的层数和类型可以变化。在下面描述的图2-图16中,省略了底层56。
在一些示例中,层58包括诸如碳氧化硅(SiOC)的芯硬掩膜,层60包括诸如无定形碳(a-C)的芯层,层64包括诸如非晶硅(a-Si)的芯层,层68包括诸如氮化硅(SiN)的蚀刻停止层(ESL),层70包括诸如a-Si的透明硬掩膜(HM),层74包括诸如二氧化硅(SiO2)的鳍硬掩膜,层76包括诸如SiN的衬垫层,层78包括诸如SiO2的衬垫层,并且层80包括硅(Si)衬底。然而,可以使用层和膜材料的其它组合。
现在参考图2,共形层81沉积在叠层50的芯层52上。在一些示例中,使用原子层沉积(ALD)和等离子体增强ALD(PEALD)沉积共形层81。在一些示例中,共形层81包括氮化硅(SiN)、硅(Si)或二氧化硅(SiO2)。例如,SiN的共形沉积公开于2013年11月26日授权的共同转让的美国专利No.8,592,328中,其全部内容通过引用并入本文。
现在参考图3,执行叠层50的蚀刻。在一些示例中,蚀刻是各向异性的,对用于底层54的膜具有选择性,并且在诸如变压器耦合等离子体(TCP)室的等离子体蚀刻室中执行。如本文所使用的,术语“选择性蚀刻”是指比其它暴露的膜材料更多地蚀刻一种膜材料。在一些示例中,选择性蚀刻比大于10:1、100:1、1000:1等。在2016年6月15日授权的、发明名称为“TCCT MATCH CIRCUIT FOR PLASMA ETCH CHAMBERS”的美国专利No.9,059,678中示出和描述了TCP室的一个示例,其全部内容通过引用并入本文。
在一些示例中,蚀刻化学物质包括气体混合物,该气体混合物包括氟甲烷(CH3F)和二氧化碳(CO2),但是可以使用其他蚀刻化学物质。在其他示例中,蚀刻化学物质包括气体混合物,该气体混合物包括二氟甲烷(CH2F2)、分子氧(O2)和氩(Ar)、或氟甲烷(CH3F)、O2和Ar,但是可以使用其它蚀刻化学物质或可使用顺序使用的两个或更多的化学物质。在一些示例中,线圈功率在200W到800W的范围内,并且衬底偏置在100V到500V的范围内。在一些示例中,室压强在5mTorr到100mTorr的范围内。对衬底支撑件的偏置可以是连续波、脉冲或顺序使用连续波,并且可以使用脉冲波。
在图3中,示出了在执行蚀刻之后的叠层50。在除了与芯53-1、53-2、53-3、...和53-N的侧壁相邻的大部分位置中去除共形层81。蚀刻后间隔件82-1A、82-1B、82-2A、82-2B、82-3A、82-3B、...82-NA和82-NB(统称为间隔件82)被限定并定位于邻近芯53的侧壁。在一些示例中,间隔件是圆形的,并且包括从间隔件的外侧向间隔件的内侧略微向上延伸的凸起弓形部分。在各向异性蚀刻之后,一些聚合物膜(未示出)可以保留在间隔件82的侧壁上。在随后的如下所述的芯的蚀刻期间去除聚合物膜。
现在参考图4-图5,示出了叠层50的进一步处理。在图4中,执行叠层50的选择性蚀刻以去除芯53并留下间隔件82。在一些示例中,蚀刻化学物质包括三氟化氮(NF3)和溴化氢(HBr)的气体混合物,但也可以使用其他化学物质。在一些示例中,线圈功率在200W到2000W的范围内,并且衬底偏置在0V到500V的范围内。在一些示例中,室压强在5mTorr到100mTorr的范围内。对衬底支撑件的偏置可以是连续波、脉冲或顺序使用连续波,并且可以使用脉冲波。
在图5中,聚合物膜沉积在间隔件82的相对侧壁上,如在90-1A1、90-1A2、...、90-3B1和90-3B2(统称为聚合物膜90)所示。在一些示例中,使用包括甲烷(CH4)和氩(Ar)或甲烷、氩气和氦气(He)的气体化学物质、介于50和3000W之间的RF功率和介于0和1000W之间的RF偏置功率,将聚合物膜90沉积在TCP室中。
现在参考图6-图7,示出了叠层50的进一步处理。在图6中,执行平坦化蚀刻以平坦化间隔件82的圆形、弯曲或不对称的上部轮廓。在一些示例中,使用下文结合图17(如下文将进一步描述的)描述的处理室。如图6所示,离子96在蚀刻期间轰击间隔件82的圆形、弯曲或不对称的轮廓,并且产生自由基98。离子96具有相对低的离子能量和高溅射产量。间隔件82的侧壁用聚合物膜90钝化。离子96具有具有低离子能量的大分布角。暴露区域具有高覆盖率的自由基98并且被蚀刻直到其是相对平坦的轮廓,这可以在图7中看到。
现在参考图8-图9,示出了叠层50的进一步处理。在图8中,相对于间隔件82选择性地蚀刻聚合物膜90。在一些示例中,在ICP室中执行蚀刻工艺。在一些示例中,使用干式清洁步骤,用选自由分子氧(O2)、分子氮(N2)或分子氢(H2)组成的组中的气体、介于300W和3000W之间的RF功率,从间隔件的侧壁上去除聚合物膜。
如果间隔件82的间距要再次加倍,则在图9中(以类似于图2的方式)沉积另一个共形层100,并且重复图3-图7中所示的处理一次或多次。
现在参考图10-图12,可以在蚀刻芯53之前执行间隔件82的上表面的平坦化。在图10中,在沉积图2中的共形层之后,执行蚀刻工艺以去除共形层81并且留下与芯的侧壁相邻的间隔件。在蚀刻之后,聚合物膜90仍保留在间隔件82的侧壁上,如图10中可见。在一些示例中,使用图3的蚀刻工艺。
在图11中,执行平坦化蚀刻,以类似于在上述图6中描述的方式使间隔件82的圆形、弯曲或不对称的轮廓变平。从图11中可以看出,离子96轰击间隔件82的圆形、弯曲或不对称的轮廓,并产生自由基98。离子96具有相对低的离子能量和高溅射产量。如图12中可见,蚀刻间隔件82的暴露区域以平坦化该表面。在其它情况下,芯材料53-1、53-2和53-N可凹陷到与82-1A、82-1B、82-3A和82-3B相同的高度。
现在参考图13-图14,示出了叠层的进一步处理。在图13中,相对于间隔件82选择性地蚀刻聚合物膜90和芯53。如果间隔件82被再次加倍,则沉积另一个共形层110,并且重复图2和图10-图13中所示的处理一次或多次。
参考图15,示出了用于平坦化不对称间隔件的方法200。在204,提供叠层。在208,在叠层的上层中限定芯。或者,叠层可以设置有已经限定的芯。在212,共形层沉积在芯上方的叠层上。共形层厚度可以变化以限定最终转移层线的临界尺寸。
在216,选择性蚀刻共形层。在蚀刻之后保留具有不对称上表面的间隔件(例如,参见图3)。在218处,相对于间隔件选择性地蚀刻芯材料。在222,聚合物膜沉积在间隔件的侧壁上。在224,蚀刻间隔件的不对称上表面以产生相对平坦的表面。在228,选择性地蚀刻在间隔件的侧壁上的聚合物膜。如果需要额外的间距划分,则方法200返回到212。否则,方法200结束。
参考图16,示出了用于平坦化不对称间隔件的方法300。在304,提供叠层。在308,在叠层的上层中限定芯。或者,在312,共形层沉积在芯上方的叠层上。在316处,选择性地蚀刻共形层。在蚀刻之后保留具有不对称上表面的间隔件。如图10所示,在蚀刻期间,聚合物膜沉积在其侧壁上。
在324,蚀刻间隔件的不对称上表面。在326,蚀刻间隔件的侧壁上的聚合物。在328处,蚀刻芯。在一些示例中,326和328可以是单个蚀刻步骤。如果需要额外的间距划分,则方法300返回到312。否则,方法300结束。
现在参考图17,示出了用于蚀刻间隔件以平坦化不对称上表面的衬底处理室400的示例。尽管示出和描述了特定的衬底处理室,但是本文所述的方法可以使用其他类型的衬底处理系统来实现。
衬底处理室400包括下部室区域402和上部室区域404。下部室区域402由室侧壁表面408、室底表面410和气体分配装置414的下表面限定。
上部室区域404由气体分配装置414的上表面和圆顶418的内表面限定。在一些示例中,圆顶418搁置在第一环形支撑件421上。在一些示例中,第一环形支撑件421包括用于将工艺气体输送到上部室区域404的一个或多个间隔开的孔423,如下文将进一步描述。在一些示例中,工艺气体通过一个或多个间隔开的孔423以相对于包括气体分配装置414的平面成锐角的向上的方向输送,但是也可以使用其它角度/方向。在一些示例中,第一环形支撑件421中的气体流动通道434向一个或多个间隔开的孔423供应气体。
第一环形支撑件421可以搁置在第二环形支撑件425上,第二环形支撑件425限定用于将工艺气体从气体流动通道429输送到下部室区域402的一个或多个间隔开的孔427。在一些示例中,气体分配装置414中的孔431与孔427对准。在其他示例中,气体分配装置414具有较小的直径,并且不需要孔431。在一些示例中,工艺气体通过一个或多个间隔开的孔427以相对于包括气体分配装置414的平面成锐角朝向衬底以向下的方向输送,但是也可以使用其它角度/方向。
在其它示例中,上部室区域404是具有平的顶表面的圆柱形,并且可以使用一个或多个平的感应线圈。在其他示例中,单个室可以与位于喷头和衬底支撑件之间的间隔件一起使用。
衬底支撑件422布置在下部室区域402中。在一些示例中,衬底支撑件422包括静电卡盘(ESC),但是可以使用其他类型的衬底支撑件。在蚀刻期间,衬底426被布置在衬底支撑件422的上表面上。在一些示例中,衬底426的温度可以由加热板430、具有流体通道的可选冷却板和一个或多个传感器(未示出)控制;但是也可以使用任何其它合适的衬底支撑件温度控制系统。
在一些示例中,气体分配装置414包括喷头(例如,具有多个间隔开的孔432的板428)。多个间隔开的孔432从板428的上表面延伸到板428的下表面。在一些示例中,间隔开的孔432具有在0.4”至0.75”范围内的直径,并且喷头由诸如铝的导电材料或诸如陶瓷的非导电材料制成,其具有由导电材料制成的嵌入电极。
一个或多个感应线圈440布置在圆顶418的外部周围。当通电时,一个或多个感应线圈440在圆顶418内部产生电磁场。在一些示例中,使用上部线圈和下部线圈。气体喷射器442从气体输送系统450-1喷射一种或多种气体混合物。
在一些示例中,气体输送系统450-1包括一个或多个气体源452、一个或多个阀454、一个或多个质量流量控制器(MFC)456和混合歧管458,但是也可使用其它类型的气体输送系统。气体分离器(未示出)可以用于改变气体混合物的流速。可以使用另一气体输送系统450-2来向气体流动通道429和/或434供应蚀刻气体或蚀刻气体混合物(除了来自气体喷射器442的蚀刻气体或蚀刻气体混合物之外或者代替来自气体喷射器442的蚀刻气体或蚀刻气体混合物)。
在于2015年12月4日提交的名称为“Gas Delivery System”的共同转让的美国专利申请序列号14/945,680中示出和描述了合适的气体输送系统,该申请通过引用整体并入本文。合适的单或双气体喷射器和其它气体注射位置在2016年1月7日提交的名称为“Substrate Processing System with Multiple Injection Points and DualInjector”的共同转让的美国临时专利申请序列号62/275,837中示出并描述,其全部内容通过引用并入本文。
在一些示例中,气体喷射器442包括在向下方向上引导气体的中心喷射位置和以相对于向下方向成角度喷射气体的一个或多个侧喷射位置。在一些示例中,气体输送系统450-1将气体混合物的第一部分以第一流速输送到中心喷射位置,并将气体混合物的第二部分以第二流速输送到气体喷射器442的侧喷射位置。在其它示例中,通过气体喷射器442输送不同的气体混合物。在一些示例中,气体输送系统450-1将调谐气体输送到气体流动通道429和434和/或输送到处理室中的其它位置,如下文将描述的。
等离子体发生器470可以用于产生输出到一个或多个感应线圈440的RF功率。等离子体490在上部室区域404中产生。在一些示例中,等离子体发生器470包括RF发生器472以及匹配网络474。匹配网络474将RF发生器472的阻抗与一个或多个感应线圈440的阻抗匹配。在一些示例中,气体分配装置414连接到诸如接地的参考电位。阀478和泵480可以用于控制下部室区域402和上部室区域404内部的压强并且用于抽空反应物。
控制器476与气体输送系统450-1和450-2、阀478、泵480和/或等离子体发生器470连通,以控制工艺气体、净化气体的流动、RF等离子体和室压强。在一些示例中,通过一个或多个感应线圈440在圆顶418内维持等离子体。使用气体喷射器442(和/或孔423)从室的顶部引入一种或多种气体混合物,并且使用气体分配装置414将等离子体限制在圆顶418内。
将等离子体限制在圆顶418中允许体积复合的等离子体物质和流出所需的蚀刻剂物质通过气体分配装置414。在一些示例中,没有RF偏置功率施加到衬底426。结果,在衬底426上没有活性鞘,并且离子不以任何有限的能量撞击衬底。一些量的离子将通过气体分配装置414从等离子体区域扩散出来。然而,扩散的等离子体的量比位于圆顶418内部的等离子体的量低一个数量级。等离子体中的大多数离子通过在高压下的体积复合而损失。气体分配装置414的上表面处的表面复合损失也降低气体分配装置414下方的离子密度。
在其它示例中,提供RF偏置功率发生器484,并且其包括RF发生器486和匹配网络488。RF偏置功率可以用于在气体分配装置414和衬底支撑件之间产生等离子体或者在衬底426上产生自偏置以吸引离子。控制器476可以用于控制RF偏置功率。
在一些示例中,在蚀刻间隔件的不对称上部期间,RF线圈功率在200W到3kW的范围内。在蚀刻间隔件的不对称上部期间,RF偏置功率在50W到1000W的范围内。在一些示例中,蚀刻化学物质包括基于氟的蚀刻化学物质,例如六氟化硫(SF6)、三氟化氮(NF3)、四氟化碳(CF4)、二氟甲烷(CH2F2)、氟甲烷(CH3F)、六氟-2-丁炔(C4F6)和八氟环丁烷(C4F8)。蚀刻期间的气体混合物还可以包括诸如氩(Ar)、氦(He)或其它惰性气体的惰性气体。在一些示例中,蚀刻化学物质组合对侧壁聚合物钝化是选择性的。
尽管前述描述涉及在双重图案化背景中的间隔件的平坦化,但本文所述的蚀刻工艺的自限性质可用于平坦化其它不对称结构。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个,可以在任何其它实施方式的特征中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“至少一个A、至少一个B和至少一个C”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、进出工具和其他输送工具和/或连接到特定系统或与特定系统接口的装载锁的晶片输送。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式输送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或在晶片厂(fab)主机系统的全部或一部分中,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、从多个制造操作研究趋势或性能度量、改变当前处理的参数、设置要跟随当前处理的处理步骤、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户接口,然后将该参数和/或设置从远程计算机输送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个离散控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (34)

1.一种用于处理半导体衬底的方法,其包括:
a)提供衬底叠层,所述衬底叠层包括第一层、以间隔关系布置在所述第一层上的多个芯和布置在所述第一层下方的一个或多个底层;
b)在所述第一层和所述多个芯上沉积共形层;
c)部分蚀刻所述共形层以产生与所述多个芯的侧壁相邻布置的间隔件,其中所述共形层的所述部分蚀刻使得所述间隔件的上部具有不对称轮廓;
d)相对于所述间隔件和所述第一层选择性地蚀刻所述多个芯;
e)在所述间隔件的侧壁上沉积聚合物膜;和
f)蚀刻所述间隔件的所述上部以去除所述不对称轮廓并平坦化所述间隔件的所述上部。
2.根据权利要求1所述的方法,其还包括:g)蚀刻所述聚合物膜。
3.根据权利要求2所述的方法,其还包括重复b)至g)一次或多次。
4.根据权利要求1所述的方法,其中f)在衬底处理室中执行,所述衬底处理室包括衬底支撑件、上部室区域、布置在所述上部室区域外部的感应线圈、包括所述衬底支撑件的下部室区域和布置在所述上部室区域和所述下部室区域之间的气体分配装置。
5.根据权利要求4所述的方法,其中所述气体分配装置包括与所述上部室区域和所述下部室区域流体连通的多个孔。
6.根据权利要求5所述的方法,其还包括:
在f)期间将所述衬底处理室中的压强控制在0.4托至10托的范围内;和
向所述上部室区域供应包括氟基气体的蚀刻气体混合物。
7.根据权利要求4所述的方法,其还包括在f)期间向所述感应线圈提供200W至3kW范围内的功率。
8.根据权利要求4所述的方法,其还包括在f)期间向所述衬底支撑件提供50W至1000W范围内的RF偏置功率。
9.根据权利要求6所述的方法,其中所述蚀刻气体混合物包括选自由六氟化硫(SF6)、三氟化氮(NF3)、四氟化碳(CF4)、二氟甲烷(CH2F2)、氟甲烷(CH3F)、六氟-2-丁炔(C4F6)和八氟环丁烷(C4F8)组成的组中的气体。
10.根据权利要求9所述的方法,其中所述蚀刻气体混合物还包括选自由氩(Ar)和氦(He)组成的组中的一种或多种气体。
11.根据权利要求1所述的方法,其中b)中的沉积所述共形层包括原子层沉积。
12.根据权利要求1所述的方法,其中c)中的部分蚀刻在感应耦合等离子体(ICP)室中进行。
13.根据权利要求1所述的方法,其中所述多个芯包括非晶硅膜。
14.根据权利要求1所述的方法,其中所述共形层包括从由氮化硅、硅和二氧化硅组成的组中选择的材料。
15.根据权利要求1所述的方法,其中d)在衬底处理室中执行,所述衬底处理室包括衬底支撑件、上部室区域、布置在所述上部室区域外部的感应线圈、包括所述衬底支撑件的下部室区域和布置在所述上部室区域和所述下部室区域之间的气体分配装置。
16.根据权利要求15所述的方法,其中所述气体分配装置包括与所述上部室区域和所述下部室区域流体连通的多个孔。
17.根据权利要求16所述的方法,其还包括:
在d)期间将所述衬底处理室中的压强控制在0.4托至10托的范围内;和
向所述上部室区域供应包括氟基气体的蚀刻气体混合物。
18.根据权利要求15所述的方法,其还包括在d)期间向所述感应线圈提供200W至3kW范围内的功率。
19.根据权利要求15所述的方法,其还包括在d)期间向所述衬底支撑件提供50W至1000W范围内的RF偏置功率。
20.根据权利要求17所述的方法,其中所述蚀刻气体混合物包括选自由六氟化硫(SF6)、三氟化氮(NF3)、四氟化碳(CF4)、二氟甲烷(CH2F2)、氟甲烷(CH3F)、六氟-2-丁炔(C4F6)和八氟环丁烷(C4F8)组成的组中的气体。
21.根据权利要求20所述的方法,其中所述蚀刻气体混合物还包括选自由氩(Ar)和氦(He)组成的组中的一种或多种气体。
22.一种用于处理半导体衬底的方法,其包括:
a)提供衬底叠层,所述衬底叠层包括第一层、以间隔关系布置在所述第一层上的多个芯和布置在所述第一层下方的一个或多个底层;
b)在所述第一层和所述多个芯上沉积共形层;
c)部分蚀刻所述共形层以产生与所述多个芯的侧壁相邻布置的间隔件,
其中所述共形层的所述部分蚀刻使得所述间隔件的上部具有不对称轮廓,和
其中聚合物膜在c)之后保留在所述间隔件的侧壁上;和
d)蚀刻所述间隔件的所述上部以去除所述不对称轮廓并平坦化所述间隔件的所述上部。
23.根据权利要求22所述的方法,其还包括e)蚀刻所述聚合物膜和所述多个芯。
24.根据权利要求23所述的方法,其还包括重复b)至e)一次或多次。
25.根据权利要求22所述的方法,其中b)中的沉积所述共形层包括原子层沉积。
26.根据权利要求22所述的方法,其中c)中的所述部分蚀刻在感应耦合等离子体(ICP)室中进行。
27.根据权利要求22所述的方法,其中所述多个芯包括非晶硅膜。
28.根据权利要求22所述的方法,其中所述共形层包括从由氮化硅、硅和二氧化硅组成的组中选择的材料。
29.一种用于平坦化衬底的不对称表面的自限性蚀刻方法,其包括:
将所述衬底布置在衬底处理室的衬底支撑件上,
其中所述衬底包括特征,所述特征指的是所述衬底上的间隔件,且所述间隔件的上部具有不对称轮廓,和
其中所述衬底处理室包括上部室区域、布置在所述上部室区域外部的感应线圈、包括所述衬底支撑件的下部室区域以及布置在所述上部室区域和所述下部室区域之间的气体分配装置;
将所述衬底处理室内的压强控制在0.4托至10托的范围内;
向所述上部室区域供应包括氟基气体的蚀刻气体混合物;和
蚀刻所述间隔件的上部以去除所述不对称轮廓并且平坦化所述间隔件的所述上部。
30.根据权利要求29所述的方法,其还包括在蚀刻期间向所述感应线圈提供200W至3kW范围内的功率。
31.根据权利要求30所述的方法,其还包括在蚀刻期间向所述衬底支撑件提供50W至1000W范围内的RF偏置功率。
32.根据权利要求30所述的方法,其中所述蚀刻气体混合物包括选自由六氟化硫(SF6)、三氟化氮(NF3)、四氟化碳(CF4)、二氟甲烷(CH2F2)、氟甲烷(CH3F)、六氟-2-丁炔(C4F6)和八氟环丁烷(C4F8)组成的组中的气体。
33.根据权利要求22所述的方法,还包括,在部分蚀刻所述共形层以创建所述间隔件之后,蚀刻所述衬底叠层以去除所述多个芯。
34.根据权利要求22所述的方法,其中部分蚀刻所述共形层去除了所述共形层与所述多个芯的顶面接触的部分。
CN201710061603.6A 2016-02-02 2017-01-26 硬掩膜的自限性平坦化 Active CN107039265B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/013,400 2016-02-02
US15/013,400 US9640409B1 (en) 2016-02-02 2016-02-02 Self-limited planarization of hardmask

Publications (2)

Publication Number Publication Date
CN107039265A CN107039265A (zh) 2017-08-11
CN107039265B true CN107039265B (zh) 2023-07-04

Family

ID=58629520

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710061603.6A Active CN107039265B (zh) 2016-02-02 2017-01-26 硬掩膜的自限性平坦化

Country Status (4)

Country Link
US (1) US9640409B1 (zh)
KR (1) KR20170092113A (zh)
CN (1) CN107039265B (zh)
TW (1) TWI723124B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10453686B2 (en) * 2016-08-31 2019-10-22 Tokyo Electron Limited In-situ spacer reshaping for self-aligned multi-patterning methods and systems
CN109411337A (zh) 2017-08-16 2019-03-01 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
CN110648909B (zh) * 2019-09-30 2022-03-18 福建北电新材料科技有限公司 回磨方法、衬底晶片以及电子器件

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101512726A (zh) * 2006-09-14 2009-08-19 美光科技公司 高效的间距倍增工艺
CN102272902A (zh) * 2009-01-07 2011-12-07 朗姆研究公司 通过等离子体氧化处理的轮廓和cd均匀性控制
CN104701152A (zh) * 2013-12-05 2015-06-10 台湾积体电路制造股份有限公司 具有矩形轮廓的间隔件及其制造方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US8809948B1 (en) * 2012-12-21 2014-08-19 Alpha And Omega Semiconductor Incorporated Device structure and methods of making high density MOSFETs for load switch and DC-DC applications
KR101772309B1 (ko) * 2013-06-04 2017-08-28 도쿄엘렉트론가부시키가이샤 자기 정렬 패터닝 에칭에서의 비대칭 프로파일의 완화
US20150270144A1 (en) * 2014-03-20 2015-09-24 Inotera Memories, Inc. Patterned structure of semiconductor device and method for fabricating the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101512726A (zh) * 2006-09-14 2009-08-19 美光科技公司 高效的间距倍增工艺
CN102272902A (zh) * 2009-01-07 2011-12-07 朗姆研究公司 通过等离子体氧化处理的轮廓和cd均匀性控制
CN104701152A (zh) * 2013-12-05 2015-06-10 台湾积体电路制造股份有限公司 具有矩形轮廓的间隔件及其制造方法

Also Published As

Publication number Publication date
TWI723124B (zh) 2021-04-01
US9640409B1 (en) 2017-05-02
KR20170092113A (ko) 2017-08-10
TW201740459A (zh) 2017-11-16
CN107039265A (zh) 2017-08-11

Similar Documents

Publication Publication Date Title
CN107039265B (zh) 硬掩膜的自限性平坦化
CN106601612B (zh) 用于超高选择性的氮化物蚀刻的系统和方法
US9837286B2 (en) Systems and methods for selectively etching tungsten in a downstream reactor
JP7210538B2 (ja) 周期的な不動態化およびエッチングを使用する高アスペクト比の選択的横方向エッチング
KR102675485B1 (ko) 수평 표면들 상에 SiN의 선택적인 증착
US20160148813A1 (en) Gas injection method for uniformly processing a semiconductor substrate in a semiconductor substrate processing apparatus
CN107665803B (zh) 用于蚀刻复合三维结构的压力吹扫蚀刻方法
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
US10727089B2 (en) Systems and methods for selectively etching film
CN107045999B (zh) 使用ald和高密度等离子体cvd形成气隙密封件的系统和方法
CN113710829B (zh) 高蚀刻选择性的低应力可灰化碳硬掩模
US20220181141A1 (en) Etch stop layer
KR102510611B1 (ko) 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법
US20220235464A1 (en) Selective carbon deposition
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant