TW201740459A - 硬遮罩之自我限制平坦化加工 - Google Patents

硬遮罩之自我限制平坦化加工 Download PDF

Info

Publication number
TW201740459A
TW201740459A TW106102769A TW106102769A TW201740459A TW 201740459 A TW201740459 A TW 201740459A TW 106102769 A TW106102769 A TW 106102769A TW 106102769 A TW106102769 A TW 106102769A TW 201740459 A TW201740459 A TW 201740459A
Authority
TW
Taiwan
Prior art keywords
processing
etching
semiconductor substrate
substrate according
spacer
Prior art date
Application number
TW106102769A
Other languages
English (en)
Other versions
TWI723124B (zh
Inventor
登亮 楊
朴准弘
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201740459A publication Critical patent/TW201740459A/zh
Application granted granted Critical
Publication of TWI723124B publication Critical patent/TWI723124B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28132Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects conducting part of electrode is difined by a sidewall spacer or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

半導體基板的處理方法包含:a)提供一基板堆疊,該基板堆疊包含第一覆層、在第一覆層上以分隔關係設置的複數芯部、及設置於第一覆層下方的一或更多下方覆層;b)在第一覆層及複數芯部上沉積保形層;c)部分蝕刻保形層,以產生鄰近複數芯部側壁而設置的間隔件,其中保形層的部分蝕刻導致間隔件的上部具有非對稱輪廓;d)相對於間隔件及第一覆層選擇性蝕刻複數芯部;e)在間隔件的側壁上沉積聚合物膜;以及f)蝕刻間隔件的上部,以移除非對稱輪廓,並使間隔件的上部平坦化。

Description

硬遮罩之自我限制平坦化加工
本揭露內容關於基板處理系統及方法,且更特別地關於用以執行硬遮罩之平坦化的基板處理系統及方法。
本文提供的背景描述係針對概括地呈現本揭露內容之脈絡的目的。目前所列名之發明人的工作成果(就本先前技術部分中所描述之範圍而言)、以及不可以其他方式視為申請時之先前技術的描述內容之實施態樣均不明示或暗示地被認為是相對本揭露內容的先前技術。
基板處理系統可用以在例如半導體晶圓的基板上沉積及蝕刻膜層。基板處理系統通常包含處理腔室、氣體分配裝置、及基板支撐件。在處理期間,基板係設置於基板支撐件上。不同的氣體混合物可引入處理腔室中,且射頻(RF, radio frequency)電漿可用以啟動化學反應。
雙重圖案化方法可用於節距分割。沉積芯部層並使其圖案化,以定義複數分隔的芯部。在芯部上沉積保形層。然後,使用非等向性蝕刻製程蝕刻保形層,以蝕掉大部分保形層而留下芯部之側壁上的間隔件。選擇性蝕刻芯部。然後,將間隔件的臨界尺寸(CD, critical dimension)轉移至下方覆層。
在蝕刻之後,間隔件之上部的形狀主要起因於非等向性蝕刻期間的離子濺射而為非對稱的。由於非對稱的形狀,故當轉移臨界尺寸(CD)至下方覆層時,臨界尺寸(CD)有增加的變化性。若執行額外的節距分割,通常將遮罩轉移至下方覆層,以產生方形的形狀。
半導體基板的處理方法包含:a)提供一基板堆疊,該基板堆疊包含第一覆層、在第一覆層上以分隔關係設置的複數芯部、及設置於第一覆層下方的一或更多下方覆層;b)在第一覆層及複數芯部上沉積保形層;c)部分蝕刻保形層,以產生鄰近複數芯部之側壁而設置的間隔件,其中保形層的部分蝕刻導致間隔件的上部具有非對稱輪廓;d)相對於間隔件及第一覆層選擇性蝕刻複數芯部;e)在間隔件的側壁上沉積聚合物膜;以及f)蝕刻間隔件的上部,以移除非對稱輪廓並使間隔件的上部平坦化。
在其他特徵中,方法更包含g)蝕刻聚合物膜。該方法包含重複b)至g)一或更多次。
在其他特徵中,f)係在基板處理腔室中執行,該基板處理腔室包含基板支撐件、上腔室區域、設置於上腔室區域外側的感應線圈、包含基板支撐件的下腔室區域、及設置於上腔室區域及下腔室區域之間的氣體分配裝置。氣體分配裝置包含與上腔室區域及下腔室區域流體連通的複數孔。
在其他特徵中,方法包含:在f)期間將基板處理腔室中的壓力控制在從0.4Torr至10Torr的範圍內;以及供應含有氟基氣體的蝕刻氣體混合物至上腔室區域。
在其他特徵中,方法包含在f)期間向感應線圈供應從200W至3kW之範圍內的功率。方法包含在f)期間向基板支撐件供應從50W至1000W之範圍內的RF偏壓功率。
在其他特徵中,蝕刻氣體混合物包含選自由以下者組成之群組的氣體:六氟化硫(SF6 )、三氟化氮(NF3 )、四氟化碳(CF4 )、二氟甲烷(CH2 F2 )、氟甲烷(CH3 F)、六氟-2-丁炔(C4 F6 )、及八氟環丁烷(C4 F8 )。蝕刻氣體混合物更包含選自由氬(Ar)及氦(He)組成之群組的一或更多氣體。在b)中沉積保形層的步驟包含原子層沉積。c)中的部分蝕刻係在感應耦合電漿(ICP, inductively coupled plasma)腔室中執行。
在其他特徵中,複數芯部包含非晶矽膜。保形層包含選自由以下者組成之群組的材料:氮化矽、矽、及二氧化矽。
半導體基板的處理方法包含:a)提供一基板堆疊,該基板堆疊包含第一覆層、在第一覆層上以分隔關係設置的複數芯部、及設置於第一覆層下方的一或更多下方覆層;b)在第一覆層及複數芯部上沉積保形層;c)部分蝕刻保形層,以產生鄰近複數芯部之側壁而設置的間隔件,其中保形層的部分蝕刻導致間隔件的上部具有非對稱輪廓,且其中在c)之後,聚合物膜留在間隔件的側壁上;以及d)蝕刻間隔件的上部,以移除非對稱輪廓並使間隔件的上部平坦化。
在其他特徵中,方法包含e)蝕刻聚合物膜及複數芯部。方法包含重複b)至e)一或更多次。
在其他特徵中,d)係在基板處理腔室中執行,該基板處理腔室包含基板支撐件、上腔室區域、設置於上腔室區域之外側的感應線圈、包含基板支撐件的下腔室區域、及設置於上腔室區域及下腔室區域之間的氣體分配裝置。氣體分配裝置包含與上腔室區域及下腔室區域流體連通的複數孔。
在其他特徵中,方法包含:在d)期間將基板處理腔室中的壓力控制在從0.4Torr至10Torr的範圍內;以及供應含有氟基氣體的蝕刻氣體混合物至上腔室區域。方法包含在d)期間向感應線圈供應從200W至3kW範圍內的功率。
方法包含在d)期間向基板支撐件供應從50W至1000W範圍內的RF偏壓功率。蝕刻氣體混合物係選自由以下者組成之群組的氣體:六氟化硫(SF6 )、三氟化氮(NF3 )、四氟化碳(CF4 )、二氟甲烷(CH2 F2 )、氟甲烷(CH3 F)、六氟-2-丁炔(C4 F6 )、及八氟環丁烷(C4 F8 )。蝕刻氣體混合物更包含選自由氬(Ar)及氦(He)組成之群組的一或更多氣體。b)中沉積保形層的步驟包含原子層沉積。c)中的部分蝕刻係在感應耦合電漿(ICP)腔室中執行。複數芯部包含非晶矽膜。保形層包含選自由以下者組成之群組的材料:氮化矽、矽、及二氧化矽。
用以使基板的非對稱表面平坦化的自我限制蝕刻方法包含在基板處理腔室的基板支撐件上設置基板。基板包括特徵部,該特徵部包含具有非對稱輪廓的上部。基板處理腔室包含上腔室區域、設置於上腔室區域之外側的感應線圈、包含基板支撐件的下腔室區域、及設置於上腔室區域及下腔室區域之間的氣體分配裝置。方法包含:將基板處理腔室中的壓力控制在從0.4Torr至10Torr的範圍內;供應含有氟基氣體的蝕刻氣體混合物至上腔室區域;以及蝕刻特徵部的上部,以移除非對稱輪廓並使特徵部的上部平坦化。
在其他特徵中,方法包含在蝕刻期間向感應線圈供應從200W至3kW範圍內的功率。方法包含在蝕刻期間向基板支撐件供應從50W至1000W範圍內的RF偏壓功率。蝕刻氣體混合物係選自由以下者組成之群組的氣體:六氟化硫(SF6 )、三氟化氮(NF3 )、四氟化碳(CF4 )、二氟甲烷(CH2 F2 )、氟甲烷(CH3 F)、六氟-2-丁炔(C4 F6 )、及八氟環丁烷(C4 F8 )。
本揭露內容之應用的進一步領域將自實施方式、申請專利範圍、及圖式而變得明白。實施方式及特定範例僅意在說明的目的,且不意圖限制本揭露內容的範疇。
本揭露內容關於使用自我限制平坦化方法、用以修整硬遮罩之非對稱形狀的系統及方法。在一些範例中,將間隔件之上部的圓形或非對稱遮罩輪廓修整為平坦輪廓。所執行的蝕刻製程為自我限制性的。換言之,當非對稱表面被蝕刻成平坦時,蝕刻停止。
根據本揭露內容的平坦化方法可用在多重圖案化方法期間,以藉由產生對稱、方形的遮罩而降低臨界尺寸(CD)轉移的變化性。相同的遮罩可直接用於隨後一輪的節距分割,而無需轉移至另一覆層。在一些範例中,該方法可用以修整包含氮化矽(SiN)、矽(Si)、及二氧化矽(SiO2 )的遮罩膜。雖然前述說明關於雙重圖案化過程中之間隔件的平坦化,但本文中所述之蝕刻製程的自我限制特性可用以使其他非對稱結構平坦化。
在一些範例中,平坦化蝕刻係在以下圖17中所述的雙腔室處理系統中、在高壓環境(例如,0.4Torr與10Torr之間)中使用離子輔助自由基蝕刻執行。
現在參考圖1,堆疊50包含芯部層52,沉積該芯部層52並使其圖案化,以定義分隔的一或更多芯部53-1、53-2、…及53-N(統稱為芯部53),其中N為大於1的整數。芯部層52係設置在覆層54上,該覆層54係設置在一或更多下方覆層56上。僅舉例而言,芯部層52可由非晶矽(a-Si)製成。覆層54可由氧化物膜製成。在一些範例中,下方覆層56可包含覆層58、60、64、68、70、74、76、78、及80。如可察知,就不同應用而言,下方覆層56的範例將有所變化。換言之,用於下方覆層56中之覆層的數目及膜層材料的類型可有所變化。在以下所述的圖2~16中,省略下方覆層56。
在一些範例中,覆層58包含例如碳氧化矽(SiOC)的芯部硬遮罩膜層,覆層60包含例如非晶碳(a-C)的芯部層,覆層64包含例如非晶矽(a-Si)的芯部層,覆層68包含例如氮化矽(SiN)的蝕刻停止層(ESL, etch stop layer),覆層70包含例如a-Si的透光硬遮罩(clear hard mask(HM)),覆層74包含例如二氧化矽(SiO2 )的鰭式硬遮罩,覆層76包含例如SiN的襯墊層(pad layer),覆層78包含例如SiO2 的襯墊層,且覆層80包含矽(Si)基板。然而,可使用其他組合的覆層及膜層材料。
現在參考圖2,保形層81係沉積於堆疊50的芯部層52上。在一些範例中,保形層81係使用原子層沉積(ALD, atomic layer deposition)及電漿加強ALD(PEALD)沉積。在一些範例中,保形層81包含氮化矽(SiN)、矽(Si)、或二氧化矽(SiO2 )。例如,SiN的保形沉積係揭露在2013年11月26日公告之共同受讓的美國專利第8,592,328號中,該美國專利係整體併入於此,以供參考。
現在參考圖3,執行堆疊50的蝕刻。在一些範例中,蝕刻係非等向性的、對下方覆層54所使用的膜層具有選擇性、且係在例如變壓器耦合電漿(TCP, transformer coupled plasma)腔室的電漿蝕刻腔室中執行。如本文中所使用,詞彙「選擇性蝕刻」是指蝕刻一膜層材料多於蝕刻其他曝露膜層材料。在一些範例中,選擇蝕刻比率大於10:1、100:1、1000:1等。TCP腔室的範例係在2016年6月15日公告、且名為「TCCT MATCH CIRCUIT FOR PLASMA ETCH CHAMBERS」的美國專利第9,059,678號中顯示及描述,該美國專利係整體併入於此,以供參考。
在一些範例中,蝕刻化學成分包括含有氟甲烷(CH3 F)及二氧化碳(CO2 )的氣體混合物,但可使用其他蝕刻化學成分。在其他範例中,蝕刻化學成分包括含有二氟甲烷(CH2 F2 )、分子氧(O2 )及氬(Ar)、或含有氟甲烷(CH3 F)、O2 及Ar的氣體混合物,但可使用其他蝕刻化學成分,或者可順序使用二或更多化學成分。在一些範例中,線圈功率係在從200W至800W的範圍內,且基板偏壓係在從100V至500V的範圍內。在一些範例中,腔室壓力係在從5mTorr至10mTorr的範圍內。施加於基板支撐件的偏壓可為連續波、脈衝波、或可順序使用連續波及脈衝波。
在圖3中顯示執行蝕刻之後的堆疊50。除芯部53-1、53-2、53-3、...及53-N之側壁附近外的大部分位置中的保形層81被移除。蝕刻之後,間隔件82-1A、82-1B、82-2A、82-2B、82-3A、82-3B、...82-NA、及82-NB(統稱為間隔件82)係定義且位於芯部53的側壁附近。在一些範例中,間隔件係圓的且包含從間隔件外側稍微向上延伸至間隔件內側的凸面弧部(convex arcuate portion)。在非等向性蝕刻之後,一些聚合物膜(未顯示)可能留在間隔件82的側壁上。聚合物膜係在以下所述之芯部的後續蝕刻期間移除。
現在參考圖4~5,其顯示堆疊50的進一步處理。在圖4中,執行堆疊50的選擇性蝕刻,以移除芯部53並留下間隔件82。在一些範例中,蝕刻化學成分包含三氟化氮(NF3 )及溴化氫(HBr)的氣體混合物,但可使用其他化學成分。在一些範例中,線圈功率係在從200W至2000W的範圍內,且基板偏壓係在從0V至500V的範圍內。在一些範例中,腔室壓力係在從5mTorr至100mTorr的範圍內。施加於基板支撐件的偏壓可為連續波、脈衝波、或可順序使用連續波及脈衝波。
在圖5中,如90-1A1、90-1A2、...、90-3B1及90-3B2(統稱為聚合物膜90)可見,聚合物膜係沉積於間隔件82的相反側壁上。在一些範例中,聚合物膜90係利用以下者在TCP腔室中沉積:包含甲烷(CH4 )及氬(Ar)或甲烷、氬及氦(He)的氣體化學成分;介於50與3000W之間的RF功率;以及介於0與1000W之間的偏壓功率。
現在參考圖6~7,其顯示堆疊50的進一步處理。在圖6中,執行平坦化蝕刻,以使間隔件82之圓的、彎曲的、或非對稱的上部輪廓平坦化。在一些範例中,如以下將進一步描述,使用以下結合圖17所描述的製程腔室。如圖6中可見,離子96在蝕刻期間轟擊間隔件82之圓的、彎曲的、或非對稱的輪廓,並產生自由基98。離子96具有相對低的離子能量及高的濺射產率(sputtering yield)。間隔件82的側壁係利用聚合物膜90加以鈍化。在低離子能量的情況下,離子96具有大的分佈角度。曝露區域具有高覆蓋率的自由基98,且蝕刻該曝露區域直至其為如圖7中可見之相對平坦的輪廓為止。
現在參考圖8~9,其顯示堆疊50的進一步處理。在圖8中,相對於間隔件82選擇性蝕刻聚合物膜90。在一些範例中,蝕刻製程係在ICP腔室中執行。在一些範例中,利用選自由分子氧(O2 )、分子氮(N2 )、及分子氫(H2 )組成之群組的氣體及介於300W與3000W之間的RF功率,使用乾式清洗步驟將聚合物膜從間隔件的側壁移除。
若間隔件82的節距要再進行雙重化,則在圖9中(以類似於圖2的方式)沉積另一保形層100,並且重複圖3~7中所顯示之製程一或更多次。
現在參考圖10~12,可在芯部53的蝕刻之前執行間隔件82之上表面的平坦化。在圖10中,在圖2中沉積保形層之後,執行蝕刻製程,以移除保形層81,並留下芯部之側壁附近的間隔件。在蝕刻之後,如圖10中可見,聚合物膜90仍留在間隔件82的側壁上。在一些範例中,使用圖3的蝕刻製程。
在圖11中,執行平坦化蝕刻,從而以類似於以上圖6中所述方式的方式使間隔件82之圓的、彎曲的、或非對稱的輪廓平坦化。如圖11中可見,離子96轟擊間隔件82之圓的、彎曲的、或非對稱的輪廓,並產生自由基98。離子96具有相對低的離子能量及高的濺射產率。如圖12中可見,蝕刻間隔件82的曝露區域,以使表面平坦化。在其他情形中,芯部53-1、53-2、及53-N的材料可下凹至與82-1A、82-1B、82-3A、及82-3B相同的高度。
現在參考圖13~14,其顯示堆疊的進一步處理。在圖13中,相對於間隔件82選擇性蝕刻聚合物膜90及芯部53。若間隔件82要再進行雙重化,則沉積另一保形層110,並重複圖2及10~13中所顯示的製程一或更多次。
參考圖15,其顯示非對稱間隔件的平坦化方法200。在步驟204,提供堆疊。在步驟208,在堆疊的上部覆層中定義芯部。或者,可提供具有已定義芯部的堆疊。在步驟212,在堆疊上於芯部上方沉積保形層。保形層厚度可變化,以定義最終轉移層線條的臨界尺寸。
在步驟216,選擇性蝕刻保形層。蝕刻之後,留下具有非對稱上部表面的間隔件(例如,見圖3)。在步驟218,相對於間隔件選擇性蝕刻芯部材料。在步驟222,在間隔件的側壁上沉積聚合物膜。在步驟224,蝕刻間隔件的非對稱上表面,以產生相對平坦的表面。在步驟228,選擇性蝕刻間隔件側壁上的聚合物膜。若期望額外的節距分割,則方法200返回至步驟212。否則,方法200結束。
參考圖16,其顯示非對稱間隔件的平坦化方法300。在步驟304,提供堆疊。在步驟308,在堆疊的上部覆層中定義芯部。或者,在步驟312,在堆疊上於芯部上方沉積保形層。在步驟316,選擇性蝕刻保形層。蝕刻之後,留下具有非對稱上表面的間隔件。如圖10中可見,在蝕刻期間,聚合物膜係沉積在該間隔件的側壁上。
在步驟324,蝕刻間隔件的非對稱上表面。在步驟326,蝕刻間隔件之側壁上的聚合物。在步驟328,蝕刻芯部。在一些範例中,步驟326及328可為單蝕刻步驟。若期望額外的節距分割,則方法300返回至步驟312。否則,方法300結束。
現在參考圖17,其顯示用以蝕刻間隔件以使非對稱上表面平坦化的基板處理腔室400的範例。儘管顯示並描述特定的基板處理腔室,但本文中所述之方法可使用其他類型的基板處理系統加以實施。
基板處理腔室400包含下腔室區域402及上腔室區域404。下腔室區域402係藉由腔室側壁表面408、腔室底部表面410、及氣體分配裝置414的下表面定義。
上腔室區域404係藉由氣體分配裝置414的上表面及拱頂418的內表面定義。在一些範例中,拱頂418位於第一環形支撐件421上。在一些範例中,如以下將進一步描述,第一環形支撐件421包含一或更多分隔孔423,用以傳送製程氣體至上腔室區域404。在一些範例中,製程氣體係藉由一或更多分隔孔423以相對於含氣體分配裝置414之平面的銳角在向上方向上傳送,但可使用其他角度/方向。在一些範例中,第一環形支撐件421中氣體流動通道434供應氣體至一或更多分隔孔423。
第一環形支撐件421可位於第二環形支撐件425上,該第二環形支撐件425定義一或更多分隔孔427,用以將來自氣體流動通道429的製程氣體傳送至下腔室區域402。在一些範例中,氣體分配裝置414中的孔431與孔427對準。在其他範例中,氣體分配裝置414具有較小的直徑,且不需要孔431。在一些範例中,製程氣體係藉由一或更多分隔孔427在向下方向上以相對於含氣體分配裝置414之平面的銳角朝向基板傳送,但可使用其他角度/方向。
在其他範例中,上腔室區域404係具有平坦頂部表面的圓柱型,且可使用一或更多平坦感應線圈。在又其他範例中,可使用具有位於噴淋頭及基板支撐件之間之間隔件的單一腔室。
基板支撐件422係設置於下腔室區域402中。在一些範例中,基板支撐件422包含靜電卡盤(ESC, electrostatic chuck),但可使用其他類型的基板支撐件。基板426在蝕刻期間係設置於基板支撐件422的上表面上。在一些範例中,基板426的溫度可藉由加熱器板430、具有流體通道的可選冷卻板、及一或更多感測器(未顯示)而控制,但可使用任何其他適當的基板支撐件溫度控制系統。
在一些範例中,氣體分配裝置414包含噴淋頭(例如,具有複數分隔孔432的板件428)。複數分隔孔432從板件428的上表面延伸至板件428的下表面。在一些範例中,分隔孔432具有從0.4英吋至0.75英吋範圍內的直徑,且噴淋頭係由傳導材料(例如鋁)或非傳導材料(例如具有由傳導材料製成之嵌入式電極的陶瓷)製成。
一或更多感應線圈440係設置於拱頂418的外部周圍。當供以能量時,該一或更多感應線圈440在拱頂418內側產生電磁場。在一些範例中,使用上線圈及下線圈。氣體噴射器442噴射來自氣體傳送系統450-1的一或更多氣體混合物。
在一些範例中,氣體傳送系統450-1包含一或更多氣體源452、一或更多閥454、一或更多質流控制器(MFC, mass flow controller)456、及混合歧管458,但可使用其他類型的氣體傳送系統。可使用氣體分流器(未顯示)來改變氣體混合物的流速。可使用另一氣體傳送系統450-2來供應蝕刻氣體或蝕刻氣體混合物至氣體流動通道429及/或434(額外於來自氣體噴射器442的蝕刻氣體,或者取而代之)。
適當的氣體傳送系統係在2015年12月4日申請、且名為「Gas Delivery System」的共同受讓的美國專利申請案第14/945,680號中加以顯示及描述,該美國專利申請案係整體內容併入於此,以供參考。適當的單氣體噴射器或雙氣體噴射器及其他氣體噴射位置係在2016年1月7日申請、且名為「Substrate Processing System with Multiple Injection Points and Dual Injector」的共同受讓的美國臨時專利申請案第62/275,837號中加以顯示及描述,該美國臨時專利申請案係整體內容併入於此,以供參考。
在一些範例中,氣體噴射器442包含在向下方向上指引氣體的中心噴射位置及以相關於向下方向之角度噴射氣體的一或更多側噴射位置。在一些範例中,氣體傳送系統450-1以第一流速傳送氣體混合物的第一部分至氣體噴射器442的中心噴射位置、且以第二流速傳送氣體混合物的第二部分至氣體噴射器442的(複數)側噴射位置。在其他範例中,不同的氣體混合物係藉由氣體噴射器442傳送。在一些範例中,如以下將描述,氣體傳送系統450-1傳送調節氣體至氣體流動通道429及434,及/或至處理腔室中的其他位置。
可使用電漿產生器470來產生輸出至一或更多感應線圈440的RF功率。電漿490係在上腔室區域404中產生。在一些範例中,電漿產生器470包含RF產生器472及匹配網路474。匹配網路474使RF產生器472的阻抗與一或更多感應線圈440的阻抗相匹配。在一些範例中,氣體分配裝置414係連接至例如接地的參考電位。閥478及泵浦480可用以控制下及上腔室區域402、404內側的壓力,並用以排空反應物。
控制器476與氣體傳送系統450-1及450-2、閥478、泵浦480、及/或電漿產生器470連通,以控制製程氣體流、沖洗氣體、RF電漿、及腔室壓力。在一些範例中,電漿係藉由一或更多感應線圈440而維持於拱頂418內側。一或更多氣體混合物係使用氣體噴射器442(及/或孔423)而從腔室頂部引入,且電漿係使用氣體分配裝置414而限制於拱頂418內。
將電漿限制於拱頂418中容許電漿物種的體積復合(volume recombination)及期望蝕刻劑物種透過氣體分配裝置414的滲出。在一些範例中,無RF偏壓功率施加於基板426。因此,在基板426上無活性鞘區(active sheath),且離子不以任何限定能量撞擊基板。一些量的離子將透過氣體分配裝置414從電漿區域擴散出去。然而,擴散的電漿量比位於拱頂418內側之電漿低一個數量級。電漿中的大多數離子藉由高壓下的體積復合而損失。氣體分配裝置414之上表面處的表面復合損失亦降低氣體分配裝置414下方的離子密度。
在其他範例中,提供RF偏壓功率產生器484,且該RF偏壓功率產生器484包括RF產生器486與匹配網路488。RF偏壓功率可用以在氣體分配裝置414與基板支撐件之間產生電漿,或用以在基板426上產生自偏壓以吸引離子。控制器476可用以控制RF偏壓功率。
在一些範例中,在間隔件之非對稱上部的蝕刻期間,RF線圈功率係在從200W至3kW的範圍內。在間隔件之非對稱上部的蝕刻期間,RF偏壓功率係在從50W至1000W的範圍內。在一些範例中,蝕刻化學成分包含氟基蝕刻化學成分,例如六氟化硫(SF6)、三氟化氮(NF3)、四氟化碳(CF4)、二氟甲烷(CH2F2)、氟甲烷(CH3F)、六氟-2-丁炔(C4F6)、及八氟環丁烷(C4F8)。蝕刻期間的氣體混合物亦可包含例如氬(Ar)、氦(He)、或其他稀有氣體的惰性氣體。在一些範例中,蝕刻化學成分組合對側壁聚合物鈍化物具有選擇性。
儘管先前描述內容相關於雙重圖案化過程中間隔件的平坦化,但本文中所描述之蝕刻製程的自我限制特性可用以使其他非對稱結構平坦化。
先前描述內容在本質上僅為說明性的,且決非意圖限制本揭露內容、其應用、或用途。本揭露內容之廣泛教導可以各種形式實施。因此,雖本揭露內容包括特定範例,然由於其他變化將在研究圖式、說明書、與隨後之申請專利範圍時變得顯而易見,故本揭露內容之真實範疇不應如此受限。應理解,在不改變本揭露內容原理的情形下,方法中的一或更多步驟可以不同次序(或同時)執行。進一步講,儘管每一實施例以上係描述為具有某些特徵,然關於本揭露內容之任何實施例所述之該等特徵的任何一或更多者可在其他實施例的任何者中實施,及/或可與其他實施例之任何者中的特徵部相結合,即使該組合未明確描述亦然。換言之,所述實施例並非相互排斥,且一或更多實施例彼此的置換仍在本揭露內容的範疇內。
複數元件之間(例如,複數模組、複數電路元件、複數半導體覆層等之間)空間及功能的關係係使用諸多用語描述,包含「連接」、「嚙合」、「耦接」、「鄰近」、「接近」、「在頂部上」、「上方」、「下方」、及「設置」。除非明確地描述成係「直接」的,否則當在以上揭露內容中描述第一及第二元件之間的關係時,該關係可為在第一及第二元件之間沒有其他中間元件出現的直接關係,也可為在第一及第二元件之間存在一或更多中間元件(空間上、或功能上)的間接關係。如本文中所使用,詞組「A、B、及C之至少一者」應被解釋成意指使用非排除性邏輯「或」的邏輯(A或B或C),且不應被解釋成意指「A之至少一者、B之至少一者、及C之至少一者」。
在一些實施例中,控制器為系統的一部分,其可為上述範例的一部分。如此之系統可包含半導體處理設備,其包括(複數)處理工具、(複數)腔室、(複數)處理平台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子設備整合,以在半導體晶圓或基板的處理之前、期間、與之後控制其操作。電子設備可稱作「控制器」,其可控制(複數)系統的諸多元件或子部件。取決於處理需要及/或系統類型,控制器可編程成控制本文中所揭露之製程的任何者,包含處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置和操作設定、進出工具及其他轉移工具(及/或連接至特定系統或與特定系統接合的裝載室)的晶圓轉移。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊用途積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為執行特定的製程(在半導體晶圓上,或針對半導體晶圓)而定義操作參數。在一些實施例中,操作參數可以是由製程工程師為了在一或更多以下者的製造期間完成一或更多處理步驟而定義之製程配方的一部分:覆層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。在一些範例中,遠端電腦(例如,伺服器)可通過網路提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以進入參數及/或設定、或對參數及/或設定進行編程的使用者介面,然後該參數及/或設定自遠端電腦傳達至系統。在一些範例中,控制器以資料的形式接收指令,該指令為即將於一或更多操作期間執行之處理步驟的每一者而指定參數。應理解,參數可特定地針對待執行之製程的類型、以及控制器與之接合或加以控制之工具的類型。因此如上所述,控制器可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,本文中所述之製程及控制)而運作的一或更多分離控制器。用於如此目的之分散式控制器的範例將是腔室上與位於遠端的一或更多積體電路(例如,在作業平臺位準處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
例示性系統可包含但不限於以下者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室(track chamber)或模組、以及可在半導體晶圓的加工及/或製造中相關聯或使用的任何其他半導體處理系統。
如以上所提及,取決於即將藉由工具而執行之(複數)製程步驟,控制器可與半導體製造工廠中之一或更多的以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具(cluster tool)、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料運輸中使用之工具,該材料運輸中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
50‧‧‧堆疊
52‧‧‧芯部層
53-1‧‧‧芯部
53-2‧‧‧芯部
53-3‧‧‧芯部
53-N‧‧‧芯部
54‧‧‧覆層
56‧‧‧覆層
58‧‧‧覆層
60‧‧‧覆層
64‧‧‧覆層
68‧‧‧覆層
70‧‧‧覆層
74‧‧‧覆層
76‧‧‧覆層
78‧‧‧覆層
80‧‧‧覆層
81‧‧‧保形層
82-1A‧‧‧間隔件
82-1B‧‧‧間隔件
82-2A‧‧‧間隔件
82-2B‧‧‧間隔件
82-3A‧‧‧間隔件
82-3B‧‧‧間隔件
90-1A1‧‧‧聚合物膜
90-1A2‧‧‧聚合物膜
90-3B1‧‧‧聚合物膜
90-3B2‧‧‧聚合物膜
96‧‧‧離子
98‧‧‧自由基
110‧‧‧保形層
200‧‧‧方法
204‧‧‧步驟
208‧‧‧步驟
212‧‧‧步驟
216‧‧‧步驟
218‧‧‧步驟
222‧‧‧步驟
224‧‧‧步驟
228‧‧‧步驟
230‧‧‧步驟
300‧‧‧方法
304‧‧‧步驟
308‧‧‧步驟
312‧‧‧步驟
316‧‧‧步驟
324‧‧‧步驟
326‧‧‧步驟
328‧‧‧步驟
330‧‧‧步驟
400‧‧‧基板處理腔室
402‧‧‧下腔室區域
404‧‧‧上腔室區域
408‧‧‧腔室側壁表面
410‧‧‧腔室底部表面
414‧‧‧氣體分配裝置
418‧‧‧拱頂
421‧‧‧第一環形支撐件
422‧‧‧基板支撐件
425‧‧‧第二環形支撐件
426‧‧‧基板
427‧‧‧孔
428‧‧‧板件
429‧‧‧氣體流動通道
430‧‧‧加熱器板
431‧‧‧孔
432‧‧‧分隔孔
434‧‧‧氣體流動通道
440‧‧‧感應線圈
442‧‧‧氣體噴射器
450-1‧‧‧氣體傳送系統
450-2‧‧‧氣體傳送系統
452‧‧‧氣體源
454‧‧‧閥
456‧‧‧質流控制器
458‧‧‧歧管
470‧‧‧電漿產生器
472‧‧‧RF產生器
474‧‧‧匹配網路
476‧‧‧控制器
478‧‧‧閥
480‧‧‧泵浦
484‧‧‧RF偏壓功率產生器
486‧‧‧RF產生器
488‧‧‧匹配網路
490‧‧‧電漿
本揭露內容將自實施方式與隨附圖式而變得更完全地獲得理解,其中:
圖1為根據本揭露內容之含有芯部的堆疊的範例的側剖面視圖;
圖2為根據本揭露內容之保形層的範例的側剖面視圖,該保形層係沉積於圖1的堆疊及芯部上;
圖3為根據本揭露內容在保形層的蝕刻之後,堆疊、芯部、及間隔件之範例的側剖面視圖;
圖4為根據本揭露內容在蝕刻芯部之後,堆疊之範例的側剖面視圖;
圖5為根據本揭露內容之聚合物膜的範例的側剖面視圖,該聚合物膜係沉積在圖4之間隔件的側壁上;
圖6為根據本揭露內容的側剖面視圖,其描繪圖5之間隔件的非對稱上部的蝕刻的範例;
圖7為根據本揭露內容的側剖面視圖,其描繪在間隔件之上部的平坦化之後的堆疊的範例;
圖8為根據本揭露內容的側剖面視圖,其描繪在聚合物的移除之後的堆疊的範例;
圖9為根據本揭露內容之另一保形層的範例的側剖面視圖,該保形層係沉積在圖8的堆疊上,以執行額外的節距分割;
圖10為根據本揭露內容之芯部層、間隔件、及聚合膜之另一範例的側剖面視圖;
圖11為根據本揭露內容的側剖面視圖,其描繪圖10之堆疊的蝕刻;
圖12為根據本揭露內容描繪蝕刻之後圖11之堆疊的側剖面視圖;
圖13為根據本揭露內容的側剖面視圖,其描繪芯部層及聚合物膜的移除;
圖14為根據本揭露內容之另一保形層的範例的側剖面視圖,該保形層係沉積於圖13的堆疊上,以執行額外的節距分割;
圖15為根據本揭露內容的流程圖,其描繪間隔件上部之平坦化方法的範例;
圖16為根據本揭露內容的流程圖,其描繪間隔件上部之平坦化方法的另一範例;以及
圖17 為處理腔室之範例的功能方塊圖,其用以蝕刻堆疊,以使間隔件的非對稱上部平坦化。
在圖式中,可重複使用參考數字,以識別相似及/或相同的元件。
200‧‧‧方法
204‧‧‧步驟
208‧‧‧步驟
212‧‧‧步驟
216‧‧‧步驟
218‧‧‧步驟
222‧‧‧步驟
224‧‧‧步驟
228‧‧‧步驟
230‧‧‧步驟

Claims (32)

  1. 一種半導體基板的處理方法,包含: a)提供一基板堆疊,該基板堆疊包含一第一覆層、在該第一覆層上以一分隔關係設置的複數芯部、及設置於該第一覆層下方的一或更多下方覆層; b)在該第一覆層及該複數芯部上沉積一保形層; c)部分蝕刻該保形層,以產生鄰近該複數芯部之側壁而設置的間隔件,其中該保形層的部分蝕刻導致該間隔件的上部具有一非對稱輪廓; d)相對於該間隔件及該第一覆層選擇性蝕刻該複數芯部; e)在該間隔件的側壁上沉積聚合物膜;以及 f)蝕刻該間隔件的該上部,以移除該非對稱輪廓並使該間隔件的該上部平坦化。
  2. 如申請專利範圍第1項之半導體基板的處理方法,更包含g)蝕刻該聚合物膜。
  3. 如申請專利範圍第2項之半導體基板的處理方法,更包含重複b)至g)一或更多次。
  4. 如申請專利範圍第1項之半導體基板的處理方法,其中f)係在一基板處理腔室中執行,該基板處理腔室包含一基板支撐件、一上腔室區域、設置於該上腔室區域之外側的一感應線圈、包含該基板支撐件的一下腔室區域、及設置於該上腔室區域及該下腔室區域之間的一氣體分配裝置。
  5. 如申請專利範圍第4項之半導體基板的處理方法,其中該氣體分配裝置包含與該上腔室區域及該下腔室區域流體連通的複數孔。
  6. 如申請專利範圍第5項之半導體基板的處理方法,更包含: 在f)期間將該基板處理腔室中的壓力控制在從0.4Torr至10Torr的範圍內;以及 供應含有氟基氣體的一蝕刻氣體混合物至該上腔室區域。
  7. 如申請專利範圍第4項之半導體基板的處理方法,更包含在f)期間向該感應線圈供應從200W至3kW之範圍內的功率。
  8. 如申請專利範圍第4項之半導體基板的處理方法,更包含在f)期間向該基板支撐件供應從50W至1000W之範圍內的RF偏壓功率。
  9. 如申請專利範圍第6項之半導體基板的處理方法,其中該蝕刻氣體混合物係選自由以下者組成的群組的一氣體:六氟化硫(SF6 )、三氟化氮(NF3 )、四氟化碳(CF4 )、二氟甲烷(CH2 F2 )、氟甲烷(CH3 F)、六氟-2-丁炔(C4 F6 )、及八氟環丁烷(C4 F8 )。
  10. 如申請專利範圍第9項之半導體基板的處理方法,其中該蝕刻氣體混合物更包含選自由氬(Ar)及氦(He)組成的群組的一或更多氣體。
  11. 如申請專利範圍第1項之半導體基板的處理方法,其中b)中沉積該保形層的步驟包含原子層沉積。
  12. 如申請專利範圍第1項之半導體基板的處理方法,其中c)中的部分蝕刻係在一感應耦合電漿(ICP, inductively coupled plasma)腔室中執行。
  13. 如申請專利範圍第1項之半導體基板的處理方法,其中該複數芯部包含非晶矽膜。
  14. 如申請專利範圍第1項之半導體基板的處理方法,其中該保形層包含選自由以下者組成的一群組的材料:氮化矽、矽、及二氧化矽。
  15. 如申請專利範圍第1項之半導體基板的處理方法,其中d)係在一基板處理腔室中執行,該基板處理腔室包含一基板支撐件、一上腔室區域、設置於該上腔室區域之外側的一感應線圈、包含該基板支撐件的一下腔室區域、及設置於該上腔室區域及該下腔室區域之間的一氣體分配裝置。
  16. 如申請專利範圍第15項之半導體基板的處理方法,其中該氣體分配裝置包含與該上腔室區域及該下腔室區域流體連通的複數孔。
  17. 如申請專利範圍第16項之半導體基板的處理方法,更包含: 在d)期間將該基板處理腔室中的壓力控制在從0.4Torr至10Torr的範圍內;以及 供應含有氟基氣體的一蝕刻氣體混合物至該上腔室區域。
  18. 如申請專利範圍第15項之半導體基板的處理方法,更包含在d)期間向該感應線圈供應從200W至3kW之範圍內的功率。
  19. 如申請專利範圍第15項之半導體基板的處理方法,更包含在d)期間向該基板支撐件供應從50W至1000W之範圍內的RF偏壓功率。
  20. 如申請專利範圍第17項之半導體基板的處理方法,其中該蝕刻氣體混合物係選自由以下者組成的群組的一氣體:六氟化硫(SF6 )、三氟化氮(NF3 )、四氟化碳(CF4 )、二氟甲烷(CH2 F2 )、氟甲烷(CH3 F)、六氟-2-丁炔(C4 F6 )、及八氟環丁烷(C4 F8 )。
  21. 如申請專利範圍第20項之半導體基板的處理方法,其中該蝕刻氣體混合物更包含選自由氬(Ar)及氦(He)組成的群組的一或更多氣體。
  22. 一種半導體基板的處理方法,包含: a)提供一基板堆疊,該基板堆疊包含一第一覆層、在該第一覆層上以一分隔關係設置的複數芯部、及設置於該第一覆層下方的一或更多下方覆層; b)在該第一覆層及該複數芯部上沉積一保形層; c)部分蝕刻該保形層,以產生鄰近該複數芯部之側壁而設置的間隔件,其中該保形層的部分蝕刻導致該間隔件的上部具有一非對稱輪廓,且其中在c)之後,一聚合物膜留在該間隔件的側壁上;以及 d)蝕刻該間隔件的該上部,以移除該非對稱輪廓並使該間隔件的該上部平坦化。
  23. 如申請專利範圍第22項之半導體基板的處理方法,更包含e)蝕刻該聚合物膜及該複數芯部。
  24. 如申請專利範圍第23項之半導體基板的處理方法,更包含重複b)至e)一或更多次。
  25. 如申請專利範圍第22項之半導體基板的處理方法,其中b)中沉積該保形層的步驟包含原子層沉積。
  26. 如申請專利範圍第22項之半導體基板的處理方法,其中c)中的部分蝕刻係在一感應耦合電漿(ICP)腔室中執行。
  27. 如申請專利範圍第22項之半導體基板的處理方法,其中該複數芯部包含非晶矽膜。
  28. 如申請專利範圍第22項之半導體基板的處理方法,其中該保形層包含選自由以下者組成的群組的一材料:氮化矽、矽、及二氧化矽。
  29. 一種自我限制蝕刻方法,用以使基板的非對稱表面平坦化,該自我限制蝕刻方法包含: 在一基板處理腔室的一基板支撐件上設置一基板,其中該基板包括一特徵部,該特徵部包含具有一非對稱輪廓的一上部,且其中該基板處理腔室包含一上腔室區域、設置於該上腔室區域之外側的一感應線圈、包含該基板支撐件的一下腔室區域、及設置於該上腔室區域及該下腔室區域之間的一氣體分配裝置; 將該基板處理腔室中的壓力控制在從0.4Torr至10Torr的範圍內; 供應含有氟基氣體的一蝕刻氣體混合物至該上腔室區域;以及 蝕刻該特徵部的該上部,以移除該非對稱輪廓並使該特徵部的該上部平坦化。
  30. 如申請專利範圍第29項之自我限制蝕刻方法,更包含在蝕刻期間向該感應線圈供應從200W至3kW之範圍內的功率。
  31. 如申請專利範圍第30項之自我限制蝕刻方法,更包含在蝕刻期間向該基板支撐件供應從50W至1000W之範圍內的RF偏壓功率。
  32. 如申請專利範圍第30項之自我限制蝕刻方法,其中該蝕刻氣體混合物係選自由以下者組成的群組的一氣體:六氟化硫(SF6 )、三氟化氮(NF3 )、四氟化碳(CF4 )、二氟甲烷(CH2 F2 )、氟甲烷(CH3 F)、六氟-2-丁炔(C4 F6 )、及八氟環丁烷(C4 F8 )。
TW106102769A 2016-02-02 2017-01-25 硬遮罩之自我限制平坦化加工 TWI723124B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/013,400 2016-02-02
US15/013,400 US9640409B1 (en) 2016-02-02 2016-02-02 Self-limited planarization of hardmask

Publications (2)

Publication Number Publication Date
TW201740459A true TW201740459A (zh) 2017-11-16
TWI723124B TWI723124B (zh) 2021-04-01

Family

ID=58629520

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106102769A TWI723124B (zh) 2016-02-02 2017-01-25 硬遮罩之自我限制平坦化加工

Country Status (4)

Country Link
US (1) US9640409B1 (zh)
KR (1) KR20170092113A (zh)
CN (1) CN107039265B (zh)
TW (1) TWI723124B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10453686B2 (en) * 2016-08-31 2019-10-22 Tokyo Electron Limited In-situ spacer reshaping for self-aligned multi-patterning methods and systems
CN109411337A (zh) 2017-08-16 2019-03-01 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10811267B2 (en) 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
CN110648909B (zh) * 2019-09-30 2022-03-18 福建北电新材料科技有限公司 回磨方法、衬底晶片以及电子器件

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8298949B2 (en) * 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
US8809948B1 (en) * 2012-12-21 2014-08-19 Alpha And Omega Semiconductor Incorporated Device structure and methods of making high density MOSFETs for load switch and DC-DC applications
KR101772309B1 (ko) * 2013-06-04 2017-08-28 도쿄엘렉트론가부시키가이샤 자기 정렬 패터닝 에칭에서의 비대칭 프로파일의 완화
US9614053B2 (en) * 2013-12-05 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Spacers with rectangular profile and methods of forming the same
US20150270144A1 (en) * 2014-03-20 2015-09-24 Inotera Memories, Inc. Patterned structure of semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
TWI723124B (zh) 2021-04-01
US9640409B1 (en) 2017-05-02
KR20170092113A (ko) 2017-08-10
CN107039265B (zh) 2023-07-04
CN107039265A (zh) 2017-08-11

Similar Documents

Publication Publication Date Title
TWI723124B (zh) 硬遮罩之自我限制平坦化加工
US9837286B2 (en) Systems and methods for selectively etching tungsten in a downstream reactor
JP7210538B2 (ja) 周期的な不動態化およびエッチングを使用する高アスペクト比の選択的横方向エッチング
KR102675485B1 (ko) 수평 표면들 상에 SiN의 선택적인 증착
CN106601612B (zh) 用于超高选择性的氮化物蚀刻的系统和方法
KR102549146B1 (ko) 복잡한 3-d 구조체들을 에칭하기 위한 압력 퍼지 에칭 방법
KR102297695B1 (ko) 유도 결합 고밀도 플라즈마를 사용한 유전체 막의 치밀화
US10727089B2 (en) Systems and methods for selectively etching film
US10224235B2 (en) Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
WO2019241060A1 (en) Efficient cleaning and etching of high aspect ratio structures
US20220181141A1 (en) Etch stop layer
US20220238349A1 (en) Polymerization protective liner for reactive ion etch in patterning
WO2024020152A1 (en) High aspect ratio carbon etch with simulated bosch process
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
KR20240104224A (ko) TCP 에칭 챔버에서 통합된 ALP (Atomic Layer Passivation : 원자 층 패시베이션) 및 인-시츄 에칭-ALP 방법