TWI777975B - 用以減少泵抽排氣系統中之排出物積累的系統及方法 - Google Patents

用以減少泵抽排氣系統中之排出物積累的系統及方法 Download PDF

Info

Publication number
TWI777975B
TWI777975B TW106131102A TW106131102A TWI777975B TW I777975 B TWI777975 B TW I777975B TW 106131102 A TW106131102 A TW 106131102A TW 106131102 A TW106131102 A TW 106131102A TW I777975 B TWI777975 B TW I777975B
Authority
TW
Taiwan
Prior art keywords
gas
exhaust
flow rate
substrate processing
pumping
Prior art date
Application number
TW106131102A
Other languages
English (en)
Other versions
TW201825776A (zh
Inventor
安東尼奧 薩維爾
史蒂芬 高札
拉密許 謙德拉瑟哈蘭
艾里恩 拉芙依
喬瑟夫 內斯密斯
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201825776A publication Critical patent/TW201825776A/zh
Application granted granted Critical
Publication of TWI777975B publication Critical patent/TWI777975B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Treating Waste Gases (AREA)
  • Analytical Chemistry (AREA)

Abstract

一種減少基板處理系統的泵抽排氣系統中之排出物積累的方法,包含於一基板處理製程期間進行下述步驟:將一基板配置於一處理腔室中的一基板支撐件上;供應一或更多處理氣體至該處理腔室;以一第一流率將一惰性稀釋氣體供應至該泵抽排氣系統;於該處理腔室中的該基板上執行該基板處理製程;及藉由使用該泵抽排氣系統而從該處理腔室將反應物抽出。該方法包含於該基板處理製程之後進行下述步驟:於一清潔處理期間在該處理腔室中供應包含清潔氣體的清潔電漿;及在該清潔處理期間以小於該第一流率的一第二流率供應該惰性稀釋氣體至該泵抽排氣系統。

Description

用以減少泵抽排氣系統中之排出物積累的系統及方法
本揭露內容係關於基板處理系統,且更具體而言係關於基板處理系統的泵抽排氣系統。
這裡所提供之先前技術描述係為了大體上呈現本發明之背景。在此先前技術章節中敘述的成果之範圍內之本案列名之發明人的成果、及在申請期間不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
基板處理系統可用以執行基板處理(例如,於基板上進行膜的沉積或蝕刻)。基板處理系統一般包含了處理腔室,處理腔室具有基板支撐件(例如,底座、卡盤、板、等)設置於其中。可於處理期間將基板(例如,半導體晶圓)設置於基板支撐件上。可於處理腔室中設置氣體擴散裝置(例如,噴淋頭)以根據需要而輸送與分配處理氣體及吹淨(purge)氣體。
在一些應用方式中,膜係藉由使用電漿增強化學氣相沉積(PECVD)、或電漿增強原子層沉積(PEALD)而沉積。於PEALD期間,執行一或更多的循環以在基板上沉積膜。每一PEALD循環一般包含前驅物給劑、給劑吹淨、RF電漿給劑、及RF吹淨步驟。在沉積期間,可藉由使用噴淋頭將處理氣體輸送至處理腔室。在RF電漿給劑期間,RF功率係供應至噴淋頭,且基板支撐件係加以接地(或反之亦然)。
可使用減壓而透過連接至下游泵浦之入口的排氣連接器泵抽來執行反應物的抽出。下游泵浦之出口為至一減量裝置之入口,該減量裝置一般包含氣體燃燒器及水洗滌器(water scrubber)。減量裝置之輸出端通常連接至設施之洗滌後排氣系統。
一些處理氣體組合在泵抽排氣系統的排氣管線中形成固體的排出物積聚。為了防止固體的排出物積聚,將加熱的惰性稀釋氣體注入至泵抽排氣系統中並將泵浦及/或排氣管線加熱以防止凝結。然而,隨著時間過去,連接處理腔室、下游泵浦、與減量裝置的排氣管線由於排出物積聚而變得越來越堵塞。因此,處理可能無法按預期地執行,且缺陷可因降低的排氣流率而增加。最後,排氣管線變得足夠堵塞,使得吾人需要將處理腔室離線,且排氣管線需要加以更換或以其他方式進行修理。
一些處理氣體組合(例如,矽前驅物及氧化劑)在較高的溫度及壓力下可更具反應性。因此,藉由加熱惰性稀釋氣體、排氣管線、及泵浦來防止凝結以減少排氣管線中之積累的手段因提高的反應速率而不能使用。
一種減少基板處理系統的泵抽排氣系統中之排出物積累的方法,包含於一基板處理製程期間進行下述步驟:將一基板配置於一處理腔室中的一基板支撐件上;供應一或更多處理氣體至該處理腔室;以一第一流率將一惰性稀釋氣體供應至該泵抽排氣系統;於該處理腔室中的該基板上執行該基板處理製程;及藉由使用該泵抽排氣系統而從該處理腔室將反應物抽出。該方法包含於該基板處理製程之後進行下述步驟:於一清潔處理期間在該處理腔室中供應包含清潔氣體的清潔電漿;及在該清潔處理期間以小於該第一流率的一第二流率供應該惰性稀釋氣體至該泵抽排氣系統。
在其他特徵中,該泵抽排氣系統包含一閥、一泵浦、一減量裝置、及複數排氣管線,該等排氣管線將該閥連接至該處理腔室、將該泵浦連接至該閥、及將該減量裝置連接至該泵浦。
在其他特徵中,該惰性稀釋氣體係在以下至少一者加以供應:該閥與該泵浦之間、及該泵浦與該減量裝置之間。該基板處理製程包含電漿增強原子層沉積、及電漿增強化學氣相沉積其中一者。該一或更多處理氣體包含前驅物氣體、氧化劑氣體、及惰性氣體。該前驅物氣體包含矽前驅物氣體。該氧化劑氣體係選自於包含分子氧、及氧化亞氮之群組。該惰性稀釋氣體包含分子氮。
在其他特徵中,該泵抽排氣系統包含一泵浦,該泵浦具有一電阻加熱器。在該清潔處理期間,該電阻加熱器並未啟動。
在其他特徵中,該處理氣體包含前驅物氣體、及氧化劑氣體。該第一流率係大於一第一預定流率,該第一預定流率係足以防止該泵抽排氣系統中的該前驅物氣體及該氧化氣體之燃燒。該第二流率係處於若使用在該基板處理製程期間則不足以防止該前驅物氣體及該氧化氣體之燃燒的一第二預定流率。該第一流率係大於或等於該第二流率的兩倍。
在其他特徵中,供應該清潔電漿之該步驟包含:於該清潔處理期間將該清潔氣體供應至該處理腔室;及於該處理腔室中激發該清潔電漿。
在其它特徵中,供應該清潔電漿之該步驟包含:遠距地產生該清潔電漿;及將該清潔電漿供應至該處理腔室。
本揭露內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體範例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
根據本揭露內容之泵抽排氣系統及方法係於一基板處理製程之後執行。舉例而言,該基板處理製程可包含使用電漿增強原子層沉積(PEALD)製程、電漿增強化學氣相沉積(PECVD)製程、低壓CVD(LPCVD)、爐式ALD、爐式沉積、熱ALD、或其他基板處理製程的膜沉積。雖然本文中係描述膜沉積,但亦可執行其他類型的基板處理。膜沉積係藉由使用處理氣體而執行。在一些範例中,處理氣體包含了一或更多前驅物氣體、氧化劑、及載送氣體,但亦可使用其他處理氣體。
如上面所述,隨著時間過去,固體排出物於泵抽排氣系統的泵浦及排氣管線中積聚。為了減少膜沉積期間的排出物積累,一般將惰性稀釋氣體供應至泵抽排氣系統以使排氣管線中之反應物的分壓降低。在一些範例中,以大於一預定流率的第一流率供應惰性稀釋氣體,該預定流率在所使用的流率、前驅物類型、及氧化劑類型下係足以防止排氣管線中的燃燒。
當使用包含特定前驅物及氧化氣體的沉積處理氣體時,沉積期間不使用泵浦的電阻加熱器以降低反應的可能性。在一些範例中,亦藉由使用泵浦冷卻系統來執行泵浦之冷卻。
在基板處理之後,藉由使用清潔處理而清潔處理腔室的內表面。清潔處理使用包含基於氟之氣體物種的RF電漿氣體來移除處理腔室之內表面上的膜積累。在先前之系統中,於沉積處理及清潔處理二者期間,稀釋氣體流率係在泵抽排氣系統中保持在相同的流率。
根據本揭露內容,供應至泵抽排放系統的惰性稀釋氣體的第二流率係於清潔處理期間降低為低於沉積處理期間所使用的第一流率。由於第二流率降低,因此泵抽排氣系統的排氣管線中之活化氟氣體物種的滯留時間及分壓增加。因此,排氣管線中的固體排出物係於清潔處理期間受到蝕刻。
更具體而言,於基板處理期間,惰性稀釋氣體係以第一流率流動以使排氣管線中之反應物的分壓降低。在一些範例中,第一流率係大於一預定流率,該預定流率在所使用的流率、前驅物類型、及氧化劑類型下係足以防止排氣管線中的燃燒。在一些範例中,惰性稀釋氣體係以在從100標準公升/每分鐘(slm)至300 slm之範圍內的流率來提供。舉例而言,可使用190 slm。
在一些範例中,惰性稀釋氣體的第二流率係小於第一流率。在一些範例中,於清潔處理期間所使用的第二流率若使用於基板處理製程期間會是不足以防止燃燒的。在一些範例中,第二流率於清潔處理期間係在10至90 slm之範圍內,但亦可使用其他流率。
現在參照圖1。基板處理系統10之範例包含具有一反應容積的處理腔室12。雖然顯示了特定的處理腔室範例,但亦可使用其他類型的製程及/或處理腔室。處理氣體可藉由使用噴淋頭14而供應至處理腔室12。在一些範例中,噴淋頭14為吊燈型(chandelier-type)噴淋頭。次級吹淨氣體系統13可用以在噴淋頭14的上表面、與處理腔室12的頂部表面之間注入次級吹淨氣體。次級吹淨氣體系統13可包含套環15,該套環15係圍繞噴淋頭的柄部而配置且包含用以在噴淋頭14與處理腔室12的頂部表面之間橫向地注入次級吹淨氣體的氣孔(未顯示)。
可於處理期間內將基板18(例如,半導體晶圓)配置在基板支撐件16上。基板支撐件16可包含底座、靜電卡盤、機械卡盤、或其它類型的基板支撐件。
氣體輸送系統20可包含一或更多氣體來源22-1、22-2、…、及22-N(統稱為氣體來源22),其中N為大於一的整數。可使用閥24-1、24-2、...、及24-N(統稱為閥24)、質量流量控制器26-1、26-2、...、及26-N(統稱為質量流量控制器26)、或其它流量控制裝置以可控制地將一或更多氣體供應至歧管30,該歧管30將氣體混合物供應至處理腔室12。
控制器40可用以監測(藉由使用一或更多感測器41)處理參數(例如溫度、壓力等 )及控制處理時序。控制器40可用以控制處理裝置,例如氣體輸送系統20、基板支撐件加熱器42、及/或RF電漿產生器46。控制器40亦可用以藉由使用泵抽排氣系統50而從處理腔室12將反應物抽出。
RF電漿產生器46於處理腔室中選擇性地產生RF電漿。RF電漿產生器46可為感應或電容類形之RF電漿產生器。在一些範例中,RF電漿產生器46可包含RF供應器60及匹配與分配網路64。雖然RF電漿產生器46係顯示為連接至噴淋頭14且基板支撐件為接地或浮接,但RF電漿產生器46亦可連接至基板支撐件16且噴淋頭14可為接地或浮接。在一些範例中,吹淨氣體80可藉由閥82而選擇性地供應至次級吹淨氣體系統13。
如上面所述,在清潔期間,可將清潔電漿處理氣體供應至處理腔室,並可於腔室激發中電漿。或者,可使用遠距電漿來源90將清潔電漿供應至處理腔室。在一些範例中,清潔電漿處理氣體或遠距清潔電漿可包含氟氣體物種,例如三氟化氮(NF3 )、六氟乙烷(C2 F6 )、或其它的氟氣體物種。
現在參照圖2,更詳細地顯示了泵抽排氣系統50。泵抽排氣系統50包含閥110、泵浦114、及減量裝置118。在一些範例中,閥110包含節流閥,但亦可使用其他類型的閥。減量裝置118之輸出端係與設施洗滌排氣系統122流體連通。在一些範例中,減量裝置118包含用以點燃反應物的氣體燃燒器、及像水洗滌器這樣的洗滌器(均未顯示)。在一些範例中,連接處理腔室、閥110、泵浦114、減量裝置118、及設施洗滌排氣系統122的排氣管線126係藉由加熱器128加以加熱,以防止排氣管線126中的凝結。在一些範例中,排氣管線126係加熱至在90與110° C之間的溫度範圍。在一些範例中,加熱器128包含圍繞排氣管線126而配置的電阻加熱套。
於沉積及/或清潔期間,可將惰性稀釋氣體注入至在閥110與泵浦114之間、及/或在泵浦114與減量裝置118之間的排氣管線中。惰性氣體注入之位置可部分取決於泵浦114的能力。在一些範例中,當泵浦114可處理來自處理腔室12之氣體、及欲注入之額外惰性稀釋氣體的流率時,惰性稀釋氣體係僅於閥110與泵浦114之間注入,且不於泵浦114與減量裝置118之間注入。
在惰性稀釋氣體係於閥110與泵浦114之間注入的實行例中,使用質量流量計134及閥138控制來自氣體來源130的惰性稀釋氣體之流量。在一些範例中,惰性稀釋氣體注入之方向係與閥110和泵浦114之間的管線126中之流動在相同的方向上(而不是如圖所示般呈直角)。於在泵浦114與減量裝置118之間注入惰性氣體的實行例中,使用質量流量計142及閥144控制來自氣體來源140的惰性稀釋氣體之流量。在一些範例中,惰性稀釋氣體注入之方向係與泵浦114和減量裝置118之間的管線126中之流動在相同的方向上,但亦可使用其他的方向。
在一些範例中,泵浦114包含用以加熱流過泵浦114的反應物及惰性稀釋氣體的電阻加熱器150。在一些範例中,泵浦包含冷卻系統152, 其藉由使用泵浦156而將冷卻流體154供應至冷卻通道158。冷卻系統152可用以冷卻流過泵浦114的反應物氣體及惰性稀釋氣體。
現在參照圖3,顯示了用以移除泵抽排氣系統50中之排出物積累的方法200。在208中,於處理腔室12中將基板配置在基板支撐件16上。在210,對包含處理氣體流量的處理參數進行設定。舉例而言,藉由氣體輸送系統20、及控制器40而設定前驅物氣體流量、可選性的氧化劑氣體流量、及載送氣體流量。在214,以第一流率將惰性稀釋氣體供應至泵抽排氣系統。在218,於處理腔室中激發RF電漿。在222,對基板進行處理。例如,於沉積週期期間將膜沉積在處理腔室中之基板上。在226,於沉積週期期間之後將電漿熄滅。在230,從腔室將反應物氣體抽出。舉例而言,可藉由向處理腔室供應惰性稀釋氣體而執行吹淨處理。在232,將基板移除。
在234,供應清潔氣體至處理腔室。在一些範例中,清潔氣體包含氟氣體物種及載送氣體。在238,使至泵抽排氣系統之惰性稀釋氣體的流率降低至第二流率,該第二流率係低於第一流率。在242,於處理腔室中激發RF電漿。在246,在一預定清潔週期之後將RF電漿熄滅。
現在參考圖4, 圖3之方法可藉由使用遠距電漿來源而進行修改。在232之後,於250將包含氟物種的遠距電漿供應至處理腔室達一預定清潔週期。在清潔處理之前、或在執行清潔處理的同時,於252使至泵抽排氣系統之惰性稀釋氣體的流率降低至第二流率。當預定清潔週期結束時,於254使來自遠距電漿來源的遠距電漿之供應停止。
在一些範例中,氣體輸送系統對包含前驅物、氧化劑、及一或更多載送氣體的處理氣體混合物進行輸送。在一些範例中,前驅物氣體包含矽前驅物氣體。在一些範例中,氧化劑氣體包含氧化亞氮(N2 O)、或分子氧(O2 ),且載送氣體包含氬(Ar),但亦可使用其它的氧化劑及載送氣體。在一些範例中,清潔氣體可包含氟氣體物種,例如三氟化氮(NF3 )、六氟乙烷(C2 F6 )、或其它氟氣體物種。在一些範例中,供應至泵抽排氣系統的惰性稀釋氣體包含分子氮(N2 ),但亦可使用其他的惰性稀釋氣體。
在晶圓處理期間的一些範例中,惰性稀釋氣體具有100至300標準公升/每分鐘(slm)的流率以降低排氣管線中之反應物的分壓。在一些範例中,惰性稀釋氣體於晶圓處理期間具有在從150至250 slm之範圍內的流率。在一些範例中,惰性稀釋氣體於晶圓處理期間具有在170至210 slm之範圍內的流率。在一些範例中,惰性稀釋氣體於晶圓處理期間具有190 slm之流率。
在一些範例中,惰性稀釋氣體於RF電漿清潔期間具有在10-90標準公升/每分鐘(slm)之範圍內的流率,以使在泵抽排氣系統50之管線126中的氟氣體之滯留時間增加。在一些範例中,惰性稀釋氣體於RF電漿清潔期間具有在30至70 slm之範圍內的流率。在一些範例中,惰性稀釋氣體於RF電漿清潔期間具有在10至30 slm之範圍內的流率。在一些範例中,惰性稀釋氣體於RF電漿清潔期間具有在從60至70 slm之範圍內的流率。在一些範例中,第一流率係大於或等於第二流率的兩倍。在一些範例中,第一流率係大於或等於第二流率的三倍。
在一些範例中,根據本揭露內容之系統及方法於處理腔室的RF電漿清潔期間亦使泵浦及惰性稀釋氣體之溫度降低以降低反應率。這係用以提高泵抽排氣系統中的清潔氣體及反應性氟成分的分壓及滯留時間。在一些範例中,惰性稀釋氣體係於藉由泵浦之壓縮期間受到加熱 。在一些範例中,於沉積期間藉由電阻加熱器150供應額外的熱量。在一些範例中,於清潔處理期間關閉電阻加熱器150,及/或在RF電漿清潔期間使用冷卻系統152以進一步將惰性稀釋氣體冷卻。
在一些範例中,當清潔氣體係加以供應時,控制器40啟動在惰性稀釋氣體之流率上的降低。舉例而言,當清潔氣體(例如NF3 )係加以供應時,可使用信號將惰性稀釋氣體從用於沉積的第一或較高流率(例如150 slm、190 slm、或210 slm)切換至用於清潔的第二或較低流率(例如,10 slm、20 slm、65 slm等)。
以上所述在本質上僅為說明且係決非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式執行。因此,雖然此揭示內容包含特殊的例子,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖示、說明書及以下申請專利範圍後變為顯而易見。吾人應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭示內容的原理。另外,儘管每個實施例中皆於以上敘述為具有特定的特徵,但相關於本揭示內容之任何實施例中所敘述的該等特徵之任何一或多者可在其他實施例之任一者的特徵中實施、及/或與之組合而實施,即使該組合並未明確說明亦然。換言之,上述實施例並非互相排除,且一或多個實施例之間的排列組合仍屬於本揭示內容的範圍內。
元件之間(例如,在模組、電路元件,半導體層等之間)的空間和功能上的關係係使用各種術語來表述,其中包括「連接」、「接合」、「耦接」、「相鄰」、「接近」、「在頂端」、「上方」、「下方」和「配置」。除非明確敘述為「直接」,否則當於上述揭示內容中描述第一和第二元件之間的關係時,該關係可為第一及二元件之間沒有其他中間元件存在的直接關係,但也可為第一及二元件之間(空間上或功能上)存在一或多個中間元件的間接關係。如本文中所使用,詞組「A、B和C中至少一者」應解讀為意指使用非排除性邏輯OR的邏輯(A OR B OR C),且不應解讀為「A中至少一者、B中至少一者、及C中至少一者」。
在一些實行例中,控制器為系統的一部分,其可為上述範例的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制本文中所揭露的任何製程,其中包含:處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、出入工具、及其他轉移工具、及/或與特定系統連接或介接的負載鎖之晶圓傳送。
廣義而言,控制器可定義為電子設備,其具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用終點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義了用以在半導體晶圓上、對基板、或系統執行特定製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間內完成一或多個處理步驟。
在一些實行例中,控制器可為電腦的一部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連至系統、或其組合。舉例而言,控制器可為在「雲端」或工廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量、改變目前處理的參數、設定目前操作之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠端電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理步驟的參數。吾人應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上面所述,控制器可為分散式的,例如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(例如本文中所述之製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(例如在平台級或作為遠端電腦的一部分)的一或多個積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上面所述,依據將由工具執行的一個以上處理步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或裝載埠。
10‧‧‧基板處理系統12‧‧‧處理腔室13‧‧‧次級吹淨氣體系統14‧‧‧噴淋頭15‧‧‧套環16‧‧‧基板支撐件18‧‧‧基板20‧‧‧氣體輸送系統22-1~22-N‧‧‧氣體來源24-1~24-N‧‧‧閥26-1~26-N‧‧‧質量流量控制器30‧‧‧歧管40‧‧‧控制器41‧‧‧感測器42‧‧‧基板支撐件加熱器46‧‧‧RF電漿產生器50‧‧‧泵抽排氣系統60‧‧‧RF供應器64‧‧‧匹配與分配網路80‧‧‧吹淨氣體82‧‧‧閥90‧‧‧遠距電漿來源110‧‧‧閥114‧‧‧泵浦118‧‧‧減量裝置122‧‧‧施洗滌排氣系統126‧‧‧排氣管線128‧‧‧加熱器130‧‧‧氣體來源134‧‧‧質量流量計138‧‧‧閥140‧‧‧氣體來源142‧‧‧質量流量計144‧‧‧閥150‧‧‧電阻加熱器152‧‧‧冷卻系統154‧‧‧冷卻流體156‧‧‧泵浦158‧‧‧冷卻通道200‧‧‧方法208‧‧‧步驟210‧‧‧步驟214‧‧‧步驟218‧‧‧步驟222‧‧‧步驟226‧‧‧步驟230‧‧‧步驟232‧‧‧步驟234‧‧‧步驟238‧‧‧步驟242‧‧‧步驟246‧‧‧步驟250‧‧‧步驟252‧‧‧步驟254‧‧‧步驟
本揭示內容從實施方式及隨附圖式可更完全了解,其中:
根據本揭露內容,圖1為包含泵抽排氣系統的基板處理系統之範例的功能方塊圖;
根據本揭露內容,圖2為泵抽排氣系統之範例的功能方塊圖;
根據本揭露內容,圖3為繪示了操作泵抽排氣系統之方法的流程圖;
根據本揭露內容,圖4為繪示了在清潔期間藉由使用遠距電漿來源而對泵抽排氣系統進行操作之方法的流程圖。
在圖式中,元件符號可被再次使用以辨別相似及/或相同的元件。
200‧‧‧方法
208‧‧‧步驟
210‧‧‧步驟
214‧‧‧步驟
218‧‧‧步驟
222‧‧‧步驟
226‧‧‧步驟
230‧‧‧步驟
232‧‧‧步驟
234‧‧‧步驟
238‧‧‧步驟
242‧‧‧步驟
246‧‧‧步驟

Claims (13)

  1. 一種減少基板處理系統的泵抽排氣系統中之排出物積累的方法,包含:於一基板處理製程期間進行下述步驟:將一基板配置於一處理腔室中的一基板支撐件上;供應一或更多處理氣體至該處理腔室;以一第一流率將一惰性稀釋氣體直接地供應至該泵抽排氣系統;於該處理腔室中的該基板上執行該基板處理製程;及藉由使用該泵抽排氣系統而從該處理腔室將反應物抽出;及於該基板處理製程之後進行下述步驟:於一清潔處理期間在該處理腔室中供應包含清潔氣體的清潔電漿;及在該清潔處理期間以小於該第一流率的一第二流率直接地供應該惰性稀釋氣體至該泵抽排氣系統。
  2. 如申請專利範圍第1項之減少基板處理系統的泵抽排氣系統中之排出物積累的方法,其中該泵抽排氣系統包含一閥、一泵浦、一減量裝置、及複數排氣管線,該等排氣管線將該閥連接至該處理腔室、將該泵浦連接至該閥、及將該減量裝置連接至該泵浦。
  3. 如申請專利範圍第2項之減少基板處理系統的泵抽排氣系統中之排出物積累的方法,其中該惰性稀釋氣體係在下述至少一者加以供應:該閥與該泵浦之間;及該泵浦與該減量裝置之間。
  4. 如申請專利範圍第2項之減少基板處理系統的泵抽排氣系統中之排出物積累的方法,其中該基板處理製程包含電漿增強原子層沉積、及電漿增強化學氣相沉積其中一者。
  5. 如申請專利範圍第1項之減少基板處理系統的泵抽排氣系統中之排出物積累的方法,其中該一或更多處理氣體包含前驅物氣體、氧化劑氣體、及惰性氣體。
  6. 如申請專利範圍第5項之減少基板處理系統的泵抽排氣系統中之排出物積累的方法,其中該前驅物氣體包含矽前驅物氣體。
  7. 如申請專利範圍第5項之減少基板處理系統的泵抽排氣系統中之排出物積累的方法,其中該氧化劑氣體係選自於包含分子氧、及氧化亞氮之群組。
  8. 如申請專利範圍第1項之減少基板處理系統的泵抽排氣系統中之排出物積累的方法,其中該惰性稀釋氣體包含分子氮。
  9. 如申請專利範圍第1項之減少基板處理系統的泵抽排氣系統中之排出物積累的方法,其中:該泵抽排氣系統包含一泵浦,該泵浦具有一電阻加熱器;且在該清潔處理期間,該電阻加熱器係未啟動。
  10. 如申請專利範圍第1項之減少基板處理系統的泵抽排氣系統中之排出物積累的方法,其中:該處理氣體包含前驅物氣體、及氧化劑氣體;該第一流率係大於一第一預定流率,該第一預定流率係足以防止該泵抽排氣系統中的該前驅物氣體及該氧化劑氣體之燃燒;及該第二流率係處於若使用在該基板處理製程期間則不足以防止該前驅物氣體及該氧化劑氣體之燃燒的一第二預定流率。
  11. 如申請專利範圍第1項之減少基板處理系統的泵抽排氣系統中之排出物積累的方法,其中該第一流率係大於或等於該第二流率的兩倍。
  12. 如申請專利範圍第1項之減少基板處理系統的泵抽排氣系統中之排出物積累的方法,其中供應該清潔電漿之該步驟包含:於該清潔處理期間將該清潔氣體供應至該處理腔室;及於該處理腔室中激發該清潔電漿。
  13. 如申請專利範圍第1項之減少基板處理系統的泵抽排氣系統中之排出物積累的方法,其中供應該清潔電漿之該步驟包含:遠距地產生該清潔電漿;及將該清潔電漿供應至該處理腔室。
TW106131102A 2016-09-13 2017-09-12 用以減少泵抽排氣系統中之排出物積累的系統及方法 TWI777975B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/263,838 US11332824B2 (en) 2016-09-13 2016-09-13 Systems and methods for reducing effluent build-up in a pumping exhaust system
US15/263,838 2016-09-13

Publications (2)

Publication Number Publication Date
TW201825776A TW201825776A (zh) 2018-07-16
TWI777975B true TWI777975B (zh) 2022-09-21

Family

ID=61559644

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106131102A TWI777975B (zh) 2016-09-13 2017-09-12 用以減少泵抽排氣系統中之排出物積累的系統及方法

Country Status (6)

Country Link
US (2) US11332824B2 (zh)
JP (1) JP2018050041A (zh)
KR (2) KR102425423B1 (zh)
CN (2) CN117165920A (zh)
SG (2) SG10202102425UA (zh)
TW (1) TWI777975B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016182648A1 (en) * 2015-05-08 2016-11-17 Applied Materials, Inc. Method for controlling a processing system
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
CN108591826A (zh) * 2018-04-23 2018-09-28 睿力集成电路有限公司 气体处理系统及处理方法
US10889891B2 (en) * 2018-05-04 2021-01-12 Applied Materials, Inc. Apparatus for gaseous byproduct abatement and foreline cleaning
US12025484B2 (en) * 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
CN112534563A (zh) * 2018-06-15 2021-03-19 朗姆研究公司 用于从衬底处理系统的排放装置的泵去除沉积物的清洁系统
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20220181128A1 (en) * 2019-03-11 2022-06-09 Lam Research Corporation Apparatus for cleaning plasma chambers
US20210071296A1 (en) * 2019-09-06 2021-03-11 Asm Ip Holding B.V. Exhaust component cleaning method and substrate processing apparatus including exhaust component
US11779949B2 (en) * 2019-10-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor process chamber contamination prevention system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US20010015133A1 (en) * 1999-12-24 2001-08-23 Kabushiki Toshiba Gas recovery system and gas recovery method
US20130240478A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. METHODS FOR DEPOSITING A TiN-CONTAINING LAYER ON A SUBSTRATE

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3125207B2 (ja) * 1995-07-07 2001-01-15 東京エレクトロン株式会社 真空処理装置
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
DE19959814B4 (de) * 1999-12-11 2005-09-15 Benteler Ag Achsträger für Kraftfahrzeuge
US20020185067A1 (en) * 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6896764B2 (en) * 2001-11-28 2005-05-24 Tokyo Electron Limited Vacuum processing apparatus and control method thereof
JP3527915B2 (ja) * 2002-03-27 2004-05-17 株式会社ルネサステクノロジ Cvd装置およびそれを用いたcvd装置のクリーニング方法
KR100479627B1 (ko) * 2002-05-25 2005-04-06 유니셈 주식회사 폐가스 처리용 습식 전처리 장치 및 그 전처리 방법
KR100447284B1 (ko) * 2002-07-19 2004-09-07 삼성전자주식회사 화학기상증착 챔버의 세정 방법
US7456116B2 (en) * 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
TW200734482A (en) * 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
GB0505674D0 (en) * 2005-03-22 2005-04-27 Boc Group Plc Trap device
JP2008540840A (ja) * 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
EP1898455B1 (en) * 2005-06-20 2013-05-15 Tohoku University Process for producing an interlayer insulating film
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
US20080081130A1 (en) * 2006-09-29 2008-04-03 Applied Materials, Inc. Treatment of effluent in the deposition of carbon-doped silicon
KR101551170B1 (ko) * 2007-05-25 2015-09-09 어플라이드 머티어리얼스, 인코포레이티드 저감 시스템의 효율적 작동을 위한 방법들 및 장치
US20090017206A1 (en) * 2007-06-16 2009-01-15 Applied Materials, Inc. Methods and apparatus for reducing the consumption of reagents in electronic device manufacturing processes
KR20090001030A (ko) * 2007-06-29 2009-01-08 삼성전자주식회사 반도체 제조설비
KR101542267B1 (ko) * 2007-09-18 2015-08-06 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US20100119420A1 (en) * 2008-11-07 2010-05-13 Applied Materials, Inc. Abatement system having enhanced effluent scrub and moisture control
WO2010096504A1 (en) * 2009-02-17 2010-08-26 Mcalister Technologies, Llc Apparatus and method for controlling nucleation during electrolysis
US8747762B2 (en) * 2009-12-03 2014-06-10 Applied Materials, Inc. Methods and apparatus for treating exhaust gas in a processing system
JP5843491B2 (ja) * 2010-06-24 2016-01-13 キヤノン株式会社 塗布液、光学部品の製造方法および撮影光学系
WO2012014497A1 (ja) * 2010-07-30 2012-02-02 Jx日鉱日石エネルギー株式会社 排ガス処理システム
WO2012017972A1 (en) * 2010-08-05 2012-02-09 Ebara Corporation Exhaust system
US20130237063A1 (en) * 2012-03-09 2013-09-12 Seshasayee Varadarajan Split pumping method, apparatus, and system
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP6061545B2 (ja) * 2012-08-10 2017-01-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
TWI524388B (zh) * 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9230780B2 (en) * 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US9478408B2 (en) * 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10157755B2 (en) * 2015-10-01 2018-12-18 Lam Research Corporation Purge and pumping structures arranged beneath substrate plane to reduce defects
KR102194085B1 (ko) * 2016-04-26 2020-12-22 어플라이드 머티어리얼스, 인코포레이티드 배출 퇴적물 제거를 위한 온도 제어식 원격 플라즈마 세정
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US20010015133A1 (en) * 1999-12-24 2001-08-23 Kabushiki Toshiba Gas recovery system and gas recovery method
US20130240478A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. METHODS FOR DEPOSITING A TiN-CONTAINING LAYER ON A SUBSTRATE

Also Published As

Publication number Publication date
KR20220106941A (ko) 2022-08-01
CN117165920A (zh) 2023-12-05
US11332824B2 (en) 2022-05-17
KR102549682B1 (ko) 2023-06-29
KR20180029865A (ko) 2018-03-21
SG10202102425UA (en) 2021-04-29
CN107863307B (zh) 2023-08-08
TW201825776A (zh) 2018-07-16
CN107863307A (zh) 2018-03-30
KR102425423B1 (ko) 2022-07-25
SG10201707317RA (en) 2018-04-27
JP2018050041A (ja) 2018-03-29
US20220259725A1 (en) 2022-08-18
US20180073137A1 (en) 2018-03-15

Similar Documents

Publication Publication Date Title
TWI777975B (zh) 用以減少泵抽排氣系統中之排出物積累的系統及方法
CN106601612B (zh) 用于超高选择性的氮化物蚀刻的系统和方法
TWI710415B (zh) 使用基於電漿的程序消除基板處理腔室中的氟殘留物之方法
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
CN108630578B (zh) 超高选择性的氮化物蚀刻以形成FinFET器件
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
JP6976043B2 (ja) 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法
CN112673456A (zh) 使用亚稳的活化自由基物质的原子层处理工艺
JP2021528857A (ja) 金属酸化物膜を除去するための温度制御システムおよびその方法
US9691590B2 (en) Selective removal of boron doped carbon hard mask layers
US20220181128A1 (en) Apparatus for cleaning plasma chambers
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent