JP6976043B2 - 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法 - Google Patents

原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法 Download PDF

Info

Publication number
JP6976043B2
JP6976043B2 JP2016135523A JP2016135523A JP6976043B2 JP 6976043 B2 JP6976043 B2 JP 6976043B2 JP 2016135523 A JP2016135523 A JP 2016135523A JP 2016135523 A JP2016135523 A JP 2016135523A JP 6976043 B2 JP6976043 B2 JP 6976043B2
Authority
JP
Japan
Prior art keywords
gas
valve
channel
gas channel
gas source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016135523A
Other languages
English (en)
Other versions
JP2017036493A (ja
JP2017036493A5 (ja
Inventor
ラメッシュ・チャンドラセカーラン
ジェニファー・オラフリン
サーングルト・サングプルン
シャンカー・スワミナタン
フランク・パスクァーレ
クロエ・バルダッセローニ
エイドリアン・ラボイエ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/805,852 external-priority patent/US9631276B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017036493A publication Critical patent/JP2017036493A/ja
Publication of JP2017036493A5 publication Critical patent/JP2017036493A5/ja
Application granted granted Critical
Publication of JP6976043B2 publication Critical patent/JP6976043B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

関連出願の相互参照
本出願は、2015年7月15日に出願された、米国特許仮出願第62/192,844号の利益を主張する。上述の出願の開示全体は参照により本明細書に組み込まれる。
本開示は、基板処理システム、より詳細には基板処理中に処理チャンバにガスを送出するためのシステムおよび方法に関する。
ここで提供される背景技術の記載は、本開示の状況を一般的に提示することが目的である。本背景技術の節に記載された範囲内で、本発明者らの業績、ならびに出願時に他の場合なら従来技術と見なされ得ない記載の態様は、明示的にも暗示的にも本開示に対する従来技術とは認められない。
堆積および/またはエッチングを行うための基板処理システムは、典型的にはペデスタルを有する処理チャンバを含む。半導体ウェーハなどの基板が、処理中にペデスタル上に配置されることがある。原子層堆積(ALD:atomic layer deposition)または原子層エッチング(ALE:atomic layer etch)プロセスでは、異なるガス混合物が、処理チャンバに順次導入され、次いで、排気されることがある。このプロセスが複数回繰り返され、膜を堆積し、または基板をエッチングする。一部のALDおよびALE基板処理システムでは、一方のまたは両方の工程で高周波(RF)プラズマを使用して化学反応を活性化することができる。
第1の反応性ガスがALDプロセスの第1の工程中に処理チャンバに供給されることがある。所定期間の後、反応物は、処理チャンバから除去される。ALDプロセスの第2の工程中に第2の反応性ガスが処理チャンバに供給されることがある。第2の工程中にプラズマを使用して、または使用せずに化学反応を開始することができる。第2の工程の後、反応物は、処理チャンバから除去される。第1および第2の工程が典型的には複数回繰り返され、膜を堆積する。
ALDもしくはALEを使用して、膜を堆積するまたは基板をエッチングするのに必要なプロセス時間は、どれくらい速やかに反応性ガスを供給することができるかおよび処理チャンバから排気することができるかに大きく依存する。したがって、プロセス時間を削減するために反応性ガスを速やかに供給し排気しようとする動機がある。しかしながら、反応性ガスがガス供給ライン中でオーバーラップする場合、反応性ガス間で望ましくない反応が生じることがあり、これが基板欠陥の原因となる場合がある。粘質の反応性ガス、または異なる反応性ガス間の時間量が不十分なことによって、ガスライン中で反応性ガスのオーバーラップが引き起こされることがある。
現在、時間的な分離および高流量が使用されている。高圧でガスのオンオフを切り換えることによって、ガスライン中におよび/または下流のガス分配装置内で圧力過渡現象がもたらされることがあり、これがさらなる基板欠陥の原因となる場合がある。
基板処理システムのためのガス送出システムは、入口および出口を含む第1のバルブを含む。第1のバルブの入口は、第1のガス源と流体連通する。第2のバルブは、第1の入口、第2の入口、および出口を含む。第2のバルブの第1の入口は、第1のバルブの出口と流体連通し、第2の入口は、第2のガス源と流体連通する。第3のバルブは、入口および出口を含む。第3のバルブの入口は、第3のガス源と流体連通する。コネクタは、第1のガスチャネル、ならびに第1の端部および第2の端部を有する第2のガスチャネルを規定(形成)するシリンダを含む。シリンダは、シリンダおよび第1のガスチャネルが共同でシリンダの外側表面と第1のガスチャネルの内側表面との間に流路を規定(形成)するように、第1のガスチャネル内部に少なくとも部分的に配置される。流路は、第3のバルブの出口および第2のガスチャネルの第1の端部と流体連通する。第3のガスチャネルは、第2のガスチャネルの第2の端部、第2のバルブの出口、および処理チャンバのガス分配装置と流体連通する。
他の特徴において、第1のガス源は、パージ・ガス源を含む。第2のガス源は、前駆体ガス源を含む。第4のバルブは、入口および出口を含む。第4のバルブの入口は、第4のガス源と流体連通する。第4のバルブの出口は、流路と流体連通する。第4のガス源は、洗浄ガス源を含む。洗浄ガス源は、遠隔プラズマ清浄(RPC:remote plasma clean)ガスを含む。
他の特徴において、第3のガス源は、酸化ガス源を含む。基板処理システムは、原子層堆積を実行する。コントローラは、第1のバルブ、第2のバルブ、および第3のバルブを制御するように構成されている。コントローラは、第1のバルブおよび第2のバルブを使用して、第1の所定期間中、第2のガス源から前駆体ガスを供給し、第1のバルブおよび第2のバルブを使用して、第2の所定期間中、第1のガス源からパージ・ガスを供給し、第3のバルブを使用して、第3の所定期間中、第3のガス源から酸化ガスを供給するように構成されている。
他の特徴において、第1の所定期間は、原子層堆積(ALD)プロセスのドーズ段に相当する。第2の所定期間は、ALDプロセスのバースト・パージ段に相当する。第3の所定期間は、ALDプロセスのドーズ・パージ段、RF段、およびRFパージ段に相当する。
他の特徴において、第4のバルブとコネクタ間の距離は、10インチ(254mm)〜40インチ(1016mm)である。第4のバルブとコネクタ間の距離は、5インチ(127mm)未満である。
基板処理システムにガスを供給する方法は、第1のバルブを使用して、第1のガス源からガスを選択的に供給し、第2のバルブを使用して、第1のガス源または第2のガス源からガスを選択的に供給し、第3のバルブを使用して、第3のガス源からガスを選択的に供給し、第1のガスチャネル、第1の端部および第2の端部を有する第2のガスチャネルを規定するシリンダであって、シリンダおよび第1のガスチャネルが共同でシリンダの外側表面と第1のガスチャネルの内側表面との間に流路を規定するように、第1のガスチャネル内部に少なくとも部分的に配置され、流路が第3のバルブの出口および第2のガスチャネルの第1の端部と流体連通する、シリンダ、ならびに第2のガスチャネルの第2の端部、第2のバルブの出口、および処理チャンバのガス分配装置と流体連通する第3のガスチャネル、を含むコネクタを提供すること、を備える。
他の特徴において、第1のガス源は、パージ・ガス源を含む。第2のガス源は、前駆体ガス源を含む。本方法は、流路と流体連通する出口を有する第4のバルブを使用して、第4のガス源からガスを選択的に供給することを含む。第4のガス源は、洗浄ガス源を含む。洗浄ガス源は、遠隔プラズマ清浄(RPC)ガスを含む。
他の特徴において、第3のガス源は、酸化ガス源を含む。基板処理システムは、原子層堆積を実行する。本方法は、コントローラを使用して、第1のバルブ、第2のバルブ、および第3のバルブを制御することを含む。
コントローラは、第1のバルブおよび第2のバルブを使用して、第1の所定期間中、第2のガス源から前駆体ガスを供給するように構成されている。コントローラは、第1のバルブおよび第2のバルブを使用して、第2の所定期間中、第1のガス源からパージ・ガスを供給するように構成されている。コントローラは、第3のバルブを使用して、第3の所定期間中、第3のガス源から酸化ガスを供給するように構成されている。
他の特徴において、第1の所定期間は、原子層堆積(ALD)プロセスのドーズ段に相当し、第2の所定期間は、ALDプロセスのバースト・パージ段に相当し、第3の所定期間は、ALDプロセスのドーズ・パージ段、RF段、およびRFパージ段に相当する。
他の特徴において、第4のバルブとコネクタ間の距離は、10インチ〜40インチである。第4のバルブとコネクタ間の距離は、5インチ未満である。
本開示の適用可能性のさらなる範囲は、詳細な説明、特許請求の範囲、および図面から明らかになるであろう。詳細な説明および具体例は、例示のためのものにすぎず、本開示の範囲を限定することは意図されていない。
本開示は、詳細な説明および添付図面からより完全に理解されるであろう。
本開示による基板処理システムのための機能ブロック図である。
ガス送出システムの一例の概略図である。
例示的な原子層堆積プロセスのためのタイミング図である。
本開示による別の例示的なガス送出システムの概略図である。
本開示によるコネクタの部分透視断面図である。
本開示によるさらに別の例示的なガス送出システムの概略図である。
理想化されたガス送出システムに対するバルブのタイミングを示す図である。
本開示による図4のガス送出システムに対するバルブのタイミングを示す図である。
本開示による図6のガス送出システムに対するバルブのタイミングを示す図である。
本開示によるガスを供給するための方法の一例を示す流れ図である。
図面では、同様のおよび/または同一の要素を識別するために参照番号が再使用されることがある。
いくつかの例において、本開示によるガス送出システムおよび方法は、基板処理システムのガスライン中で第2の反応性ガスに対する第1の反応性ガスの分離を増大させ、基板欠陥を低減する。いくつかの例において、第2のガスが導入される下流のコネクタの入口にパージ・ガスの連続流が供給されることがある。
基板処理システムガスライン中の反応性ガスの空間的な分離は、基板欠陥を低減するのに役立つ。空間的な分離は、時間的なだけの分離に伴う問題を克服する。下流のコネクタの入口に連続的なパージ・ガス流を提供し、かつ第2の反応性ガスを供給するバルブを第1の反応性ガスに対して遠隔に据えることによって、圧力過渡現象も管理することができる。第1の反応性ガスと第2の反応性ガス間の空間的な分離に対して割り当てられた時間量が不十分な場合、反応の危険性が依然として存在する。しかしながら、ガス反応物が混合される位置、および混合位置での圧力を制御することができ、反応を管理することができる。
空間的な分離は、パージ時間に関してプロセス開発に対する余裕ができることによって、ガス送出システムの堅牢性を高める。物理的な分離の使用を、バルブのタイミングによって制御される時間的な分離と組み合わせることができる。この組合せは、ガスラインの保護とは別に処理チャンバ・パージの最適化に役立つ可能性がある。
ここで図1を参照すると、例示的な基板処理システム1が示されている。前述の例は、プラズマ促進原子層堆積(PEALD:plasma enhanced atomic layer deposition)の状況で記載されているが、本開示は、他の基板処理システム、例えば、化学気相堆積(CVD)、PECVD、ALE、ALD、およびPEALEに適用されてもよい。基板処理システム1は、基板処理システム1の他の構成要素を密閉し、かつRFプラズマ(使用される場合は)を含有する処理チャンバ2を含む。基板処理システム1は、上部電極4、静電チャック(ESC:electrostatic chuck)6、または他の基板支持体を含む。動作中に、基板8は、ESC6上に配置される。
単なる例として、上部電極4は、プロセス・ガスを導入し分配するシャワー・ヘッドなどのガス分配装置9を含んでもよい。ガス分配装置9は、処理チャンバの上面に接続された一方の端部を含むステム部分を含んでもよい。ベース部分は、略円筒状であり、処理チャンバの上面から離隔された位置にあるステム部分の反対側の端部から放射状に外側に延在する。シャワー・ヘッドのベース部分の基板に面する表面またはフェースプレートは、プロセス・ガスまたはパージ・ガスが流れる複数の孔を含む。あるいは、上部電極4は、伝導板を含んでもよく、プロセス・ガスは別のようにして導入されてもよい。
ESC6は、下部電極として働く伝導性の底板10を含む。伝導性の底板10は、加熱板12を支持し、この加熱板12は、セラミック・マルチゾーン加熱板に相当してもよい。加熱板12と底板10との間に熱抵抗層14が配置されてもよい。底板10は、底板10を通して冷媒を流すための1つまたは複数の冷媒チャネル16を含んでもよい。
RF発生システム20は、上部電極4および下部電極(例えば、ESC6の底板10)の一方に対してRF電圧を発生させ出力する。上部電極4および底板10のもう一方は、DC接地されていても、AC接地されていても、または浮動状態でもよい。単なる例として、RF発生システム20は、整合および配電網24によって上部電極4または底板10に供給されるRFパワーを発生させるRF発生器22を含んでもよい。他の例において、プラズマは、誘導的にまたは遠隔から発生させることができる。
1つまたは複数のガス送出システム30−1、30−2、...および30−M(総称してガス送出システム30)は、1つまたは複数のガス源32−1、32−2、...および32−N(総称してガス源32)を含み、ここでMおよびNは1以上の整数である。ガス源32は、バルブ34−1、34−2...および34−N(総称してバルブ34)ならびにマス・フロー・コントローラ36−1、36−2、...および36−N(総称してマス・フロー・コントローラ36)によってマニホルド40に接続されている。マニホルド40の出力は、ガス分離システム41に供給される。特定のガス送出システム30−1が示されているが、ガスは、任意の適切なガス送出システムを使用して送出され得る。1つまたは複数のさらなるガス送出システム30−2、...および30−Mが、ガス分離システム41と流体連通する。遠隔プラズマ洗浄(RPC:remote plasma clean)ガスなどの洗浄ガス源43もガス分離システム41と流体連通することができる。
温度コントローラ42は、加熱板12に配置された複数の熱制御素子(TCE:thermal control element)44に接続されてもよい。温度コントローラ42を使用して、複数のTCE44を制御し、ESC6および基板8の温度を制御することができる。温度コントローラ42は、冷媒アセンブリ46と通信し、チャネル16を通る冷媒流を制御することができる。例えば、冷媒アセンブリ46は、冷媒ポンプおよび貯蔵部を含んでもよい。温度コントローラ42は、ESC6を冷却するためにチャネル16を通る冷媒を選択的に流すように冷媒アセンブリ46を動作させる。
バルブ50およびポンプ52を使用して、処理チャンバ2から反応物を排気することができる。システム・コントローラ60を使用して、基板処理システムの構成要素を制御することができる。ロボット70を使用して、ESC106上に基板を送出し、ESCから基板を除去することができる。例えば、ロボット70は、ESC6とロード・ロック72間で基板を転送することができる。
ここで図2を参照すると、ガスライン83によって接続された複数のバルブ76、78、80および82を含むバルブ・アセンブリ74を含むガス分離システム41の一例が示されている。バルブ76の入口は、パージ・ガス源に接続され、バルブ76の出口は、バルブ78の入口に接続されている。バルブ78のもう一つの入口は、酸化ガス源などの反応性ガスに接続されている。バルブ78の出口は、バルブ80の入口に接続されている。このバルブ80のもう一つの入力は、前駆体ガス源などの反応性ガスに接続されている。
バルブ80の出口は、エルボ・コネクタ84に接続され、このエルボ・コネクタ84がバルブ86の出口および処理チャンバに接続されている。バルブ86の入口は、遠隔プラズマ清浄(RPC)ガス源などの洗浄ガスに接続されている。バルブ82は、前駆体ガスに接続された入口、および出口を有する。
動作中に、前駆体ガスは、所定期間、バルブ80(前駆体ガス入口から出口への接続を閉じる)およびバルブ82(開ける)を使用して、任意選択で分流され得る。分流の後、前駆体ガスは、バルブ80(前駆体ガス入口から出口への接続を開ける)およびバルブ82(閉じる)を使用して、所定期間、処理チャンバに供給され、次いで、前駆体ガスの供給が終了する。パージ・ガスは、バルブ76、78および80を使用して、処理チャンバに供給され、次いで、終了する。酸化ガスは、バルブ78および80を使用して、処理チャンバに供給される。十分に理解することができるように、前駆体ガスは、酸化ガスと同一のガスライン83およびバルブの一部を使用して、処理チャンバに供給される。
ここで図3を参照すると、図2のバルブの動作が示されている。ドーズ段に先立って、パージ・ガスが初めに供給され、バルブ80およびバルブ82を使用して分流され得る。所定期間の後、バルブ80および82は、エルボ・コネクタ84を介して処理チャンバへ前駆体ガスを供給するように構成される(ドーズ段)。ドーズ段の終了時に、バルブ80は、前駆体ガスの供給を停止し、パージ・ガスを供給するように位置決めされる。バースト・パージ段中に、パージ・ガスは、バルブ76、78、80およびエルボ・コネクタ84を介して処理チャンバに供給される。バースト・パージ段の終了時に、バルブ76は、閉じられる。酸化ガスは、ドーズ・パージ段、RF段、およびRFパージ段中に、バルブ78、80およびエルボ・コネクタ84を使用して、処理チャンバに供給される。
前駆体ガスおよび酸化ガスは共に、同一グループのバルブおよびガスラインを使用して供給されるが、時間的に分離されている。時間的な分離は、前駆体ガス流と酸化ガス流との間でガスライン83を完全に洗浄するために大流量および十分な時間に依拠する。粘質の前駆体または割り当てられた時間量が不十分な場合はいずれも、ガスチャネル内での反応のために、結果として欠陥を形成することがある。加えて、高流量、および酸化剤と、パージ・ガスと、前駆体ガスとの間の切り替えは、ガスチャネルおよびガス分配装置内で圧力過渡現象をもたらすことがある。
ここで図4を参照すると、ガス分離システム87は、複数のバルブ90、92、94、および96を含むバルブ・アセンブリ88、ならびにガスライン83を含む。バルブ90の入口は、パージ・ガス源に接続され、バルブ90の出口は、バルブ92の入口に接続されている。いくつかの例において、パージ・ガスは、ヘリウム、アルゴンまたは別の不活性ガスを含む。バルブ92の出口は、バルブ94の入口に接続されている。バルブ94のもう一つの入口は、前駆体ガスなどの反応性ガスに接続されている。
バルブ94の出口は、エルボ・コネクタ100に接続され、このエルボ・コネクタ100がバルブ98の出口および処理チャンバに接続されている。バルブ98の入口は、遠隔プラズマ清浄(RPC)源などのプロセス・ガスに接続されている。バルブ96は、前駆体ガスに接続された入口、および出口を有する。
1つまたは複数のバルブ102Aおよび102B(総称してバルブ102)は、酸化ガスなどの反応性ガスをエルボ・コネクタ100の入口104へ供給するために使用される。いくつかの例において、バルブ102は、エルボ・コネクタ100の入口から10インチ〜40インチの距離に配置される。
また、パージ・ガスは、(酸化ガスの供給中に、もしくは酸化ガスの供給中以外の時間に)エルボ・コネクタ100の入口104に連続的に供給されても、または入口104に選択的に供給されてもよい。「T」形の流体コネクタ105は、エルボ・コネクタ100に流体接続された第1の区間、バルブ94の出口に流体接続された第2の区間、および処理チャンバに流体接続された第3の区間を有する。いくつかの例において、「T」形の流体コネクタ105は、セラミックから作られてもよい。
ここで図5を参照すると、エルボ・コネクタ100の一例は、バルブ・アセンブリ120に接続された第1のコネクタ122、および第2のコネクタ124を含む。エルボ・コネクタ100に関するさらなる詳細は、本願譲受人に譲渡された、2014年11月26日に出願された発明の名称を「REMOTE PLASMA CLEAN ELBOW CONNECTOR WITH PURGING TO REDUCE ON−WAFER PARTICLES」とする米国特許仮出願第62/084,856号、および2015年7月22日に出願された発明の名称を「VALVE MANIFOLD DEADLEG ELIMINATION VIA REENTRANT FLOW PATH」とする米国特許出願第14/805,807号(代理人整理番号第3585−2US)に見出すことができ、両出願はその全体が参照により本明細書に組み込まれる。
第1のコネクタ122は、入口133および出口134を含む第1のガスチャネル132を規定する第1の本体130を含む。第2のコネクタ124は、入口139および出口140を含む第2のガスチャネル138を規定する第2の本体136を含む。第1のガスチャネル132の出口134は、第2のガスチャネル138の入口139に接続されている。いくつかの例において、第1のガスチャネル132は、略「L」形またはエルボ形である。
第1のコネクタ122は、第1のコネクタ122の入口133に隣接して第1のガスチャネル132の一部のまわりに配置された環状チャネル144を含む。環状チャネル144は、入口133の近くの領域にガスを供給する。いくつかの例において、環状チャネル144を規定するために第1のコネクタ122の入口133に隣接して第1のガスチャネル132の内側にシリンダ146が挿入されてもよい。シリンダ146の一方の端部147は、入口133から離隔された位置において第1のガスチャネル132の内側表面に接する。本体130とシリンダ146の径方向外側表面との間のキャビティ150が環状チャネル144を規定する。
本体130は、キャビティ150に接続された第3のガスチャネル154をさらに規定する。継手またはバルブ156を使用して、第3のガスチャネル154をガス源に接続することができる。ガスは、第3のガスチャネル154および環状チャネル144に供給される。ガスは、環状チャネル144を通って入口133の近くの領域内へ流れる。ガスは、第1のガスチャネル132を通って第2のガスチャネル138へ流れる。ガスは、遠隔プラズマ洗浄中に(RPCガスがRPCバルブによって供給されている間に)供給されてもよい。いくつかの例において、ガスは、前駆体ガスを使用するドーズ中に、および/または酸化ガスの供給中にも供給される。
いくつかの例において、ヒータ160を使用して、環状チャネル144近傍の領域の温度を所定の最小温度に維持することができる。より詳細には、ヒータ160は、本体130に接続されてもよく、ヒータ160を使用して、本体(デッド区間の容積を含む少なくと一部)をガスの凝縮温度を上回る温度に加熱することができる。いくつかの例において、温度は、約65℃を上回る所定の温度に維持されてもよいが、温度は、使用されるガス種およびその凝縮温度に応じて変わる。
ここで図6を参照すると、別のガス分離システム200は、上記のバルブ・アセンブリ88を含む。バルブ204は、エルボ・コネクタ100の入口104に近接して配置される。いくつかの例において、バルブ204は、エルボ・コネクタ100の入口から10インチ未満の距離に配置される。他の例において、距離は、5インチ、2.5インチまたは1インチ以下である。
ここで図7〜9を参照すると、バルブのシーケンスおよびタイミングに対する様々なタイミング図が示されている。図7では、理想化されたバルブのシーケンスおよびタイミングが示されている。前駆体ガス流は、酸化剤ガス流が開始すると同時に終了し、オーバーラップがないことが理想的である。図8では、図4のバルブの動作が示されている。ライン充填時間のために前駆体と酸化剤との間のオーバーラップが図2で受けるオーバーラップよりも少ない。図9では、図6のバルブの動作が示されている。「T」形の流体コネクタ105では、一部オーバーラップがある場合がある。
ここで図10を参照すると、上記のガス送出システムを動作させるための方法300の一例が示されている。ステップ304において、本方法は、遠隔プラズマ清浄(RPC)ガスまたは別の洗浄ガスを使用して洗浄を行うべきか否かを判断する。真の場合は、基板は、処理チャンバから除去され、洗浄ガスまたはRPCガスが所定の洗浄期間供給される。
ステップ304が偽の場合、コントロールは、ALDプロセスを行う必要があるか否かを判断する。ステップ306が真の場合、基板は、ステップ310において処理チャンバにロードされる。さらに、ステップ310において前駆体ガスなどの第1の反応性ガスが、第1の所定期間、任意選択で供給され分流される。ステップ314において、第1の所定期間の後、前駆体ガスなどの第1の反応性ガスが、第2の所定期間、処理チャンバに供給される。
第2の所定期間の後、ステップ318において不活性ガスなどのパージ・ガスが第3の所定期間、供給される。第3の所定期間の後、ステップ320において酸化ガスなどの第2の反応性ガスが第4の所定期間、供給される。第4の所定期間の後、ステップ322においてコントロールは、ALDプロセスを繰り返すべきか否かを判断する。ステップ322が真の場合、コントロールは、ステップ310に戻る。そうでない場合、コントロールは、ステップ328を継続し、任意選択で基板を処理チャンバから除去し、次いで、ステップ304に戻る。
前述の記載は、本質的に単に例示であって、本開示、その応用、または使用法を限定することは決して意図されていない。本開示の広範な教示は、様々な形態で実施することができる。したがって、本開示は、特定の例を含んでいるが、本開示の真の範囲は、他の変更形態が、図面、明細書および以下の特許請求の範囲を考察すると明らかになるため、そのように限定されるべきではない。本開示の原理を変更することなく、方法内の1つまたは複数のステップが異なる順番で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態のそれぞれは、ある特徴を有するとして上記されているが、本開示のいかなる実施形態に関しても記載されたそれらの特徴のいずれか1つまたは複数は、他の実施形態のいずれかの特徴において、および/または他の実施形態のいずれかの特徴と組み合わされて、その組合せが明示的に記載されていなくても、実施され得る。言いかえれば、記載された実施形態は、相互に排他的ではなく、1つまたは複数の実施形態を互いに置き換えることは、本開示の範囲内に留まる。
要素間の(例えば、モジュール間、回路素子間、半導体層間など)の空間的および機能的関係は、「接続された」、「係合された」、「結合された」、「近接した」「隣接した」、「の上に」、「上方に」、「より下に」、および「配置された」を含む、様々な用語を使用して記載されている。上記の開示において第1の要素と第2の要素間の関係が記載されるとき、「直接的な」と明示的に記載されない限り、その関係は、第1の要素と第2の要素間に他の介在する要素が存在しない場合は、直接的な関係となり得るが、第1の要素と第2の要素間に1つまたは複数の介在する要素が存在する場合は(空間的であろうと機能的であろうと)、間接的な関係ともなり得る。本明細書で使用されるように、A、BおよびCのうちの少なくとも1つという熟語は、非排他的な論理和を使用して、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、およびCの少なくとも1つ」を意味すると解釈されるべきではない。
一部の実施態様では、コントローラは、上記の例の一部であってもよい、システムの一部である。そのようなシステムは、処理のための処理ツール(複数可)、チャンバ(複数可)、プラットホーム(複数可)、および/または特定の処理構成要素(ウェーハ・ペデスタル、ガス流システムなど)を含む半導体処理装置を備えることができる。これらのシステムは、半導体ウェーハまたは基板の処理前、処理中、および処理後にそれらの動作を制御するための電子機器と一体化されてもよい。電子機器は、「コントローラ」と呼ばれることがあり、システム(複数可)の様々な構成要素または副部品を制御することができる。コントローラは、処理要件および/またはシステムのタイプに応じて、本明細書に開示されたプロセスのいずれをも制御するようにプログラムされてもよく、これらのプロセスには、処理ガスの送出、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、パワー設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体送出設定、位置および動作設定、特定のシステムに接続されたもしくはインターフェースされたツールおよび他の転送ツールならびに/またはロード・ロックとの間でのウェーハ転送が含まれる。
おおまかに言えば、コントローラは、様々な集積回路、ロジック、メモリを有する電子機器、および/または命令を受け取り、命令を発行し、動作を制御し、清浄動作を可能にし、終了点測定を可能にするソフトウェアなどとして規定されてもよい。集積回路は、プログラム命令を記憶するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として規定されたチップ、および/またはプログラム命令(例えば、ソフトウェア)を実行する1つまたは複数のマイクロプロセッサもしくはマイクロコントローラを含んでもよい。プログラム命令は、半導体ウェーハに対して、もしくは半導体ウェーハのために特定のプロセスを実行するための動作パラメータを規定する様々な個々の設定(またはプログラムファイル)の形態でコントローラあるいはシステムに伝えられる命令であってもよい。動作パラメータは、一部の実施形態において、1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/またはウェーハのダイの製造中に1つまたは複数の処理ステップを達成するためにプロセスエンジニアによって規定されたレシピの一部であってもよい。
コントローラは、一部の実施態様では、システムと一体化されたコンピュータの一部であっても、もしくはそのコンピュータに結合されていても、システムに結合されていても、他の形でシステムにネットワーク接続されていても、またはそれらの組合せであってもよい。例えば、コントローラは、「クラウド」にあってもよく、あるいはファブのホスト・コンピュータ・システムのすべてもしくは一部であってもよく、これによってウェーハ処理の遠隔アクセスを可能にすることができる。コンピュータによって、システムへの遠隔アクセスを行い、製造作業の現在の進捗状況をモニタし、過去の製造作業の履歴を調べ、複数の製造作業から傾向または性能指標を調べ、現在の処理パラメータを変え、現在の処理に続く処理ステップを設定し、または新しいプロセスを始めることが可能になる場合がある。いくつかの例において、遠隔コンピュータ(例えば、サーバ)は、ネットワークを介してシステムにプロセスレシピを提供することができ、このネットワークにはローカル・ネットワークまたはインターネットが含まれてもよい。遠隔コンピュータは、パラメータおよび/または設定値の入力もしくはプログラミングを可能にするユーザ・インターフェースを含むことができ、これらのパラメータおよび/または設定値が、次いで、遠隔コンピュータからシステムに伝えられる。いくつかの例において、コントローラは、データの形態の命令を受け取り、このデータが1つまたは複数の動作中に行われる処理ステップの各々に対するパラメータを指定する。パラメータは、行われるプロセスのタイプ、およびコントローラがインターフェースするまたは制御するように構成されたツールのタイプに特有であってもよいことを理解されたい。したがって、上記したように、コントローラは、互いにネットワーク接続され、本明細書に記載されたプロセスおよび制御などの共通の目的に向かって動作している1つまたは複数の個別のコントローラを備えることなどによって分散されてもよい。そのような目的のための分散されたコントローラの一例は、組み合わさってチャンバに対するプロセスを制御する、遠隔に(例えば、プラットホーム・レベルに、または遠隔コンピュータの一部として)設置された1つまたは複数の集積回路と通信するチャンバ上の1つまたは複数の集積回路である。
限定することなく、例示的なシステムは、プラズマ・エッチングチャンバもしくはモジュール、堆積チャンバもしくはモジュール、スピン・リンス・チャンバもしくはモジュール、金属メッキ・チャンバもしくはモジュール、洗浄チャンバもしくはモジュール、ベーベル・エッジ・エッチングチャンバもしくはモジュール、物理気相堆積(PVD)チャンバもしくはモジュール、化学気相堆積(CVD)チャンバもしくはモジュール、原子層堆積(ALD)チャンバもしくはモジュール、原子層エッチング(ALE)チャンバもしくはモジュール、イオン注入チャンバもしくはモジュール、トラック・チャンバもしくはモジュール、および半導体ウェーハの作製および/または製造に関連付けられた、あるいは半導体ウェーハの作製および/または製造で使用され得る任意のその他の半導体処理システムを含むことができる。
上記のように、ツールによって行われるプロセス・ステップ(複数可)に応じて、コントローラは、他のツール回路もしくはモジュール、他のツール構成要素、クラスタツール、他のツール・インターフェース、近接するツール、隣接するツール、工場の至る所に設置されたツール、メイン・コンピュータ、別のコントローラ、あるいは半導体製造工場のツール位置および/またはロード・ポートとの間でウェーハの容器を運ぶ材料輸送で使用されるツールのうちの1つまたは複数と通信することがある。

Claims (26)

  1. 基板処理システムのためのガス送出システムであって、
    入口および出口を含み、前記入口は第1のガス源と流体連通する、第1のバルブと、
    第1の入口、第2の入口、および出口を含み、前記第1の入口は前記第1のバルブの前記出口と流体連通し、前記第2の入口は第2のガス源と流体連通する、第2のバルブと、
    入口および出口を含み、前記入口は第3のガス源と流体連通する、第3のバルブと、
    コネクタであって、
    第1の端部と第2の端部とを有する第1のガスチャネルと、
    前記第1のガスチャネルの前記第2の端部、前記第2のバルブの前記出口、並びに処理チャンバのガス分配装置と流体連通する第2のガスチャネルと、
    第1の端部および第2の端部を有する環状ガスチャネルを規定するシリンダと、
    前記シリンダは、前記シリンダおよび前記第1のガスチャネルが共同で前記シリンダの外側表面と前記第1のガスチャネルの内側表面との間に前記環状ガスチャネルを規定するように、前記第1のガスチャネルの内部に少なくとも部分的に配置され、
    前記環状ガスチャネルは、前記第3のバルブの前記出口および前記第のガスチャネルの前記第の端部と流体連通し、
    前記環状ガスチャネルの前記第の端部と、前記第のバルブの前記出口と流体連通する第3のガスチャネルと、
    前記第1のガスチャネルの前記第1の端部は、前記環状ガスチャネルの前記第の端部の入口に提供されたガスが、前記環状ガスチャネルを前記第の端部から前記第の端部まで流れて前記第のガスチャネルの前記第1の端部にて前記シリンダ内に流れ、前記シリンダおよび前記のガスチャネルを通って前記第のガスチャネルに流れるように、前記環状ガスチャネルの前記第2の端部と流体連通すること、
    を備えるコネクタと、
    を備える、ガス送出システム。
  2. 請求項1に記載のガス送出システムであって、前記第1のガス源は、パージ・ガス源を含む、ガス送出システム。
  3. 請求項1に記載のガス送出システムであって、前記第2のガス源は、前駆体ガス源を含む、ガス送出システム。
  4. 請求項1に記載のガス送出システムであって、入口および出口を含む第4のバルブをさらに備え、前記入口は第4のガス源と流体連通し、前記出口は前記第1のガスチャネルと流体連通する、ガス送出システム。
  5. 請求項4に記載のガス送出システムであって、前記第4のガス源は、洗浄ガス源を含む、ガス送出システム。
  6. 請求項5に記載のガス送出システムであって、前記洗浄ガス源は、遠隔プラズマ洗浄(RPC)ガスを含む、ガス送出システム。
  7. 請求項1に記載のガス送出システムであって、前記第3のガス源は、酸化ガス源を含む、ガス送出システム。
  8. 請求項1に記載のガス送出システムであって、前記基板処理システムは、原子層堆積を実行する、ガス送出システム。
  9. 請求項1に記載のガス送出システムであって、さらに、前記第1のバルブ、前記第2のバルブ、および前記第3のバルブを制御するように構成されているコントローラを備える、ガス送出システム。
  10. 請求項9に記載のガス送出システムであって、前記コントローラは、
    前記第1のバルブおよび前記第2のバルブを使用して、第1の所定期間中、前記第2のガス源から前駆体ガスを供給し、
    前記第1のバルブおよび前記第2のバルブを使用して、第2の所定期間中、前記第1のガス源からパージ・ガスを供給し、
    前記第3のバルブを使用して、第3の所定期間中、前記第3のガス源から酸化ガスを供給するように構成されている、ガス送出システム。
  11. 請求項10に記載のガス送出システムであって、
    前記第1の所定期間は原子層堆積(ALD)プロセスのドーズ段に相当し、
    前記第2の所定期間は前記ALDプロセスのバースト・パージ段に相当する、ガス送出システム。
  12. 請求項4に記載のガス送出システムであって、前記第4のバルブと前記コネクタ間の距離は10インチ(254mm)〜40インチ(1016mm)である、ガス送出システム。
  13. 請求項4に記載のガス送出システムであって、前記第4のバルブと前記コネクタ間の距離は5インチ(127mm)未満である、ガス送出システム。
  14. 基板処理システムにガスを供給するための方法であって、
    第1のバルブを使用して、第1のガス源からガスを選択的に供給し、
    第2のバルブを使用して、前記第1のガス源または第2のガス源からガスを選択的に供給し、
    第3のバルブを使用して、第3のガス源からガスを選択的に供給し、
    第1の端部と第2の端部とを有する第1のガスチャネルと、
    前記第1のガスチャネルの前記第2の端部、前記第2のバルブの出口、並びに処理チャンバのガス分配装置と流体連通する第2のガスチャネルと、
    第1の端部および第2の端部を有する環状ガスチャネルを規定するシリンダと、
    前記シリンダおよび前記第1のガスチャネルは共同で前記シリンダの外側表面と前記第1のガスチャネルの内側表面との間に前記環状ガスチャネルを規定するように、前記第1のガスチャネルの内部に少なくとも部分的に配置され、
    前記環状ガスチャネルは前記第3のバルブの出口および前記第のガスチャネルの前記第の端部と流体連通し、
    前記環状ガスチャネルの前記第の端部、前記第のバルブの前記口と流体連通する第3のガスチャネル
    前記第1のガスチャネルの前記第1の端部は、前記環状ガスチャネルの前記第の端部の入口に提供されたガスが、前記環状ガスチャネルを前記第の端部から前記第の端部まで流れて前記第のガスチャネルの前記第1の端部にて前記シリンダ内に流れ、前記シリンダおよび前記のガスチャネルを通って前記第のガスチャネルに流れるように、前記環状ガスチャネルの前記第2の端部と流体連通すること
    を含むコネクタを提供すること、
    を備える、方法。
  15. 請求項14に記載の方法であって、前記第1のガス源はパージ・ガス源を含む、方法。
  16. 請求項14に記載の方法であって、前記第2のガス源は前駆体ガス源を含む、方法。
  17. 請求項14に記載の方法であって、さらに、前記第1のガスチャネルと流体連通する出口を有する第4のバルブを使用して、第4のガス源からガスを選択的に供給することを備える、方法。
  18. 請求項17に記載の方法であって、前記第4のガス源は洗浄ガス源を含む、方法。
  19. 請求項18に記載の方法であって、前記洗浄ガス源は遠隔プラズマ洗浄(RPC)ガスを含む、方法。
  20. 請求項14に記載の方法であって、前記第3のガス源は酸化ガス源を含む、方法。
  21. 請求項14に記載の方法であって、前記基板処理システムは原子層堆積を行う、方法。
  22. 請求項14に記載の方法であって、さらに、コントローラを使用して、前記第1のバルブ、前記第2のバルブ、および前記第3のバルブを制御することを備える、方法。
  23. 請求項22に記載の方法であって、前記コントローラは、
    前記第1のバルブおよび前記第2のバルブを使用して、第1の所定期間中、前記第2のガス源から前駆体ガスを供給し、
    前記第1のバルブおよび前記第2のバルブを使用して、第2の所定期間中、前記第1のガス源からパージ・ガスを供給し、
    前記第3のバルブを使用して、第3の所定期間中、前記第3のガス源から酸化ガスを供給するように構成されている、方法。
  24. 請求項23に記載の方法であって、
    前記第1の所定期間は原子層堆積(ALD)プロセスのドーズ段に相当し、
    前記第2の所定期間は前記ALDプロセスのバースト・パージ段に相当する、方法。
  25. 請求項17に記載の方法であって、前記第4のバルブと前記コネクタ間の距離は10インチ(254mm)〜40インチ(1016mm)である、方法。
  26. 請求項17に記載の方法であって、前記第4のバルブと前記コネクタ間の距離は5インチ(127mm)未満である、方法。
JP2016135523A 2015-07-15 2016-07-08 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法 Active JP6976043B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562192844P 2015-07-15 2015-07-15
US62/192,844 2015-07-15
US14/805,852 US9631276B2 (en) 2014-11-26 2015-07-22 Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US14/805,852 2015-07-22

Publications (3)

Publication Number Publication Date
JP2017036493A JP2017036493A (ja) 2017-02-16
JP2017036493A5 JP2017036493A5 (ja) 2019-08-15
JP6976043B2 true JP6976043B2 (ja) 2021-12-01

Family

ID=57843186

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016135523A Active JP6976043B2 (ja) 2015-07-15 2016-07-08 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法

Country Status (5)

Country Link
JP (1) JP6976043B2 (ja)
KR (2) KR102620610B1 (ja)
CN (1) CN106356285B (ja)
SG (1) SG10201605682QA (ja)
TW (1) TWI705153B (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6803815B2 (ja) * 2017-07-25 2020-12-23 東京エレクトロン株式会社 基板処理装置、及び、基板処理装置の運用方法
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
JP6902991B2 (ja) * 2017-12-19 2021-07-14 株式会社日立ハイテク プラズマ処理装置
KR20200130473A (ko) * 2018-04-03 2020-11-18 램 리써치 코포레이션 Mems 코리올리 가스 유량 제어기
US11021792B2 (en) * 2018-08-17 2021-06-01 Lam Research Corporation Symmetric precursor delivery
JP7116248B2 (ja) 2020-04-03 2022-08-09 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62136871A (ja) * 1985-12-11 1987-06-19 Canon Inc 光センサ−、その製造方法及びその製造装置
US4660598A (en) * 1986-01-13 1987-04-28 Spraying Systems Co. Diaphragm-type antidrip valve
AU734902B2 (en) * 1996-08-21 2001-06-28 Fisher Controls International Llc Elastomeric element valve
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US7389792B2 (en) * 1998-12-24 2008-06-24 Nl Technologies, Ltd. Dip tube valve assembly
JP3736322B2 (ja) * 2000-04-26 2006-01-18 昭和電工株式会社 気相成長装置
JP2009267345A (ja) * 2008-04-01 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置
JP5270476B2 (ja) * 2009-07-07 2013-08-21 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8945317B2 (en) * 2011-12-07 2015-02-03 Lam Research Corporation System and method for cleaning gas injectors

Also Published As

Publication number Publication date
SG10201605682QA (en) 2017-02-27
TW201712147A (zh) 2017-04-01
JP2017036493A (ja) 2017-02-16
CN106356285A (zh) 2017-01-25
TWI705153B (zh) 2020-09-21
KR20170009756A (ko) 2017-01-25
KR102620610B1 (ko) 2024-01-02
CN106356285B (zh) 2019-09-17
KR20240004198A (ko) 2024-01-11

Similar Documents

Publication Publication Date Title
JP6976043B2 (ja) 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法
TWI777975B (zh) 用以減少泵抽排氣系統中之排出物積累的系統及方法
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
US20160147234A1 (en) Valve manifold deadleg elimination via reentrant flow path
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
JP7420754B2 (ja) 金属酸化物膜を除去するための温度制御システムおよびその方法
US10400323B2 (en) Ultra-low defect part process
US20180294197A1 (en) System design for in-line particle and contamination metrology for showerhead and electrode parts
US20220181128A1 (en) Apparatus for cleaning plasma chambers
US20230374661A1 (en) Showerhead with integral divert flow path
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
US20220375773A1 (en) Autoclean for load locks in substrate processing systems

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190702

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190702

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200717

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200721

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201014

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210330

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210628

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211012

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211109

R150 Certificate of patent or registration of utility model

Ref document number: 6976043

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150