KR20240004198A - 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들 - Google Patents

원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들 Download PDF

Info

Publication number
KR20240004198A
KR20240004198A KR1020230194407A KR20230194407A KR20240004198A KR 20240004198 A KR20240004198 A KR 20240004198A KR 1020230194407 A KR1020230194407 A KR 1020230194407A KR 20230194407 A KR20230194407 A KR 20230194407A KR 20240004198 A KR20240004198 A KR 20240004198A
Authority
KR
South Korea
Prior art keywords
gas
gas source
line
channel
source
Prior art date
Application number
KR1020230194407A
Other languages
English (en)
Inventor
라메시 찬드라세카란
제니퍼 오'룰린
상러트 상플렁
샹카 스와미나단
프랭크 파스콸레
끌로에 발다세로니
애드리언 라보이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/805,852 external-priority patent/US9631276B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20240004198A publication Critical patent/KR20240004198A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/60097Applying energy, e.g. for the soldering or alloying process
    • H01L2021/60172Applying energy, e.g. for the soldering or alloying process using static pressure
    • H01L2021/60187Isostatic pressure, e.g. degassing using vacuum or pressurised liquid

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

가스 전달 시스템은 제 1 가스 소스와 연통하는 유입부를 포함한 제 1 밸브를 포함한다. 제 2 밸브의 제 1 유입부는 제 1 밸브의 유출부와 연통하고 제 2 밸브의 제 2 유입부는 제 2 가스 소스와 연통한다. 제 3 밸브의 유입부는 제 3 가스 소스와 연통한다. 커넥터는 제 1 가스 채널 및, 제 2 가스 채널을 규정하는 실린더를 포함한다. 실린더 및 제 1 가스 채널은 실린더의 외측 표면과 제 1 가스 채널의 내측 표면 사이의 플로우 채널을 총체적으로 규정한다. 플로우 채널은 제 3 밸브의 유출부 및 제 2 가스 채널의 제 1 단부와 연통한다. 제 3 가스 채널은 제 2 가스 채널, 제 2 밸브의 유출부 그리고 프로세싱 챔버의 가스 분배 디바이스와 연통한다.

Description

원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들{SYSTEMS AND METHODS ENABLING LOW DEFECT PROCESSING VIA CONTROLLED SEPARATION AND DELIVERY OF CHEMICALS DURING ATOMIC LAYER DEPOSITION}
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 기판 프로세싱 동안 가스들을 프로세싱 챔버로 전달하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경 기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과, 뿐만 아니라 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
증착 및/또는 에칭을 수행하기 위한 기판 프로세싱 시스템들은 통상적으로 페데스탈을 가진 프로세싱 챔버를 포함한다. 반도체 웨이퍼와 같은 기판은 프로세싱 동안 페데스탈 상에 배치될 수도 있다. ALD (atomic layer desposition) 프로세스 또는 ALE (atomic layer etch) 프로세스에서, 상이한 가스 혼합물들은 프로세싱 챔버 내로 순차적으로 도입될 수도 있고 그리고 이어서 배기될 수도 있다. 프로세스는 막을 증착하도록 또는 기판을 에칭하도록 복수 회 반복된다. 일부 ALD 및 ALE 기판 프로세싱 시스템들에서, RF (radio frequency) 플라즈마는 화학 반응들을 활성화시키도록 단계들 중 일 단계 또는 단계들 양자 동안 사용될 수도 있다.
제 1 반응물질 가스는 ALD 프로세스의 제 1 단계 동안 프로세싱 챔버에 공급될 수도 있다. 미리 결정된 기간 후에, 반응물질들은 프로세싱 챔버로부터 제거된다. ALD 프로세스의 제 2 단계 동안, 제 2 반응물질 가스는 프로세싱 챔버에 공급될 수도 있다. 플라즈마는 화학 반응을 개시하도록 제 2 단계 동안 사용되거나 사용되지 않을 수도 있다. 제 2 단계 후에, 반응물질들은 프로세싱 챔버로부터 제거된다. 제 1 단계와 제 2 단계는 통상적으로 막을 증착하도록 복수 회 반복된다.
ALD 또는 ALE를 사용하여 막을 증착하거나 기판을 에칭하도록 요구되는 프로세스 시간은 대체로 얼마나 신속하게 반응물질 가스들이 공급될 수 있고 프로세싱 챔버로부터 배기될 수 있는지에 따라 결정된다. 그러므로 프로세스 시간들을 감소시키도록 반응물질 가스들을 신속하게 공급하고 배기하는 것이 장려된다. 그러나, 반응물질 가스들이 가스 공급 라인들 내에서 오버랩된다면, 바람직하지 않은 반응들이 반응물질 가스들 사이에서 발생할 수도 있고, 이는 기판 디펙트들을 유발할 수도 있다. 점착성 반응물질 가스, 또는 상이한 반응물질 가스들 사이의 불충분한 시간량은 가스 라인들 내에서 반응물질 가스들의 오버랩을 유발할 수도 있다.
현재, 시간적 분리 및 고 플로우 레이트들이 사용된다. 고압들을 사용하여 가스들을 스위치 온하고 스위치 오프하는 것은 가스 라인들 내로 그리고/또는 다운스트림 가스 분배 디바이스들 내에 압력 과도들을 도입할 수도 있고, 이는 부가적인 기판 디펙트들을 유발할 수도 있다.
기판 프로세싱 시스템을 위한 가스 전달 시스템은 유입부 및 유출부를 포함한 제 1 밸브를 포함한다. 제 1 밸브의 유입부는 제 1 가스 소스와 유체로 연통한다. 제 2 밸브는 제 1 유입부, 제 2 유입부 및 유출부를 포함한다. 제 2 밸브의 제 1 유입부는 제 1 밸브의 유출부와 유체로 연통하고 그리고 제 2 유입부는 제 2 가스 소스와 유체로 연통한다. 제 3 밸브는 유입부 및 유출부를 포함한다. 제 3 밸브의 유입부는 제 3 가스 소스와 유체로 연통한다. 커넥터는 제 1 가스 채널과, 제 1 단부 및 제 2 단부를 가진 제 2 가스 채널을 규정하는 실린더를 포함한다. 실린더 및 제 1 가스 채널이 실린더의 외측 표면과 제 1 가스 채널의 내측 표면 사이의 플로우 채널을 집합적으로 규정하도록 실린더는 제 1 가스 채널 내에 적어도 부분적으로 배치된다. 플로우 채널은 제 3 밸브의 유출부 및 제 2 가스 채널의 제 1 단부와 유체로 연통한다. 제 3 가스 채널은 제 2 가스 채널의 제 2 단부, 제 2 밸브의 유출부 그리고 프로세싱 챔버의 가스 분배 디바이스와 유체로 연통한다.
다른 특징들에서, 제 1 가스 소스는 퍼지 가스 소스를 포함한다. 제 2 가스 소스는 전구체 가스 소스를 포함한다. 제 4 밸브는 유입부 및 유출부를 포함한다. 제 4 밸브의 유입부는 제 4 가스 소스와 유체로 연통한다. 제 4 밸브의 유출부는 플로우 채널과 유체로 연통한다. 제 4 가스 소스는 세정 가스 소스를 포함한다. 세정 가스 소스는 RPC (remote plasma clean) 가스를 포함한다.
다른 특징들에서, 제 3 가스 소스는 산화 가스 소스를 포함한다. 기판 프로세싱 시스템은 ALD (atomic layer deposition) 를 수행한다. 제어기는 제 1 밸브, 제 2 밸브 및 제 3 밸브를 제어하도록 구성된다. 제어기는, 제 1 밸브 및 제 2 밸브를 사용하여 제 1 미리 결정된 기간 동안 제 2 가스 소스로부터 전구체 가스를 공급하고; 제 1 밸브 및 제 2 밸브를 사용하여 제 2 미리 결정된 기간 동안 제 1 가스 소스로부터 퍼지 가스를 공급하고; 그리고 제 3 밸브를 사용하여 제 3 미리 결정된 기간 동안 제 3 가스 소스로부터 산화 가스를 공급하도록 구성된다.
다른 특징들에서, 제 1 미리 결정된 기간은 ALD 프로세스의 도즈 스테이지에 대응한다. 제 2 미리 결정된 기간은 ALD 프로세스의 버스트 퍼지 스테이지에 대응한다. 제 3 미리 결정된 기간은 ALD 프로세스의 도즈 퍼지 스테이지, RF 스테이지 및 RF 퍼지 스테이지에 대응한다.
다른 특징들에서, 제 4 밸브와 커넥터 사이의 거리는 10" 내지 40"이다. 제 4 밸브와 커넥터 사이의 거리는 5" 미만이다.
가스를 기판 프로세싱 시스템으로 공급하기 위한 방법은 제 1 밸브를 사용하여 제 1 가스 소스로부터 가스를 선택적으로 공급하는 단계; 제 2 밸브를 사용하여 제 1 가스 소스 또는 제 2 가스 소스로부터 가스를 선택적으로 공급하는 단계; 제 3 밸브를 사용하여 제 3 가스 소스로부터 가스를 선택적으로 공급하는 단계; 및 커넥터를 제공하는 단계를 포함하고; 커넥터는, 제 1 가스 채널; 제 1 단부 및 제 2 단부를 가진 제 2 가스 채널을 규정하는 실린더; 및 제 2 가스 채널의 제 2 단부, 제 2 밸브의 유출부 그리고 프로세싱 챔버의 가스 분배 디바이스와 유체로 연통하는 제 3 가스 채널을 포함하고, 실린더 및 제 1 가스 채널이 실린더의 외측 표면과 제 1 가스 채널의 내측 표면 사이의 플로우 채널을 집합적으로 규정하도록 실린더는 제 1 가스 채널 내에 적어도 부분적으로 배치되고, 플로우 채널은 제 3 밸브의 유출부 및 제 2 가스 채널의 제 1 단부와 유체로 연통한다.
다른 특징들에서, 제 1 가스 소스는 퍼지 가스 소스를 포함한다. 제 2 가스 소스는 전구체 가스 소스를 포함한다. 방법은 플로우 채널과 유체로 연통하는 유출부를 가진 제 4 밸브를 사용하여 제 4 가스 소스로부터 가스를 선택적으로 공급하는 단계를 포함한다. 제 4 가스 소스는 세정 가스 소스를 포함한다. 세정 가스 소스는 RPC 가스를 포함한다.
다른 특징들에서, 제 3 가스 소스는 산화 가스 소스를 포함한다. 기판 프로세싱 시스템은 ALD를 수행한다. 방법은 제어기를 사용하여 제 1 밸브, 제 2 밸브 및 제 3 밸브를 제어하는 단계를 포함한다.
상기 제어기는 제 1 밸브 및 제 2 밸브를 사용하여 제 1 미리 결정된 기간 동안 제 2 가스 소스로부터 전구체 가스를 공급하도록 구성된다. 제어기는 제 1 밸브 및 제 2 밸브를 사용하여 제 2 미리 결정된 기간 동안 제 1 가스 소스로부터 퍼지 가스를 공급하도록 구성된다. 제어기는 제 3 밸브를 사용하여 제 3 미리 결정된 기간 동안 제 3 가스 소스로부터 산화 가스를 공급하도록 구성된다.
다른 특징들에서, 제 1 미리 결정된 기간은 ALD 프로세스의 도즈 스테이지에 대응하고, 제 2 미리 결정된 기간은 ALD 프로세스의 버스트 퍼지 스테이지에 대응하고, 그리고 제 3 미리 결정된 기간은 ALD 프로세스의 도즈 퍼지 스테이지, RF 스테이지 및 RF 퍼지 스테이지에 대응한다.
다른 특징들에서, 제 4 밸브와 커넥터 사이의 거리는 10" 내지 40"이다. 제 4 밸브와 커넥터 사이의 거리는 5" 미만이다.
본 개시의 적용 가능성의 추가의 영역들은 상세한 기술, 청구항들 및 도면들로부터 분명해질 것이다. 상세한 기술 및 구체적인 예들은 오직 예시의 목적들을 위해 의도된 것이고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른, 기판 프로세싱 시스템에 대한 기능 블록도이다.
도 2는 가스 전달 시스템의 예의 개략도이다.
도 3은 예시적인 원자층 증착 프로세스에 대한 타이밍도이다.
도 4는 본 개시에 따른, 또 다른 예시적인 가스 전달 시스템의 개략도이다.
도 5는 본 개시에 따른, 커넥터의 부분적인, 단면 사시도이다.
도 6은 본 개시에 따른, 또 다른 예시적인 가스 전달 시스템의 개략도이다.
도 7은 이상적인 가스 전달 시스템에 대한 밸브들의 타이밍을 예시한다.
도 8은 본 개시에 따른, 도 4의 가스 전달 시스템에 대한 밸브들의 타이밍을 예시한다.
도 9는 본 개시에 따른, 도 6의 가스 전달 시스템에 대한 밸브들의 타이밍을 예시한다.
도 10은 본 개시에 따라 가스를 공급하기 위한 방법의 예를 예시하는 흐름도이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들 (elements) 을 식별하기 위해 재사용될 수도 있다.
관련 출원들의 상호 참조
본 출원은 2015년 7월 15일 출원된 미국 가출원 제 62/192,844 호의 이점을 주장한다. 상기 언급된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
일부 예들에서, 본 개시에 따른, 가스 전달 시스템들 및 방법들은 기판 디펙트들을 감소시키도록 기판 프로세싱 시스템의 가스 라인들에서 제 2 반응물질 가스에 대한 제 1 반응물질 가스의 분리를 증가시킨다. 일부 예들에서, 퍼지 가스의 연속적인 플로우는 제 2 가스가 도입되는 다운스트림 커넥터의 유입부에 공급될 수도 있다.
기판 프로세싱 시스템의 가스 라인들 내의 반응물질 가스들의 공간적 분리는 기판 디펙트들을 감소시키는 것을 돕는다. 공간적 분리는 시간적 분리와만 연관된 문제들을 극복한다. 다운스트림 커넥터의 유입부에 연속적인 퍼지 가스 플로우를 제공하고 그리고 제 1 반응물질 가스에 대해 원격으로 제 2 반응물질 가스를 공급하는 밸브를 위치시킴으로써, 압력 과도들이 또한 관리될 수 있다. 반응의 위험은, 제 1 반응물질 가스와 제 2 반응물질 가스 사이의 공간적 분리를 위해 할당된 시간량이 불충분하다면 여전히 존재한다. 그러나, 가스 반응물질들이 혼합되는 위치, 및 혼합 위치에서의 압력은 제어될 수 있고 그리고 반응들이 관리될 수 있다.
공간적 분리는 퍼지 시간들에 대해 프로세스 개발에 대한 마진을 허용함으로써 가스 전달 시스템의 강성을 증가시킨다. 물리적 분리의 사용은 밸브 타이밍에 의해 제어되는 시간적 분리와 결합될 수 있다. 결합은 가스 라인 보호로부터 분리된 프로세스 챔버 퍼지를 최적화하는 것을 도울 수 있다.
이제 도 1을 참조하면, 예시적인 기판 프로세싱 시스템이 도시된다. 전술한 예가 PEALD (plasma enhanced atomic layer deposition) 의 맥락에서 기술되지만, 본 개시는 CVD (chemical vapor deposition), PECVD, ALE, ALD, 및 PEALE와 같은 다른 기판 프로세싱 시스템들에 적용될 수도 있다. 기판 프로세싱 시스템 (1) 은 기판 프로세싱 시스템 (1) 의 다른 컴포넌트들 (components) 을 둘러싸고 그리고 (사용된다면) RF 플라즈마를 담는 프로세싱 챔버 (2) 를 포함한다. 기판 프로세싱 시스템 (1) 은 상부 전극 (4) 및 ESC (electrostatic chuck) (6) 또는 다른 기판 지지부를 포함한다. 동작 동안, 기판 (8) 은 ESC (6) 상에 배치된다.
단지 예를 들면, 상부 전극 (4) 은 프로세스 가스들을 도입시키고 분배하는 샤워헤드와 같은 가스 분배 디바이스 (9) 를 포함할 수도 있다. 가스 분배 디바이스 (9) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함한 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 원통형이고 그리고 프로세싱 챔버의 상단 표면으로부터 이격된 위치에서 스템 부분의 반대편의 단부로부터 방사상으로 외측으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면 플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (4) 은 전도성 플레이트를 포함할 수도 있고 그리고 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다.
ESC (6) 는 하부 전극으로서 작용하는 전도성 베이스 플레이트 (10) 를 포함한다. 전도성 베이스 플레이트 (10) 는 세라믹 멀티-존 가열 플레이트에 대응할 수도 있는 가열 플레이트 (12) 를 지지한다. 내열성 층 (14) 은 가열 플레이트 (12) 와 베이스 플레이트 (10) 사이에 배치될 수도 있다. 베이스 플레이트 (10) 는 베이스 플레이트 (10) 를 통해 냉각제를 흘리기 위한 하나 이상의 냉각제 채널들 (16) 을 포함할 수도 있다.
RF 생성 시스템 (20) 은 RF 전압을 생성하고 그리고 RF 전압을 상부 전극 (4) 및 하부 전극 (예를 들어, ESC (6) 의 베이스 플레이트 (10)) 중 하나에 출력한다. 상부 전극 (4) 및 베이스 플레이트 (10) 중 다른 하나는 DC 접지되거나, AC 접지되거나 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (20) 은 매칭 및 분배 네트워크 (24) 에 의해 상부 전극 (4) 또는 베이스 플레이트 (10) 에 피딩되는 (fed) RF 전력을 생성하는 RF 생성기 (22) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도적으로 또는 원격으로 생성될 수도 있다.
하나 이상의 가스 전달 시스템들 (30-1, 30-2, ..., 및 30-M) (집합적으로 가스 전달 시스템들 (30)) 은 하나 이상의 가스 소스들 (32-1, 32-2, ..., 및 32-N) (집합적으로 가스 소스들 (32)) 을 포함하고, 여기서 M 및 N은 0보다 큰 정수들이다. 가스 소스들 (32) 은 밸브들 (34-1, 34-2, ..., 및 34-N) (집합적으로 밸브들 (34)) 및 질량 유량 제어기들 (36-1, 36-2, ..., 및 36-N) (집합적으로 질량 유량 제어기들 (36)) 에 의해 매니폴드 (40) 에 연결된다. 매니폴드 (40) 의 출력은 가스 분리 시스템 (41) 에 피딩된다. 특정한 가스 전달 시스템 (30-1) 이 도시되지만, 가스는 임의의 적합한 가스 전달 시스템들을 사용하여 전달될 수도 있다. 하나 이상의 부가적인 가스 전달 시스템들 (30-2, ..., 및 30-M) 은 가스 분리 시스템 (41) 과 유체적으로 연통한다. RPC (remote plasma clean) 가스와 같은 세정 가스 소스 (43) 는 또한 가스 분리 시스템 (41) 과 유체적으로 연통할 수도 있다.
온도 제어기 (42) 는 가열 플레이트 (12) 내에 배치된 복수의 TCE들 (thermal control elements) (44) 에 연결될 수도 있다. 온도 제어기 (42) 는 ESC (6) 및 기판 (8) 의 온도를 제어하도록 복수의 TCE들 (44) 을 제어하기 위해 사용될 수도 있다. 온도 제어기 (42) 는 채널들 (16) 을 통해 냉각제 플로우를 제어하도록 냉각제 어셈블리 (46) 와 연통할 수도 있다. 예를 들어, 냉각제 어셈블리 (46) 는 냉각제 펌프 및 저장소를 포함할 수도 있다. 온도 제어기 (42) 는 ESC (6) 를 냉각하도록 채널들 (16) 을 통해 냉각제를 선택적으로 흘리기 위해서 냉각제 어셈블리 (46) 를 동작한다.
밸브 (50) 및 펌프 (52) 는 프로세싱 챔버 (2) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 시스템 제어기 (60) 는 기판 프로세싱 시스템 (1) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 로봇 (70) 은 ESC (6) 상으로 기판들을 전달하고, 그리고 ESC (6) 로부터 기판들을 제거하도록 사용될 수도 있다. 예를 들어, 로봇 (70) 은 기판들을 ESC (6) 와 로드록 (72) 사이에서 이송할 수도 있다.
이제 도 2를 참조하면, 가스 분리 시스템 (41) 의 예가 가스 라인들 (83) 에 의해 연결된 복수의 밸브들 (76, 78, 80 및 82) 을 포함한 밸브 어셈블리 (74) 를 포함하도록 도시된다. 밸브 (76) 의 유입부는 퍼지 가스 소스에 연결되고 그리고 밸브 (76) 의 유출부는 밸브 (78) 의 유입부에 연결된다. 밸브 (78) 의 또 다른 유입부는 산화 가스 소스와 같은 반응물질 가스에 연결된다. 밸브 (78) 의 유출부는 밸브 (80) 의 유입부에 연결된다. 밸브 (80) 의 또 다른 유입부는 전구체 가스 소스와 같은 반응물질 가스에 연결된다.
밸브 (80) 의 유출부는, 밸브 (86) 의 유출부 및 프로세싱 챔버에 연결되는, 엘보 (elbow) 커넥터 (84) 에 연결된다. 밸브 (86) 의 유입부는 RPC 가스 소스와 같은 세정 가스에 연결된다. 밸브 (82) 는 유출부 및 전구체 가스에 연결된 유입부를 갖는다.
동작 동안, 전구체 가스는 미리 결정된 기간 동안 밸브 (80) (전구체 가스 유입부로부터 유출부로의 연결부가 폐쇄됨) 및 밸브 (82) (개방됨) 를 사용하여 선택 가능하게 방향전환될 수도 있다. 방향전환 후에, 전구체 가스는 미리 결정된 기간 동안 밸브 (80) (전구체 가스 유입부로부터 유출부로의 연결부가 개방됨) 및 밸브 (82) (폐쇄됨) 를 사용하여 프로세싱 챔버에 공급되고 그리고 이어서 전구체 가스의 공급이 종료된다. 퍼지 가스는 밸브들 (76, 78 및 80) 을 사용하여 프로세싱 챔버에 공급되고 그리고 이어서 종료된다. 산화 가스는 밸브들 (78 및 80) 을 사용하여 프로세싱 챔버에 공급된다. 이해될 수 있는 바와 같이, 전구체 가스는 산화 가스와 동일한 가스 라인들 (83) 및 밸브들의 일부를 사용하여 프로세싱 챔버에 공급된다.
이제 도 3을 참조하면, 도 2의 밸브들의 동작이 도시된다. 도즈 스테이지 전에, 퍼지 가스는 밸브들 (80 및 82) 을 사용하여 처음에 공급될 수도 있고 그리고 방향전환될 수도 있다. 미리 결정된 기간 후에, 밸브들 (80 및 82) 은 엘보 커넥터 (84) 를 통해 프로세싱 챔버에 전구체 가스를 공급하도록 배치된다 (도즈 스테이지). 도즈 스테이지의 종료시에, 밸브 (80) 는 전구체 가스를 공급하는 것을 중지하고 그리고 퍼지 가스를 공급하도록 위치된다. 버스트 퍼지 스테이지 동안, 퍼지 가스는 밸브들 (76, 78, 80) 및 엘보 커넥터 (84) 를 통해 프로세싱 챔버에 공급된다. 버스트 퍼지 스테이지의 종료시에, 밸브 (76) 는 폐쇄된다. 산화 가스는 밸브들 (78, 80) 및 엘보 커넥터 (84) 를 사용하여 도즈 퍼지, RF 및 RF 퍼지 스테이지들 동안 프로세싱 챔버에 공급된다.
전구체 가스 및 산화 가스 양자는 밸브들과 가스 라인들의 동일한 그룹을 사용하여 공급되지만 시간적으로 분리된다. 시간적 분리는 전구체 가스 플로우와 산화 가스 플로우 사이에서 가스 라인들 (83) 을 말끔히 완전히 세정하도록 큰 플로우 레이트들 및 충분한 시간에 의존한다. 점착성 전구체 또는 할당된 불충분한 시간량은 가스 채널들 내의 반응들에 기인한 디펙트 형성을 발생시킬 수도 있다. 또한, 고 플로우 레이트들와 산화제, 퍼지 가스 및 전구체 가스 사이의 스위칭은 가스 채널들 및 가스 분배 디바이스들 내에 압력 과도들을 도입할 수 있다.
이제 도 4를 참조하면, 가스 분리 시스템 (87) 은 복수의 밸브들 (90, 92, 94, 및 96) 및 가스 라인들 (83) 을 포함한 밸브 어셈블리 (88) 를 포함한다. 밸브 (90) 의 유입부는 퍼지 가스 소스에 연결되고 그리고 밸브 (90) 의 유출부는 밸브 (92) 의 유입부에 연결된다. 일부 예들에서, 퍼지 가스는 헬륨, 아르곤 또는 또 다른 불활성 가스를 포함한다. 밸브 (92) 의 유출부는 밸브 (94) 의 유입부에 연결된다. 밸브 (94) 의 또 다른 유입부는 전구체 가스와 같은 반응물질 가스에 연결된다.
밸브 (94) 의 유출부는 밸브 (98) 의 유출부 및 프로세싱 챔버에 연결되는, 엘보 커넥터 (100) 에 연결된다. 밸브 (98) 의 유입부는 RPC 소스와 같은 프로세스 가스에 연결된다. 밸브 (96) 는 유출부 및 전구체 가스에 연결된 유입부를 갖는다.
하나 이상의 밸브들 (102A 및 102B) (집합적으로 밸브 (102)) 은 엘보 커넥터 (100) 의 유입부 (104) 에 산화 가스와 같은 반응물질 가스를 공급하도록 사용된다. 일부 예들에서, 밸브 (102) 는 엘보 커넥터 (100) 의 유입부로부터 10" 내지 40"의 거리에 배치된다.
퍼지 가스는 또한 엘보 커넥터 (100) 의 유입부 (104) 에 연속적으로 공급될 수도 있거나 유입부 (104) 에 선택적으로 공급될 수도 있다 (산화 가스의 공급 동안 또는 산화 가스의 공급 동안과는 다른 시간들에). "T"-형상의 유체 커넥터 (105) 는 엘보 커넥터 (100) 에 유체적으로 연결된 제 1 레그, 밸브 (94) 의 유출부에 유체적으로 연결된 제 2 레그 및 프로세싱 챔버에 유체적으로 연결된 제 3 레그를 갖는다. 일부 예들에서, "T"-형상의 유체 커넥터 (105) 는 세라믹으로 이루어질 수도 있다.
이제 도 5를 참조하면, 엘보 커넥터 (100) 의 예는 밸브 어셈블리 (120) 에 연결된 제 1 커넥터 (122) 및 제 2 커넥터 (124) 를 포함한다. 엘보 커넥터 (100) 에 대한 부가적인 상세들은 2014년 11월 26일 출원되고 발명의 명칭이 "REMOTE PLASMA CLEAN ELBOW CONNECTOR WITH PURGING TO REDUCE ON-WAFER PARTICLES"인 공동으로 양도된 미국 가출원 제 62/084,856 호 및 2015년 7월 22일에 출원되고 발명의 명칭이 "VALVE MANIFOLD DEADLEG ELIMINATION VIA REENTRANT FLOW PATH"인 미국 특허 출원 제 14/805,807 호 (대리인 문서 제 3585-2US 호) 에서 발견될 수도 있고, 양자는 전체가 참조로서 본 명세서에 인용된다.
제 1 커넥터 (122) 는 유입부 (133) 및 유출부 (134) 를 포함한 제 1 가스 채널 (132) 을 규정하는 제 1 바디 (130) 를 포함한다. 제 2 커넥터 (124) 는 유입부 (139) 및 유출부 (140) 를 포함한 제 2 가스 채널 (138) 을 규정하는 제 2 바디 (136) 를 포함한다. 제 1 가스 채널 (132) 의 유출부 (134) 는 제 2 가스 채널 (138) 의 유입부 (139) 에 연결된다. 일부 예들에서, 제 1 가스 채널 (132) 은 일반적으로 "L"-형상이거나 엘보-형상이다.
제 1 커넥터 (122) 는 제 1 커넥터 (122) 의 유입부 (133) 에 근접하여 제 1 가스 채널 (132) 의 일부분 주위에 배치되는 환형 채널 (144) 을 포함한다. 환형 채널 (144) 은 유입부 (133) 근방의 영역에 가스를 공급한다. 일부 예들에서, 실린더 (146) 는 환형 채널 (144) 을 규정하도록 제 1 커넥터 (122) 의 유입부 (133) 에 근접하여 제 1 가스 채널 (132) 의 내부에 삽입될 수도 있다. 실린더 (146) 의 일 단부 (147) 는 유입부 (133) 로부터 이격되는 위치에서 제 1 가스 채널 (132) 의 내측 표면과 인접하다. 바디 (130) 와 실린더 (146) 의 방사상으로 외측 표면 사이의 캐비티 (150) 는 환형 채널 (144) 을 규정한다.
바디 (130) 는 캐비티 (150) 에 연결되는 제 3 가스 채널 (154) 을 더 규정한다. 피팅부 또는 밸브 (156) 는 가스 소스에 제 3 가스 채널 (154) 을 연결하도록 사용될 수도 있다. 가스는 제 3 가스 채널 (154) 및 환형 채널 (144) 에 공급된다. 가스는 환형 채널 (144) 을 통해 유입부 (133) 근방의 영역 내로 흐른다. 가스는 제 1 가스 채널 (132) 을 통해 제 2 가스 채널 (138) 로 흐른다. 가스는 원격 플라즈마 세정 동안 (RPC 가스가 RPC 밸브에 의해 공급되는 동안) 공급될 수도 있다. 일부 예들에서, 가스는 또한 전구체 가스를 사용한 도즈 동안 그리고/또는 산화 가스의 공급 동안 공급된다.
일부 예들에서, 가열기 (160) 는 미리 결정된 최소 온도로 환형 채널 (144) 부근의 영역 내의 온도를 유지하도록 사용될 수도 있다. 보다 구체적으로, 가열기 (160) 는 바디 (130) 에 연결될 수도 있고 그리고 바디 (적어도 데드-레그 볼륨을 포함한 부분) 를 가스의 응결 온도 이상의 온도로 가열하도록 사용될 수도 있다. 일부 예들에서, 온도는 대략 ~ 65 ℃ 이상의 미리 결정된 온도로 유지되지만, 온도는 사용된 가스의 타입 및 가스의 응결 온도에 따라 가변할 것이다.
이제 도 6을 참조하면, 또 다른 가스 분리 시스템 (200) 이 상기에 기술된 밸브 어셈블리 (88) 를 포함한다. 밸브 (204) 는 엘보 커넥터 (100) 의 유입부 (104) 에 보다 가깝게 배치된다. 일부 예들에서, 밸브 (204) 는 엘보 커넥터 (100) 의 유입부로부터 10" 미만의 거리에 배치된다. 다른 예들에서, 거리는 5", 또는 2.5" 또는 1" 이하이다.
이제 도 7 내지 도 9를 참조하면, 밸브 시퀀싱 및 타이밍에 대한 다양한 타이밍도들이 도시된다. 도 7에서, 이상적인 밸브 시퀀싱 및 타이밍이 도시된다. 이상적으로, 전구체 가스 플로우는 산화제 가스 플로우가 시작될 때 동시에 종료되고 그리고 오버랩은 없다. 도 8에서, 도 4의 밸브들의 동작이 도시된다. 라인 충전 시간에 기인하여 전구체와 산화제 사이에 도 2에서 겪는 오버랩보다 적은 오버랩이 있다. 도 9에서, 도 6의 밸브들의 동작이 도시된다. "T"-형상의 유체 커넥터 (105) 내에 오버랩이 일부 있을 수도 있다.
이제 도 10을 참조하면, 상기에 기술된 가스 전달 시스템을 동작시키기 위한 방법 300의 예가 도시된다. 304에서, 방법은 RPC 가스 또는 또 다른 세정 가스를 사용한 세정이 수행되어야 하는지를 결정한다. 참이라면, 기판들은 프로세싱 챔버로부터 제거되고 그리고 세정 가스 또는 RPC 가스는 미리 결정된 세정 기간 동안 공급된다.
304가 부정이라면, 제어는 ALD 프로세스가 수행될 필요가 있는지를 결정한다. 306이 참이라면, 기판들은 310에서 프로세싱 챔버 내로 로딩된다. 부가적으로, 전구체 가스와 같은 제 1 반응물질 가스는 310에서 제 1 미리 결정된 기간 동안 선택 가능하게 공급되고 그리고 방향전환된다. 314에서, 제 1 미리 결정된 기간 후에, 전구체 가스와 같은 제 1 반응물질 가스는 제 2 미리 결정된 기간 동안 프로세싱 챔버에 공급된다.
제 2 미리 결정된 기간 후에, 불활성 가스와 같은 퍼지 가스는 318에서 제 3 미리 결정된 기간 동안 공급된다. 제 3 미리 결정된 기간 후에, 산화 가스와 같은 제 2 반응물질 가스는 320에서 제 4 미리 결정된 기간 동안 공급된다. 제 4 미리 결정된 기간 후에, 제어는 322에서 ALD 프로세스를 반복할지를 결정한다. 322가 참이라면, 제어는 310으로 되돌아간다. 그렇지 않으면, 제어는 328로 계속되고, 선택 가능하게 프로세싱 챔버로부터 기판을 제거하고 그리고 이어서 304로 되돌아간다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시예들 각각이 특정한 피처들을 갖는 것으로 상기에 기술되지만, 본 개시의 임의의 실시예에 대해 기술된 임의의 하나 이상의 이들 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시예들의 피처들로 및/또는 임의의 다른 실시예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시예들은 상호 배타적이지 않고, 하나 이상의 실시예들의 또 다른 실시예와의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트가 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어서 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어서, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어서 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어서 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어서, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (26)

  1. 기판 프로세싱 시스템을 위한 가스 전달 시스템에 있어서,
    제 1 가스 소스와 유체로 연통하는 제 1 가스 라인으로서, 상기 제 1 가스 라인은 제 1 유출부를 포함하는, 상기 제 1 가스 라인;
    상기 제 1 가스 라인의 상기 제 1 유출부와 유체로 연통하는 제 1 유입부, 제 2 가스 소스와 유체로 연통하는 제 2 유입부, 및 제 2 유출부를 포함하는 제 2 가스 라인;
    제 3 유출부, 및 제 3 가스 소스와 유체로 연통하는 제 3 유입부를 포함하는 제 3 가스 라인; 및
    커넥터를 포함하고, 상기 커넥터는,
    제 1 단부 및 제 2 단부를 가지는 제 1 가스 채널;
    상기 제 1 가스 채널과 유체로 연통하는 제 2 가스 채널; 및
    상기 제 1 가스 채널의 상기 제 2 단부, 상기 제 3 가스 라인의 상기 제 3 유출부, 및 프로세싱 챔버의 가스 분배 디바이스와 유체로 연통하는 제 3 가스 채널을 포함하고, 상기 제 1 가스 채널은 상기 제 3 가스 라인의 상기 제 3 유출부 및 상기 제 1 가스 채널의 상기 제 1 단부와 유체로 연통하는 플로우 채널을 규정하는, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  2. 제 1 항에 있어서,
    상기 제 1 가스 소스는 퍼지 가스 소스를 포함하는, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  3. 제 1 항에 있어서,
    상기 제 2 가스 소스는 전구체 가스 소스를 포함하는, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  4. 제 1 항에 있어서,
    제 4 가스 소스와 유체로 연통하는 제 4 유입부, 및 상기 커넥터의 상기 플로우 채널과 유체로 연통하는 제 4 유출부를 포함하는 제 4 가스 라인을 더 포함하는, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  5. 제 4 항에 있어서,
    상기 제 4 가스 소스와 상기 커넥터 사이의 거리는 10" 내지 40"인, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  6. 제 4 항에 있어서,
    상기 제 4 가스 소스와 상기 커넥터 사이의 거리는 5" 미만인, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  7. 제 4 항에 있어서,
    상기 제 4 가스 소스는 세정 가스 소스를 포함하는, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  8. 제 7 항에 있어서,
    상기 세정 가스 소스는 RPC (remote plasma clean) 가스를 포함하는, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  9. 제 1 항에 있어서,
    상기 제 3 가스 소스는 산화 가스 소스를 포함하는, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  10. 제 1 항에 있어서,
    상기 기판 프로세싱 시스템은 원자층 증착을 수행하는, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  11. 제 1 항에 있어서,
    상기 제 1 가스 라인, 상기 제 2 가스 라인 및 상기 제 3 가스 라인을 통한 가스 전달을 제어하도록 구성된 제어기를 더 포함하는, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  12. 제 11 항에 있어서,
    상기 제어기는,
    상기 제 1 가스 라인 및 상기 제 2 가스 라인을 사용하여 제 1 미리 결정된 기간 동안 상기 제 2 가스 소스로부터 전구체 가스를 공급하고;
    상기 제 1 가스 라인 및 상기 제 2 가스 라인을 사용하여 제 2 미리 결정된 기간 동안 상기 제 1 가스 소스로부터 퍼지 가스를 공급하고; 그리고
    상기 제 3 가스 라인을 사용하여 제 3 미리 결정된 기간 동안 상기 제 3 가스 소스로부터 산화 가스를 공급하도록 구성되는, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  13. 제 12 항에 있어서,
    상기 제 1 미리 결정된 기간은 원자층 증착 (atomic layer deposition; ALD) 프로세스의 도즈 스테이지에 대응하고;
    상기 제 2 미리 결정된 기간은 상기 ALD 프로세스의 버스트 퍼지 스테이지에 대응하고; 그리고
    상기 제 3 미리 결정된 기간은 상기 ALD 프로세스의 도즈 퍼지 스테이지, RF 스테이지 및 RF 퍼지 스테이지에 대응하는, 기판 프로세싱 시스템을 위한 가스 전달 시스템.
  14. 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법에 있어서,
    제 1 가스 라인을 사용하여 제 1 가스 소스로부터 가스를 선택적으로 공급하는 단계;
    제 2 가스 라인을 사용하여 상기 제 1 가스 소스 또는 제 2 가스 소스로부터 가스를 선택적으로 공급하는 단계;
    제 3 가스 라인을 사용하여 제 3 가스 소스로부터 가스를 선택적으로 공급하는 단계; 및
    커넥터를 제공하는 단계를 포함하고, 상기 커넥터는,
    제 1 단부 및 제 2 단부를 가지는 제 1 가스 채널;
    상기 제 1 가스 채널과 유체로 연통하는 제 2 가스 채널; 및
    상기 제 1 가스 채널의 상기 제 2 단부, 상기 제 3 가스 라인의 유출부, 및 프로세싱 챔버의 가스 분배 디바이스와 유체로 연통하는 제 3 가스 채널을 포함하고, 상기 제 1 가스 채널은 상기 제 3 가스 라인의 유출부 및 상기 제 1 가스 채널의 상기 제 1 단부와 유체로 연통하는 플로우 채널을 규정하는, 상기 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 제 1 가스 소스는 퍼지 가스 소스를 포함하는, 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
  16. 제 14 항에 있어서,
    상기 제 2 가스 소스는 전구체 가스 소스를 포함하는, 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
  17. 제 14 항에 있어서,
    상기 플로우 채널과 유체로 연통하는 유출부를 갖는 제 4 가스 라인을 사용하여 제 4 가스 소스로부터 가스를 선택적으로 공급하는 단계를 더 포함하는, 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
  18. 제 17 항에 있어서,
    상기 제 4 가스 소스와 상기 커넥터 사이의 거리는 10" 내지 40"인, 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
  19. 제 17 항에 있어서,
    상기 제 4 가스 소스와 상기 커넥터 사이의 거리는 5" 미만인, 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
  20. 제 17 항에 있어서,
    상기 제 4 가스 소스는 세정 가스 소스를 포함하는, 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
  21. 제 20 항에 있어서,
    상기 세정 가스 소스는 RPC (remote plasma clean) 가스를 포함하는, 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
  22. 제 14 항에 있어서,
    상기 제 3 가스 소스는 산화 가스 소스를 포함하는, 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
  23. 제 14 항에 있어서,
    상기 기판 프로세싱 시스템은 원자층 증착을 수행하는, 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
  24. 제 14 항에 있어서,
    제어기를 사용하여, 상기 제 1 가스 라인, 상기 제 2 가스 라인 및 상기 제 3 가스 라인을 통한 가스 전달을 제어하는 단계를 더 포함하는, 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
  25. 제 24 항에 있어서,
    상기 제어기는,
    상기 제 1 가스 라인 및 상기 제 2 가스 라인을 사용하여 제 1 미리 결정된 기간 동안 상기 제 2 가스 소스로부터 전구체 가스를 공급하고;
    상기 제 1 가스 라인 및 상기 제 2 가스 라인을 사용하여 제 2 미리 결정된 기간 동안 상기 제 1 가스 소스로부터 퍼지 가스를 공급하고; 그리고
    상기 제 3 가스 라인을 사용하여 제 3 미리 결정된 기간 동안 상기 제 3 가스 소스로부터 산화 가스를 공급하도록 구성되는, 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
  26. 제 25 항에 있어서,
    상기 제 1 미리 결정된 기간은 ALD 프로세스의 도즈 스테이지에 대응하고;
    상기 제 2 미리 결정된 기간은 상기 ALD 프로세스의 버스트 퍼지 스테이지에 대응하고; 그리고
    상기 제 3 미리 결정된 기간은 상기 ALD 프로세스의 도즈 퍼지 스테이지, RF 스테이지 및 RF 퍼지 스테이지에 대응하는, 가스를 기판 프로세싱 시스템으로 공급하기 위한 방법.
KR1020230194407A 2015-07-15 2023-12-28 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들 KR20240004198A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562192844P 2015-07-15 2015-07-15
US62/192,844 2015-07-15
US14/805,852 US9631276B2 (en) 2014-11-26 2015-07-22 Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US14/805,852 2015-07-22
KR1020160087971A KR102620610B1 (ko) 2015-07-15 2016-07-12 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020160087971A Division KR102620610B1 (ko) 2015-07-15 2016-07-12 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들

Publications (1)

Publication Number Publication Date
KR20240004198A true KR20240004198A (ko) 2024-01-11

Family

ID=57843186

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160087971A KR102620610B1 (ko) 2015-07-15 2016-07-12 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
KR1020230194407A KR20240004198A (ko) 2015-07-15 2023-12-28 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020160087971A KR102620610B1 (ko) 2015-07-15 2016-07-12 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들

Country Status (5)

Country Link
JP (1) JP6976043B2 (ko)
KR (2) KR102620610B1 (ko)
CN (1) CN106356285B (ko)
SG (1) SG10201605682QA (ko)
TW (1) TWI705153B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6803815B2 (ja) * 2017-07-25 2020-12-23 東京エレクトロン株式会社 基板処理装置、及び、基板処理装置の運用方法
US10529543B2 (en) * 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
JP6902991B2 (ja) * 2017-12-19 2021-07-14 株式会社日立ハイテク プラズマ処理装置
KR20200130473A (ko) * 2018-04-03 2020-11-18 램 리써치 코포레이션 Mems 코리올리 가스 유량 제어기
US11021792B2 (en) * 2018-08-17 2021-06-01 Lam Research Corporation Symmetric precursor delivery
JP7116248B2 (ja) 2020-04-03 2022-08-09 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62136871A (ja) * 1985-12-11 1987-06-19 Canon Inc 光センサ−、その製造方法及びその製造装置
US4660598A (en) * 1986-01-13 1987-04-28 Spraying Systems Co. Diaphragm-type antidrip valve
AU734902B2 (en) * 1996-08-21 2001-06-28 Fisher Controls International Llc Elastomeric element valve
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US7389792B2 (en) * 1998-12-24 2008-06-24 Nl Technologies, Ltd. Dip tube valve assembly
JP3736322B2 (ja) * 2000-04-26 2006-01-18 昭和電工株式会社 気相成長装置
JP2009267345A (ja) * 2008-04-01 2009-11-12 Hitachi Kokusai Electric Inc 基板処理装置
JP5270476B2 (ja) * 2009-07-07 2013-08-21 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8945317B2 (en) * 2011-12-07 2015-02-03 Lam Research Corporation System and method for cleaning gas injectors

Also Published As

Publication number Publication date
SG10201605682QA (en) 2017-02-27
TW201712147A (zh) 2017-04-01
JP2017036493A (ja) 2017-02-16
CN106356285A (zh) 2017-01-25
TWI705153B (zh) 2020-09-21
KR20170009756A (ko) 2017-01-25
KR102620610B1 (ko) 2024-01-02
CN106356285B (zh) 2019-09-17
JP6976043B2 (ja) 2021-12-01

Similar Documents

Publication Publication Date Title
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
KR102484362B1 (ko) 효과적인 혼합 및 퍼징을 위한 유입부
KR102535931B1 (ko) 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들
KR102626480B1 (ko) 재차 들어간 플로우 경로를 통한 밸브 매니폴드 데드레그 제거
CN107017147B (zh) 包括多个注气点和双注射器的衬底处理室
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
US11332824B2 (en) Systems and methods for reducing effluent build-up in a pumping exhaust system
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
KR102630920B1 (ko) 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들
KR102088596B1 (ko) Rf 플라즈마 생성기 및 리모트 플라즈마 생성기에 공급하는 rf 신호 소스
US20220181128A1 (en) Apparatus for cleaning plasma chambers
US20230374661A1 (en) Showerhead with integral divert flow path
US20230005776A1 (en) Purging spindle arms to prevent deposition and wafer sliding

Legal Events

Date Code Title Description
A107 Divisional application of patent