KR102630920B1 - 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들 - Google Patents

구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR102630920B1
KR102630920B1 KR1020230150789A KR20230150789A KR102630920B1 KR 102630920 B1 KR102630920 B1 KR 102630920B1 KR 1020230150789 A KR1020230150789 A KR 1020230150789A KR 20230150789 A KR20230150789 A KR 20230150789A KR 102630920 B1 KR102630920 B1 KR 102630920B1
Authority
KR
South Korea
Prior art keywords
plasma
processing chamber
substrate
plasma process
processing
Prior art date
Application number
KR1020230150789A
Other languages
English (en)
Other versions
KR20230156292A (ko
Inventor
하오콴 팡
육홍 팅
데이비드 청
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20230156292A publication Critical patent/KR20230156292A/ko
Application granted granted Critical
Publication of KR102630920B1 publication Critical patent/KR102630920B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

기판 프로세싱 시스템에서 구리 오염을 감소시키기 위한 시스템들 및 방법들은 기판 프로세싱 시스템의 프로세싱 챔버 내에서 기판에 대해 플라즈마 프로세스를 수행하는 단계를 포함한다. 컴포넌트는 프로세싱 챔버 내에 위치되고 구리를 포함하는 합금으로 이루어진다. 플라즈마 프로세스는 분자 수소를 포함하는 프로세스 가스 혼합물을 사용한다. 기판에 대해 플라즈마 프로세스를 수행하기 앞서 그리고 기판이 프로세싱 챔버 내에 배치되기 전에, 컴포넌트는 분자 산소 및 형성 가스를 포함하는 프로세스 가스 혼합물을 포함하는 컨디셔닝 플라즈마 프로세스를 사용하여 프로세싱 챔버 내에서 컨디셔닝된다.

Description

구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들{SYSTEMS AND METHODS FOR REDUCING COPPER CONTAMINATION DUE TO SUBSTRATE PROCESSING CHAMBERS WITH COMPONENTS MADE OF ALLOYS INCLUDING COPPER}
본 개시는 기판 프로세싱 시스템들에 관련되고, 보다 구체적으로 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들 내에서 구리 오염물을 감소시키기 위한 시스템들 및 방법들에 관련된다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
반도체 웨이퍼들과 같은 기판들의 금속 오염은 디바이스 퍼포먼스의 열화 및 수율 손실을 야기한다. ITRS (International Technology Roadmap for Semiconductors) 는 반도체 웨이퍼들의 허용가능한 금속 오염 레벨들에 대한 가이드라인들을 포함하는 반도체 프로세싱을 위한 기술 요건들의 전망을 유지한다. 피처 사이즈들이 계속해서 감소되기 때문에, 금속 오염물의 허용가능한 레벨 또한 감소된다.
형성 가스 (FG) 는 포토레지스트 스트립핑과 같은 플라즈마 프로세싱 동안 프로세싱 가스로서 일반적으로 사용된다. 형성 가스는 4 %의 분자 수소 및 96 %의 분자 질소를 포함한다. 형성 가스는, 구리를 포함하는 합금으로 이루어진 컴포넌트들을 포함하는 기판 프로세싱 챔버들 내에서 플라즈마 프로세스들 동안 과도한 농도의 구리를 생성하는 경향이 있다. 단지 예를 들면, 6061 알루미늄 합금은 95.85 % 내지 98.56 %의 알루미늄, 0.15 % 내지 0.40 %의 구리 및 다른 금속들을 포함한다. 6061 알루미늄 합금은 플라즈마에 노출된 프로세싱 챔버 내의 컴포넌트들을 제작하기 위해 광범위하게 사용된다. 형성 가스가 프로세스 가스로서 사용되는, 플라즈마 프로세싱 챔버 내에 6061 알루미늄 합금이 위치될 때, 알루미늄 합금 내의 구리는 프로세스 가스와 반응할 수도 있고 휘발될 수도 있고 기판들로 이동되고 기판들을 오염시킬 수도 잇다.
구리 오염물을 감소시키기 위한 일 방법은 기판 프로세싱 챔버의 플라즈마 컨디셔닝을 수반한다. 플라즈마 컨디셔닝은 일반적으로 수행하는데 일주일 이상을 필요로 하고 일관되지 않는 결과들을 생성한다. 또 다른 방법은 구리를 포함하지 않는 금속들을 사용하여 프로세싱 챔버 컴포넌트들을 제작하는 것이다. 이 방법은 기판 프로세싱 툴의 비용을 상승시킬 수도 있고 다른 문제들을 생성할 수도 있는 퍼포먼스 시프트의 위험을 증가시킬 수도 있다.
기판 프로세싱 시스템에서 구리 오염물을 감소시키기 위한 방법은 기판 프로세싱 시스템의 프로세싱 챔버 내에서 기판에 대해 플라즈마 프로세스를 수행하는 단계를 포함한다. 프로세싱 챔버 내에 위치된 컴포넌트는 구리를 포함하는 합금으로 이루어진다. 플라즈마 프로세스는 분자 수소를 포함하는 프로세스 가스 혼합물을 사용한다. 기판에 대해 플라즈마 프로세스를 수행하기 앞서 그리고 기판이 프로세싱 챔버 내에 배치되기 전에, 방법은 분자 산소 및 형성 가스를 포함하는 프로세스 가스 혼합물을 포함하는 컨디셔닝 플라즈마 프로세스를 사용하여 프로세싱 챔버 내에서 컴포넌트를 컨디셔닝하는 단계를 포함한다.
다른 특징들에서, 합금은 알루미늄을 포함한다. 합금은 6061 알루미늄 합금을 포함한다. 프로세스 가스 혼합물은 형성 가스를 포함한다. 형성 가스는 4 %의 분자 수소 및 96 %의 분자 질소를 포함한다.
다른 특징들에서, 방법은 컨디셔닝 플라즈마 프로세스 동안 프로세싱 챔버 내의 기판 지지부 상에 더미 기판을 배치하는 단계를 더 포함한다.
다른 특징들에서, 기판은 반도체 웨이퍼를 포함한다. 플라즈마 프로세스는 포토레지스트 스트립 프로세스를 포함한다.
다른 특징들에서, 컨디셔닝 플라즈마 프로세스 전의 프로세싱 챔버 내의 구리 오염물은 컨디셔닝 플라즈마 프로세스 후의 구리 오염물과 비교할 때 10 배 만큼 감소된다.
다른 특징들에서, 컨디셔닝 플라즈마 프로세스는 N 번의 플라즈마 프로세싱 사이클들을 포함하고, 플라즈마 프로세싱 사이클 각각은 플라즈마 ON 시간을 포함하고, 여기서 N은 1보다 큰 정수이다.
기판 프로세싱 시스템은 프로세싱 챔버를 포함한다. 프로세싱 챔버 내에 위치된 컴포넌트는 구리를 포함하는 합금으로 이루어진다. 플라즈마 생성기는 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된다. 가스 전달 시스템은 형성 가스, 분자 산소 및/또는 분자 수소를 프로세싱 챔버로 선택적으로 전달하도록 구성된다. 제어기는 가스 전달 시스템 및 플라즈마 생성기와 연통한다. 제어기는 분자 수소를 포함하는 프로세스 가스 혼합물을 사용하여 프로세싱 챔버 내의 기판에 대해 플라즈마 프로세스를 수행하기 앞서 그리고 기판이 프로세싱 챔버 내에 배치되기 전에, 분자 산소 및 형성 가스를 포함하는 프로세스 가스 혼합물을 포함하는 컨디셔닝 플라즈마 프로세스를 사용하여 프로세싱 챔버 내에서 컴포넌트를 컨디셔닝하도록 구성된다. 제어기는 기판에 대해 플라즈마 프로세스를 수행하도록 더 구성된다.
다른 특징들에서, 합금은 알루미늄을 포함한다. 합금은 6061 알루미늄 합금을 포함한다. 프로세스 가스 혼합물은 형성 가스를 포함한다. 형성 가스는 4 %의 분자 수소 및 96 %의 분자 질소를 포함한다. 더미 기판은 컨디셔닝 플라즈마 프로세스 동안 프로세싱 챔버 내의 기판 지지부 상에 배치된다. 기판은 반도체 웨이퍼를 포함한다. 플라즈마 프로세스는 포토레지스트 스트립 프로세스를 포함한다.
다른 특징들에서, 컨디셔닝 플라즈마 프로세스 전의 프로세싱 챔버 내의 구리 오염물은 컨디셔닝 플라즈마 프로세스 후의 구리 오염물과 비교할 때 10 배 만큼 감소된다.
다른 특징들에서, 컨디셔닝 플라즈마 프로세스는 N 번의 플라즈마 프로세싱 사이클들을 포함하고, 플라즈마 프로세싱 사이클 각각은 플라즈마 ON 시간을 포함하고, 여기서 N은 1보다 큰 정수이다.
본 개시의 추가 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시를 목적으로 의도되고, 본 개시의 범위를 제한하는 것으로 의도되지 않았다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 형성 가스를 사용하고 구리 오염물이 감소된 플라즈마 기반 기판 프로세싱 시스템의 예의 기능 블록도이다.
도 2는 본 개시에 따른 형성 가스를 사용하고 구리 오염물이 감소된 기판 프로세싱 시스템의 또 다른 예의 기능 블록도이다.
도 3은 리모트 플라즈마 소스의 예의 기능 블록도이다.
도 4는 플라즈마 프로세싱 챔버 내에서 구리 오염물을 감소시키기 위한 방법의 단계들을 예시하는 흐름도이다.
도면들에서, 참조 번호들은 유사하고/하거나 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
본 개시에 따른 시스템들 및 방법들은 구리를 포함하는 합급들로 이루어진 컴포넌트들의 존재로 인한 구리 오염물을 감소시키기 위해 수소계 플라즈마를 사용하는 플라즈마 프로세싱에 앞서 기판 프로세싱 챔버를 컨디셔닝한다. 일부 예들에서, 수소계 플라즈마는 형성 가스를 포함한다. 종래의 컨디셔닝 프로세스들에 필요했던 일주일 이상 대신 몇 시간 안에 일관된 결과들이 달성될 수 있다.
본 명세서에 기술된 시스템들 및 방법들은 분자 산소 및 형성 가스 (FG) 를 포함하는 컨디셔닝 가스 혼합물을 사용하는 플라즈마 사이클들에 구리를 포함하는 합금들로 이루어진 컴포넌트들을 노출한다. 컨디셔닝 프로세스 동안, 생성 기판들은 프로세싱 챔버 내에 위치되지 않는다. 그러나, 더미 기판들이 기판 지지부를 보호하도록 사용될 수도 있다. 일부 예들에서, 분자 산소 대 형성 가스의
비는 3:2이지만, 다른 비들이 사용될 수 있다. 본 명세서에 기술된 시스템들 및 방법들은 새로운 챔버들 내의 컴포넌트들, 새로운 컴포넌트들을 갖는 사용된 챔버들 또는 상이한 가스 화학물질들을 사용하여 이전에 프로세싱된 사용된 챔버들 내에서 컨디셔닝하도록 사용될 수 있다.
이제 도 1을 참조하면, 기판 프로세싱 시스템 (1) 은 직접 또는 리모트 (미도시) 플라즈마 소스일 수도 있는 플라즈마 소스 (3) 를 갖는 프로세싱 챔버 (2) 를 포함한다. 기판 프로세싱 시스템 (1) 은 컨디셔닝 가스, 프로세스 가스 및/또는 퍼지 가스를 프로세싱 챔버 (2) 내의 가스 분배 디바이스 (5) 로 선택적으로 전달하는 가스 전달 시스템 (4) 를 더 포함한다. 프로세싱 챔버 (2) 는 프로세싱 챔버 (2) 내에 배열되고 구리를 포함하는 합금들로 이루어진 하나 이상의 컴포넌트들 (6) 을 더 포함한다. 프로세싱 챔버 (2) 는, 프로세싱 동안 그 위에 기판이 위치되는 페데스탈, 척, 등과 같은 기판 지지부 (7) 를 더 포함한다. 배기 시스템 (8) 은 프로세싱 챔버 (2) 로부터 반응물질들 및 에칭 부산물들을 제거한다.
제어기 (9) 는 동작 동안 플라즈마 소스 (3) 및 가스 전달 시스템 (4) 과 연통하고 제어한다. 챔버 컨디셔닝 프로세스 동안, 제어기 (9) 는 플라즈마 사이클 동안 분자 산소 및 형성 가스의 컨디셔닝 가스 혼합물을 공급하도록 구성된다. 하나 이상의 더미 기판들은 플라즈마 사이클들 동안 기판 지지부 (7) 상에 배치될 수도 있다. 미리 결정된 수의 플라즈마 사이클들이 수행된 후, 컴포넌트들 (6) 은 컨디셔닝되고 수소계 플라즈마를 사용하는 후속하는 플라즈마 프로세싱 동안 처리된 생성 기판들의 상당히 보다 적은 구리 오염물을 유발할 것이다. 일부 예들에서, 컨디셔닝 동안 RF 전력이 사용되는 지속기간은 기판들의 후속하는 프로세싱 동안 RF 전력이 사용되는 지속기간과 대략 동일하거나 유사하다.
리모트 플라즈마 소스를 사용하는 포토레지스트 스트립 프로세스를 수행하기 위해 특정한 기판 프로세싱 시스템이 본 명세서에서 더 기술될 것이지만, 수소계 플라즈마를 사용하고 구리를 포함하는 합금들로 이루어진 컴포넌트들을 채용하는 다른 타입들의 플라즈마 기반 프로세싱 챔버들이 사용될 수도 있다. 다른 기판 프로세싱 시스템들의 예들은 PECVD (plasma-enhanced chemical vapor deposition) 및 PEALD (plasma-enhanced atomic layer deposition) 프로세싱 툴들을 포함한다.
이제 도 2 및 도 3을 참조하면, 기판 프로세싱 시스템 (10) 의 예가 도시된다. 도 2에서, 기판 프로세싱 시스템 (10) 은 프로세싱 챔버 (12) 및 샤워헤드 (14) 와 같은 가스 분배 디바이스 (13) 를 포함한다. 기판 지지부 (16) 는 프로세스 챔버 (12) 내에 배치될 수도 있다. 사용 동안, 반도체 웨이퍼와 같은 기판 (18) 또는 다른 타입의 기판이 기판 지지부 (16) 상에 배치될 수도 있다. 컨디셔닝 동안, 더미 기판들이 기판 지지부 (16) 를 보호하도록 사용될 수도 있다.
기판 프로세싱 시스템 (10) 은 프로세스 가스 및/또는 퍼지 가스를 공급하기 위한 가스 전달 시스템 (20) 을 포함한다. 단지 예를 들면, 가스 전달 시스템 (20) 은 하나 이상의 가스 소스들 (22-1, 22-2, ..., 및 22-N) (집합적으로 가스 소스들 (22))(N은 1보다 큰 정수), 밸브들 (24-1, 24-2, ..., 및 24-N) (집합적으로 밸브들 (24)), 질량 유량 제어기들 (mass flow controllers)(MFC) (26-1, 26-2, ..., 및 26-N) (집합적으로 MFC (26)) 을 포함할 수도 있다.
가스 전달 시스템 (20) 의 출력들은 매니폴드 (30) 내에서 혼합될 수도 있고 리모트 플라즈마 소스 (32) 로 및/또는 가스 분배 디바이스 (13) 로 바로 전달될 수도 있다. 일부 예들에서, 샤워헤드 (14) 는 베이스부 (33) 및 베이스부 (33) 에 연결된 스템부 (34) 를 포함한다. 베이스부 (33) 는 스템부 (34) 로부터 방사상 외측으로 연장한다. 베이스부 (33) 는 프로세싱 챔버 (12) 의 반대쪽 측벽들 (35) 을 향해 연장할 수도 있다. 도 3에서, 리모트 플라즈마 소스 (32) 는 스템부 (34) 둘레에 배치된 유도성 코일 (37) 을 포함할 수도 있다. RF (radio frequency) 또는 MW (microwave) 소스 (38) 는 RF 전력 또는 MW 전력을 유도성 코일 (37) 에 선택적으로 공급한다. 매칭 네트워크 (미도시) 는 소스 (38) 와 유도성 코일 (37) 사이에서 사용될 수도 있다.
도 2에서 제어기 (40) 는 챔버 온도, 압력 등과 같은 프로세싱 챔버 (12) 의 동작 파라미터들을 모니터링하는 하나 이상의 센서들 (41) 에 연결될 수도 있다. 히터 (42) 는 필요에 따라 기판 지지부 (16) 및 기판 (18) 을 가열하도록 제공될 수도 있다. 밸브 (50) 및 펌프 (52) 는 프로세싱 챔버 (12) 로부터 가스를 배기하도록 제공될 수도 있다. 제어기 (40) 는 가스 전달 시스템 (20), 히터 (42), 밸브 (50), 펌프 (52), 및 리모트 플라즈마 소스 (32) 에 의해 생성된 플라즈마를 제어하도록 사용될 수도 있다.
이제 도 4를 참조하면, 구리 오염물을 감소시키기 위해 프로세싱 챔버를 컨디셔닝하기 위한 방법 (110) 이 도시된다. 프로세싱 챔버는 구리를 포함하는 합금들로 이루어진 하나 이상의 컴포넌트들을 포함한다. 일부 예들에서, 컴포넌트들은 구리를 포함하는 알루미늄 합금으로 이루어진다. 다른 예들에서, 알루미늄 합금은 6061 알루미늄 합금을 포함한다. 114에서, 선택가능하게 더미 기판이 기판 지지부 상으로 로딩된다. 더미 기판은 플라즈마 컨디셔닝 동안 기판 지지부를 보호하도록 사용될 수도 있다. 118에서, 방법은 플라즈마를 공급하거나 분자 산소 및 형성 가스를 포함하는 컨디셔닝 가스 혼합물을 사용하여 챔버 내에서 플라즈마를 생성한다. 126에서, 타이머가 시작된다. 130에서, 방법은 미리 결정된 기간이 경과되었는지 결정한다. 미리 결정된 기간이 경과된 것으로 130에서 결정될 때, 플라즈마는 134에서 소화된다. 사용된다면, 더미 기판은 프로세싱 챔버로부터 제거될 수도 있다 (또는 더미 기판은 프로세싱 챔버 내에 남아 있을 수도 있다). 142에서, 방법은 부가적인 사이클들이 수행될 것인지 여부를 결정한다. 부가적인 사이클들이 수행될 것으로 142에서 결정된다면, 방법은 114로 돌아간다. 그렇지 않으면, 방법은 146에서 계속되고 형성 가스와 같은 수소계 플라즈마를 사용하는 플라즈마 기반 프로세스는 구리 오염물이 감소된 프로세싱 챔버 내에서 수행될 수도 있다.
일부 예들에서, 미리 결정된 수의 RF 시간들 (RFH) 이 컨디셔닝 프로세스 동안 수행된다. RFH는 컨디셔닝 동안 플라즈마 ON 기간들의 합에 대응하고 더미 기판들이 로딩되고 프로세싱 챔버로부터 언로딩될 때와 같이 플라즈마가 오프되는 기간들을 포함하지 않는다. 단지 예를 들면, RFH는 2 RFH 내지 20 RFH의 범위로 설정될 수도 있지만, 다른 RFH 범위들이 사용될 수도 있다. 단지 예를 들면, RFH는 10으로 설정될 수도 있고, 사이클 시간 각각은 120 초 또는 2 분과 같을 수도 있다. 이 예에서, 플라즈마는 300 회 (플라즈마 ON 사이클 당 600 분/2 분) 사이클링될 것이다. 일부 예들에서, 카세트 또는 FOUP (front opening unified pod) 는 기판 프로세싱 툴로 더미 기판들을 전달하도록 사용될 수도 있다.
일부 예들에서, 컨디셔닝 프로세스는, 후속하여 채용될, 형성 가스와 같은 수소계 플라즈마를 사용하는 기판들의 플라즈마 프로세스와 유사한 프로세스 파라미터들 (지속기간, 전력 및 플라즈마 사이클들의 수) 을 사용한다. 단지 예를 들면, 컨디셔닝 프로세스 RF ON 시간은 플라즈마 프로세스의 RF ON 시간의 80 % 내지 120 %일 수도 있다. 유사하게, RF ON 사이클들의 수는 플라즈마 프로세스에 사용될 플라즈마 사이클들의 수에 대략적으로 대응할 수도 있다. 단지 예를 들면, 컨디셔닝 플라즈마 프로세스는 N 번의 프로세싱 사이클들을 포함할 수도 있고 플라즈마 프로세스는 M 번의 프로세싱 사이클들을 포함할 수도 있다. 일부 예들에서, N은 M의 80 % 내지 120 %이다.
컨디셔닝 프로세스 동안, 구리는 구리를 포함하는 합금들로 이루어진 프로세싱 챔버 컴포넌트들의 외부 표면들로부터 릴리즈된다. 구리는 휘발성의 CuH (copper hydride) 가 되고 가스 플로우에 의해 제거된다. 일부 예들에서, 합금은 알루미늄 합금을 포함한다. 일부 예들에서, 알루미늄 합금은 6061 알루미늄 합금을 포함하지만, 알루미늄 및 구리를 포함하는 다른 알루미늄 합금들이 사용될 수 있다.
일부 예들에서, 구리 오염물 레벨은 컨디셔닝 프로세스 후에 2×1010 내지 16×1010/㎠ 로부터 1×1010/㎠ 미만으로 감소되고, 이는 구리 오염물의 적어도 10 배 감소이다.
일 예시적인 프로세스에서, 프로세스 온도는 285 ℃로 설정되고, 프로세스 압력은 1.5 Torr로 설정되고, RFH는 10으로 설정되고, RF 전력은 4500 W로 설정되고, RF 플라즈마 시간은 120 초로 설정되지만, 다른 프로세스 파라미터들이 사용될 수도 있다. 이 예에서, 분자 산소는 6000 sccm으로 공급되고 형성 가스는 4000 sccm으로 공급되지만, 다른 플로우 레이트들이 사용될 수도 있다.
전술한 기술은 본질적으로 단순히 예시적이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특별한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리를 변경하지 않고 다른 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 프로세스를 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 프로세스 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 프로세스를 제어하고 세정 프로세스를 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 프로세스 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 프로세스 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 프로세스들의 현 진행을 모니터링하고, 과거 제조 프로세스들의 이력을 조사하고, 복수의 제조 프로세스들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 프로세스들 동안에 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (20)

  1. 프로세싱 챔버에서 구리 오염물을 감소시키기 위한 방법에 있어서,
    프로세싱 챔버 내에 기판을 배치하기 전에, 분자 산소 및 형성 가스를 포함하는 제 1 가스 혼합물을 사용하여 구리를 포함하는 컴포넌트가 제 1 플라즈마 프로세스를 겪게 하는 (subject) 단계로서, 상기 형성 가스에 대한 상기 분자 산소의 비는 1보다 큰, 상기 컴포넌트가 상기 제 1 플라즈마 프로세스를 겪게 하는 단계; 및
    후속하여 분자 수소를 포함하는 제 2 가스 혼합물을 사용하여 상기 프로세싱 챔버 내의 상기 기판에 대해 제 2 플라즈마 프로세스를 수행하는 단계를 포함하는, 프로세싱 챔버에서 구리 오염물을 감소시키기 위한 방법.
  2. 제 1 항에 있어서,
    상기 컴포넌트는 알루미늄을 포함하는, 프로세싱 챔버에서 구리 오염물을 감소시키기 위한 방법.
  3. 제 1 항에 있어서,
    상기 컴포넌트는 6061 알루미늄 합금을 포함하는, 프로세싱 챔버에서 구리 오염물을 감소시키기 위한 방법.
  4. 제 1 항에 있어서,
    상기 형성 가스는 4 %의 분자 수소 및 96 %의 분자 질소를 포함하는, 프로세싱 챔버에서 구리 오염물을 감소시키기 위한 방법.
  5. 제 1 항에 있어서,
    상기 제 1 플라즈마 프로세스 동안 상기 프로세싱 챔버 내의 기판 지지부 상에 더미 기판을 배치하는 단계를 더 포함하는, 프로세싱 챔버에서 구리 오염물을 감소시키기 위한 방법.
  6. 제 1 항에 있어서,
    상기 기판은 반도체 웨이퍼를 포함하는, 프로세싱 챔버에서 구리 오염물을 감소시키기 위한 방법.
  7. 제 1 항에 있어서,
    상기 제 2 플라즈마 프로세스는 포토레지스트 스트립 프로세스를 포함하는, 프로세싱 챔버에서 구리 오염물을 감소시키기 위한 방법.
  8. 제 1 항에 있어서,
    상기 제 1 플라즈마 프로세스는 상기 프로세싱 챔버 내의 구리 오염물을 10 배만큼 감소시키는, 프로세싱 챔버에서 구리 오염물을 감소시키기 위한 방법.
  9. 제 1 항에 있어서,
    상기 제 1 플라즈마 프로세스 전의 구리 오염물과 비교할 때 상기 제 1 플라즈마 프로세스 후의 상기 프로세싱 챔버 내의 구리 오염물은 10 배만큼 감소된, 프로세싱 챔버에서 구리 오염물을 감소시키기 위한 방법.
  10. 제 1 항에 있어서,
    상기 제 1 플라즈마 프로세스는 N 번의 플라즈마 프로세싱 사이클들을 포함하고, 상기 플라즈마 프로세싱 사이클 각각은 플라즈마 ON 시간을 포함하고, 그리고 N은 1보다 큰 정수인, 프로세싱 챔버에서 구리 오염물을 감소시키기 위한 방법.
  11. 기판 프로세싱 시스템에 있어서,
    구리를 포함하는 컴포넌트를 포함하는 프로세싱 챔버; 및
    제어기를 포함하고, 상기 제어기는,
    상기 프로세싱 챔버 내에 기판을 배치하기 전에, 분자 산소 및 형성 가스를 포함하는 제 1 가스 혼합물을 사용하여 상기 컴포넌트가 제 1 플라즈마 프로세스를 겪게 하고, 상기 형성 가스에 대한 상기 분자 산소의 비는 1보다 크고; 그리고
    후속하여 분자 수소를 포함하는 제 2 가스 혼합물을 사용하여 상기 프로세싱 챔버 내의 기판에 대해 제 2 플라즈마 프로세스를 수행하도록 구성되는, 기판 프로세싱 시스템.
  12. 제 11 항에 있어서,
    상기 컴포넌트는 알루미늄을 포함하는, 기판 프로세싱 시스템.
  13. 제 11 항에 있어서,
    상기 컴포넌트는 6061 알루미늄 합금을 포함하는, 기판 프로세싱 시스템.
  14. 제 11 항에 있어서,
    상기 제 2 가스 혼합물은 형성 가스를 포함하는, 기판 프로세싱 시스템.
  15. 제 14 항에 있어서,
    상기 형성 가스는 4 %의 분자 수소 및 96 %의 분자 질소를 포함하는, 기판 프로세싱 시스템.
  16. 제 11 항에 있어서,
    상기 제어기는 상기 제 1 플라즈마 프로세스 동안 상기 프로세싱 챔버 내의 기판 지지부 상에 더미 기판을 배치하도록 구성되는, 기판 프로세싱 시스템.
  17. 제 11 항에 있어서,
    상기 기판은 반도체 웨이퍼를 포함하는, 기판 프로세싱 시스템.
  18. 제 11 항에 있어서,
    상기 제 2 플라즈마 프로세스는 포토레지스트 스트립 프로세스를 포함하는, 기판 프로세싱 시스템.
  19. 제 11 항에 있어서,
    상기 제 1 플라즈마 프로세스 전의 상기 프로세싱 챔버 내의 구리 오염물은 상기 제 1 플라즈마 프로세스 후의 구리 오염물과 비교할 때 10 배만큼 감소되는, 기판 프로세싱 시스템.
  20. 제 11 항에 있어서,
    상기 제 1 플라즈마 프로세스는 N 번의 플라즈마 프로세싱 사이클들을 포함하고, 상기 플라즈마 프로세싱 사이클 각각은 플라즈마 ON 시간을 포함하고, 그리고 N은 1보다 큰 정수인, 기판 프로세싱 시스템.
KR1020230150789A 2015-04-13 2023-11-03 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들 KR102630920B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/684,870 US9397011B1 (en) 2015-04-13 2015-04-13 Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper
US14/684,870 2015-04-13
KR1020160044057A KR102599987B1 (ko) 2015-04-13 2016-04-11 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염을 감소시키기 위한 시스템들 및 방법들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020160044057A Division KR102599987B1 (ko) 2015-04-13 2016-04-11 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염을 감소시키기 위한 시스템들 및 방법들

Publications (2)

Publication Number Publication Date
KR20230156292A KR20230156292A (ko) 2023-11-14
KR102630920B1 true KR102630920B1 (ko) 2024-01-29

Family

ID=56381725

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160044057A KR102599987B1 (ko) 2015-04-13 2016-04-11 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염을 감소시키기 위한 시스템들 및 방법들
KR1020230150789A KR102630920B1 (ko) 2015-04-13 2023-11-03 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020160044057A KR102599987B1 (ko) 2015-04-13 2016-04-11 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염을 감소시키기 위한 시스템들 및 방법들

Country Status (4)

Country Link
US (1) US9397011B1 (ko)
KR (2) KR102599987B1 (ko)
CN (1) CN106057623B (ko)
TW (2) TWI751970B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117153656A (zh) 2016-01-13 2023-12-01 应用材料公司 用于蚀刻硬件的基于氢等离子体的清洗工艺
US10460941B2 (en) * 2016-11-08 2019-10-29 Varian Semiconductor Equipment Associates, Inc. Plasma doping using a solid dopant source
US10409295B2 (en) * 2016-12-31 2019-09-10 Applied Materials, Inc. Methods and apparatus for enhanced flow detection repeatability of thermal-based mass flow controllers (MFCS)
JP7489905B2 (ja) * 2020-11-30 2024-05-24 東京エレクトロン株式会社 チャンバーコンディションの診断方法及び基板処理装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080050922A1 (en) 2006-08-23 2008-02-28 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20090173359A1 (en) 2007-07-05 2009-07-09 Interuniversitair Microelektronica Centrum Vzw (Imec) Photon induced cleaning of a reaction chamber
US20100154707A1 (en) 2004-01-28 2010-06-24 Tokyo Electron Limited Process chamber cleaning method in substrate processing apparatus, substrate processing apparatus, and substrate processing method
US20100180918A1 (en) 2005-08-31 2010-07-22 Tokyo Electron Limited Cleaning method

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432479B2 (en) * 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
EP1076355A2 (en) * 1999-08-12 2001-02-14 Applied Materials, Inc. Method and apparatus for cleaning a chamber configured for copper deposition
US7084070B1 (en) * 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
US6350689B1 (en) * 2001-04-23 2002-02-26 Chartered Semiconductor Manufacturing Ltd. Method to remove copper contamination by using downstream oxygen and chelating agent plasma
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP2008004852A (ja) * 2006-06-23 2008-01-10 Tokyo Electron Ltd 石英製品及び熱処理装置
KR101477831B1 (ko) * 2007-05-29 2014-12-30 도쿄엘렉트론가부시키가이샤 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법, 플라즈마 처리 방법, 및 플라즈마 처리 장치
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
JP2010050310A (ja) 2008-08-22 2010-03-04 Fujitsu Microelectronics Ltd 半導体装置の製造方法
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US8968537B2 (en) * 2011-02-09 2015-03-03 Applied Materials, Inc. PVD sputtering target with a protected backing plate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100154707A1 (en) 2004-01-28 2010-06-24 Tokyo Electron Limited Process chamber cleaning method in substrate processing apparatus, substrate processing apparatus, and substrate processing method
US20100180918A1 (en) 2005-08-31 2010-07-22 Tokyo Electron Limited Cleaning method
US20080050922A1 (en) 2006-08-23 2008-02-28 Applied Materials, Inc. Chamber recovery after opening barrier over copper
US20090173359A1 (en) 2007-07-05 2009-07-09 Interuniversitair Microelektronica Centrum Vzw (Imec) Photon induced cleaning of a reaction chamber

Also Published As

Publication number Publication date
KR20230156292A (ko) 2023-11-14
KR20160122075A (ko) 2016-10-21
KR102599987B1 (ko) 2023-11-07
US9397011B1 (en) 2016-07-19
TW202215533A (zh) 2022-04-16
TWI808561B (zh) 2023-07-11
TW201705271A (zh) 2017-02-01
CN106057623A (zh) 2016-10-26
TWI751970B (zh) 2022-01-11
CN106057623B (zh) 2021-02-02

Similar Documents

Publication Publication Date Title
KR102630920B1 (ko) 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
KR102333806B1 (ko) 기생 플라즈마를 억제하고 웨이퍼 내 불균일성을 감소시키기 위한 시스템들 및 방법들
US11842888B2 (en) Removing metal contamination from surfaces of a processing chamber
KR102654243B1 (ko) 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
KR20180029865A (ko) 펌핑 배기 시스템 내에서 배출물 축적을 감소시키기 위한 시스템들 및 방법들
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
TW202147431A (zh) 鉬的原子層蝕刻
US11488810B2 (en) Showerhead shroud
KR20170125712A (ko) 유도 결합 고밀도 플라즈마를 사용한 유전체 막의 치밀화
KR20210011501A (ko) 금속 옥사이드 막들을 제거하기 위한 온도 제어 시스템들 및 방법들
US20180090363A1 (en) Lift pin holder with spring retention for substrate processing systems
KR20170002307A (ko) 붕소 도핑된 탄소 하드마스크 층들의 선택적인 제거
KR102658106B1 (ko) 타이트한 공간들에서 실리콘을 등방성으로 에칭하기 위한 잔여물이 없는 시스템들 및 방법들
WO2019032282A1 (en) SYSTEMS AND METHODS FOR PLASMA-FREE DEHALOGENATION
CN112514044A (zh) 用于衬底处理系统的具有介电窗的蜂窝式喷射器

Legal Events

Date Code Title Description
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant