CN106057623A - 减少缘于有含铜合金部件处理室的铜污染的系统和方法 - Google Patents

减少缘于有含铜合金部件处理室的铜污染的系统和方法 Download PDF

Info

Publication number
CN106057623A
CN106057623A CN201610228014.8A CN201610228014A CN106057623A CN 106057623 A CN106057623 A CN 106057623A CN 201610228014 A CN201610228014 A CN 201610228014A CN 106057623 A CN106057623 A CN 106057623A
Authority
CN
China
Prior art keywords
plasma
gas
substrate
process chamber
cement composite
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201610228014.8A
Other languages
English (en)
Other versions
CN106057623B (zh
Inventor
方浩全
丁易洪
张大卫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN106057623A publication Critical patent/CN106057623A/zh
Application granted granted Critical
Publication of CN106057623B publication Critical patent/CN106057623B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本发明涉及减少缘于有含铜合金部件处理室的铜污染的系统和方法。用于减少在衬底处理系统中的铜污染的系统和方法包括在衬底处理系统的处理室中的衬底上执行等离子体处理。部件被设置在所述处理室中并由包含铜的合金制成。所述等离子体处理使用包含氢分子的处理气体混合物。在所述衬底上执行所述等离子体处理之前并且在所述衬底被布置在处理室内之前,使用调节等离子体处理来调节在所述处理室中的部件,所述调节等离子体处理包括包含氧分子和形成气体的处理气体混合物。

Description

减少缘于有含铜合金部件处理室的铜污染的系统和方法
技术领域
本发明涉及衬底处理系统,更具体地说,涉及用于减少在具有由含铜合金制成的部件的衬底处理室内的铜污染的系统和方法。
背景技术
本文提供的背景描述的目的是总体上呈现本公开的背景。本发明署名的发明人的工作,就其在该背景技术部分以及可能不符合作为提交时的现有技术的说明书的一些方面中所描述的工作而言,既不明确也不暗示地被承认作为本公开的现有技术。
诸如半导体晶片之类的衬底的金属污染物导致器件性能的下降和产量的损失。国际半导体技术蓝图(ITRS)保持对包含关于半导体晶片的可接受的金属污染水平的准则在内的半导体处理的技术需求的预测。随着特征尺寸的不断减小,金属污染的可接受的水平也降低。
在例如光致抗蚀剂剥离之类的等离子体处理过程中,形成气体(FG)通常使用作为处理气体。形成气体包含4%的氢分子和96%的氮分子。形成气体趋于在包含由含铜合金制成的部件的衬底处理室内的等离子体处理期间产生过高的铜浓度。仅举例而言,6061铝合金包含95.85%至98.56%的铝、0.15%至0.40%的铜以及其它金属。6061铝合金被广泛用于制造在处理室内的暴露于等离子体的部件。当6061铝合金位于等离子体处理室(在该室内形成气体用作为处理气体)内时,该铝合金中的铜会与处理气体反应并成为挥发性的,且可输送到衬底并污染衬底。
减少铜污染的一种方法涉及在衬底处理室内的等离子体调节。等离子体调节通常需要一周或更多周来执行并产生不一致的结果。另一种方法是用不包含铜的金属制造处理室部件。这种方法可能会增加衬底处理工具的成本,并且会增大可能产生其它问题的性能变换的风险。
发明内容
一种用于减少在衬底处理系统中的铜污染的方法包括:在衬底处理系统的处理室中的衬底上执行等离子体处理。被设置在所述处理室中的部件由包含铜的合金制成。所述等离子体处理使用包含氢分子的处理气体混合物。在所述衬底上执行所述等离子体处理之前并且在所述衬底被布置在处理室之前,该方法包括:使用调节等离子体处理来调节在所述处理室中的部件,所述调节等离子体处理包括包含氧分子和形成气体的处理气体混合物。
在其它特征中,所述合金包含铝。所述合金包含6061铝合金。所述处理气体混合物包含形成气体。该形成气体包含4%的氢分子和96%的氮分子。
在其它特征中,所述方法包括在所述调节等离子体处理期间在所述处理室中的衬底支撑件上布置伪衬底(dummy substrate)。
在其它特征中,所述衬底包含半导体晶片。所述等离子体处理包含光致抗蚀剂剥除工艺。
在其它特征中,在所述调节等离子体处理之后在所述处理室内的铜污染相比于在所述调节等离子体处理之前的铜污染,减少了90%。
在其它特征中,该调节等离子体处理包含N个等离子体处理循环,每个等离子体处理循环都包含等离子体接通时间,并且其中N是大于1的整数。
一种衬底处理系统包含处理室。被设置在所述处理室中的部件由包括铜的合金制成。等离子体产生器被配置为产生所述处理室内的等离子体。气体输送系统被配置为选择性地输送形成气体、氧分子和/或氢分子至所述处理室。控制器连通所述气体输送系统和所述等离子体产生器。该控制器被配置为,在使用包含氢分子的处理气体混合物在处理室内的衬底上执行等离子体处理之前并且在所述衬底被布置在所述处理室之前,使用调节等离子体处理来调节在所述处理室中的所述部件,所述调节等离子体处理包括包含氧分子和形成气体的处理气体混合物。该控制器进一步被配置为:在所述衬底上执行所述等离子体处理。
在其它特征中,所述合金包含铝。所述合金包含6061铝合金。所述处理气体混合物包含形成气体。该形成气体包含4%的氢分子和96%的氮分子。在所述调节等离子体处理期间在所述处理室中的衬底支撑件上布置伪衬底。所述衬底包含半导体晶片。所述等离子体处理包含光致抗蚀剂剥除工艺。
在其它特征中,在所述调节等离子体处理之后在所述处理室内的铜污染相比于在所述调节等离子体处理之前的铜污染,减少了90%。
在其它特征中,该调节等离子体处理包含N个等离子体处理循环,每个等离子体处理循环都包含等离子体接通时间,并且其中N是大于1的整数。
具体而言,本发明的一些方面可以描述如下:
1.一种用于减少在衬底处理系统中的铜污染的方法,其包括:
在衬底处理系统的处理室中的衬底上执行等离子体处理,
其中,被设置在所述处理室中的部件由包含铜的合金制成,
其中,所述等离子体处理使用包含氢分子的处理气体混合物;以及
在所述衬底上执行所述等离子体处理之前并且在所述衬底被布置在处理室内之前,使用调节等离子体处理来调节在所述处理室中的所述部件,所述调节等离子体处理包括使用包含氧分子和形成气体的调节气体混合物。
2.根据条款1所述的方法,其中,所述合金包含铝。
3.根据条款1所述的方法,其中,所述合金包含6061铝合金。
4.根据条款1所述的方法,其中,所述处理气体混合物包含形成气体。
5.根据条款4所述的方法,其中,所述形成气体包含4%的氢分子和96%的氮分子。
6.根据条款1所述的方法,其还包括在所述调节等离子体处理期间在所述处理室中的衬底支撑件上布置伪衬底。
7.根据条款1所述的方法,其中,所述衬底包含半导体晶片。
8.根据条款1所述的方法,其中,所述等离子体处理包含光致抗蚀剂剥除工艺。
9.根据条款1所述的方法,其中,在所述调节等离子体处理之后在所述处理室内的铜污染相比于在所述调节等离子体处理之前的铜污染,减少了90%。
10.根据条款1所述的方法,其中,所述调节等离子体处理包含N个等离子体处理循环,每个等离子体处理循环都包含等离子体接通时间,并且其中N是大于1的整数。
11.一种衬底处理系统,其包含:
处理室;
被设置在所述处理室中的由包含铜的合金制成的部件;
等离子体产生器,其被配置为产生在所述处理室内的等离子体;
气体输送系统,其被配置为选择性地输送形成气体、氧分子和/或氢分子至所述处理室;以及
控制器,其与所述气体输送系统和所述等离子体产生器连通并且被配置为:
在使用包含氢分子的处理气体混合物在所述处理室中的衬底上执行等离子体处理之前并且在所述衬底被布置在所述处理室内之前,使用调节等离子体处理来调节在所述处理室中的所述部件,所述调节等离子体处理包括使用包含氧分子和形成气体的调节气体混合物;以及
在所述衬底上执行所述等离子体处理。
12.根据条款11所述的衬底处理系统,其中,所述合金包含铝。
13.根据条款11所述的衬底处理系统,其中,所述合金包含6061铝合金。
14.根据条款11所述的衬底处理系统,其中,所述处理气体混合物包含形成气体。
15.根据条款14所述的衬底处理系统,其中,所述形成气体包含4%的氢分子和96%的氮分子。
16.根据条款11所述的衬底处理系统,其中所述控制器被配置为在所述调节等离子体处理期间在所述处理室中的衬底支撑件上布置伪衬底。
17.根据条款11所述的衬底处理系统,其中,所述衬底包含半导体晶片。
18.根据条款11所述的衬底处理系统,其中,所述等离子体处理包含光致抗蚀剂剥除工艺。
19.根据条款11所述的衬底处理系统,其中,在所述调节等离子体处理之后在所述处理室内的铜污染相比于在所述调节等离子体处理之前的铜污染,减少了90%。
20.根据条款11所述的衬底处理系统,其中,所述调节等离子体处理包含N个等离子体处理循环,每个等离子体处理循环都包含等离子体接通时间,并且其中N是大于1的整数。
根据详细描述、权利要求书和附图,本发明的适用性的进一步的范围将变得显而易见。详细描述和具体实施例仅用于说明的目的,并非意在限制本发明的范围。
附图说明
根据详细描述和附图,可以更全面地理解本发明,其中:
图1是根据本公开内容的使用形成气体并已减少了铜污染的基于等离子体的衬底处理系统的一个实施例的功能框图;
图2是根据本公开内容的使用形成气体并已减少了铜污染的衬底处理系统的另一个实施例的功能框图;
图3是远程等离子体源的一个实施例的功能框图;以及
图4是图解用于减少等离子体处理室内的铜污染的方法的步骤的流程图。
在附图中,附图标记可以被多次使用,以标识相似和/或相同的元件。
具体实施方式
根据本公开内容的系统和方法在使用基于氢的等离子体进行等离子体处理之前调节衬底处理室以减少由于存在由含铜合金制成的部件导致的铜污染。在一些实施例中,基于氢的等离子体包含形成气体。一致的结果可以在几个小时内而不是常规调节处理所需要的一周或多周内实现。
本文描述的系统和方法使由含铜合金制成的部件暴露于使用包含氧分子和形成气体(FG)的调节气体混合物的等离子体循环。在调节处理期间,生产衬底没有位于处理室中。然而,伪衬底可用于保护衬底支撑件。在一些实施例中,氧分子与形成气体的比例为3:2,但也可以使用其它比例。本文描述的系统和方法可用于调节在新的室内的部件、所使用的具有新的部件的室或在先前用不同的气体化学品处理的所使用的室内的部件。
现在参考图1,衬底处理系统1包含处理室2,处理室2具有等离子体源3,等离子体源3可以是直接的或远程的(未示出)。该衬底处理系统1还包含气体输送系统4,气体输送系统4选择性地输送调节气体、处理气体及/或清扫气体到在处理室2内的气体分配设备5。处理室2还包含一个或多个布置在处理室2内并且由含铜合金制成的部件6。处理室2还包含衬底支撑件7,如基座、卡盘等,在处理过程中衬底定位在衬底支撑件7上。排放系统8将反应物和蚀刻副产物从处理室2除去。
控制器9在操作过程中与等离子体源3和气体输送系统4进行通信并控制等离子体源3和气体输送系统4。在室调节处理期间,控制器9被配置成在等离子体循环期间供给氧分子和形成气体的调节气体混合物。一个或更多个伪衬底可以在等离子体循环期间被布置在衬底支撑件7上。在执行预定数量的等离子体循环后,部件6被调节,并会造成在随后的使用基于氢的等离子体处理过程中处理的生产衬底的显著较少的铜污染。在一些实施例中,在调节过程中使用的持续时间、RF功率与在衬底的后续处理过程中使用的持续时间、RF功率是大致相同的或相似的。
虽然在此将进一步描述用于使用远程等离子体源执行光致抗蚀剂剥除工艺的特定衬底处理系统,但其它类型的使用基于氢的等离子体并利用由含铜合金制成的部件的基于等离子体的处理室也可以使用。其它衬底处理系统的实例包含等离子体增强化学气相沉积(PECVD)处理工具和等离子体增强原子层沉积(PEALD)处理工具。
现在参考图2和3,示出了衬底处理系统10的一实施例。在图2中,衬底处理系统10包含处理室12和气体分配设备13,例如喷头14。衬底支撑件16可以被布置在处理室12中。在使用过程中,衬底18(如半导体晶片或其它类型的衬底)可以被布置在衬底支撑件16上。在调节期间,伪衬底可用于保护衬底支撑件16。
衬底处理系统10包含气体输送系统20以供应处理气体和/或清扫气体。仅举例而言,气体输送系统20可以包含:一个或多个气体源22-1、22-2、...、和22-N(统称为气体源22),其中N是大于零的整数;阀24-1、24-2、...、和24-N(统称为阀24)和质量流量控制器(MFC)26-1、26-2、...、和26-N(统称为MFC 26)。
气体输送系统20的输出可以在歧管30内混合并输送到远程等离子体源32和/或直接输送到气体分配设备13。在一些实施例中,该喷头14包含基座部分33和连接到基座部分33的杆部分34。基座部分33从杆部分34径向向外延伸。基座部分33可延伸朝向处理室12的相对侧壁35。在图3中,远程等离子体源32可以包含布置在杆部分34周围的感应线圈37。射频(RF)或微波(MW)源38选择性地供给RF或MW功率给感应线圈37。匹配网络(未示出)可以在源38和感应线圈37之间使用。
在图2中的控制器40可以连接到一个或多个传感器41,传感器41监测在处理室12内的操作参数,如室的温度、压强等。加热器42可以被提供来根据需要加热衬底支撑件16和衬底18。阀50和泵52可以被提供来从处理室12抽排气体。控制器40可以用来控制气体输送系统20、加热器42、阀50、泵52以及由远程等离子体源32产生的等离子体。
现在参考图4,一种用于调节处理室以减少铜污染的方法110被示出。该处理室包含由含铜合金制成的一个或多个部件。在一些实施例中,这些部件由含铜的铝合金制成。在其它实施例中,铝合金包含6061铝合金。在114,伪衬底任选装载到衬底支撑上。伪衬底可被用于在等离子体调节期间保护衬底支撑件。在118,该方法使用包含氧分子和形成气体的调节气体混合物提供等离子体至所述室或在室内产生等离子体。在126,启动定时器。在130,该方法确定预定时间是否已经过去。当如在130所确定的预定时间段已经过去时,在134熄灭等离子体。如果使用了伪衬底,则可将伪衬底从处理室移除(或者伪衬底可以保持在处理室内)。在142,该方法确定是否应执行附加循环。如果如在142确定的应执行附加循环时,则方法返回到114。否则,该方法在146继续,并且使用诸如形成气体之类的基于氢的等离子体的基于等离子体的工艺可在具有降低的铜污染的处理室中进行。
在一些实施例中,在调节处理期间执行预定数量的射频小时(RFH)。RFH对应于调节期间的等离子体接通时间段的总和,并且不包含当等离子体熄灭时(例如当伪衬底加载到处理室并从处理室卸载时)的时间段。仅举例而言,所述RFH可以被设置为在2RFH至20RFH的范围内,但可以使用其它的RFH范围。仅举例而言,所述RFH可以被设置成等于10,并且每个循环时间可以等于120秒或2分钟。在本实施例中,等离子体将被循环300次(600分钟/每等离子体接通循环2分钟)。在一些实施例中,盒或前开式统一盒(FOUP)(front opening unified pod)可以被用于输送伪衬底至衬底处理工具。
在一些实施例中,调节处理使用工艺参数(持续时间、功率和等离子体循环数),这些工艺参数类似于使用基于氢的等离子体(诸如形成气体)的随后将用于衬底的等离子体处理的工艺参数。仅举例而言,该调节处理的RF接通时间可以介于等离子体处理的RF接通时间的80%至等离子体处理的RF接通时间的120%之间。同样,RF接通循环数可近似对应于将在等离子体处理中使用的等离子体循环数。仅举例而言,所述调节等离子体处理可以包含N个处理循环,并且等离子体处理可以包含M个处理循环。在一些实施例中,N为介于M的80%至M的120%之间。
在调节处理期间,铜从由含铜合金制成的处理室部件的外表面释放。铜变成挥发性氢化铜(CuH),并通过气流除去。在一些实施例中,该合金包含铝合金。在一些实施例中,铝合金包含6061铝合金,但也可以使用其它包含铝和铜的铝合金。
在一些实施例中,在调节处理之后,铜污染水平是从2至16e10/cm2下降到小于1e10/cm2,铜污染水平至少降低了90%。
在一个示例性工艺中,处理温度被设定为285℃,处理压强被设定为1.5托,RFH被设定为10,RF功率被设定为4500瓦,并且RF等离子体时间被设定为120秒,但也可以使用其它工艺参数。在这个例子中,氧分子以6000sccm供给并且形成气体以4000sccm供给,但也可以使用其它的流率。
前面的描述在本质上仅仅是说明性的,并且决不旨在限制本公开内容、本公开的应用或用途。本公开的广泛教导可以以各种形式来实现。因此,虽然本公开包含特定示例,但本公开的真实范围不应当受此限制,因为根据对本附图、说明书和以下的权利要求书的研究,其它的修改将会变得显而易见。如本文所使用的,短语A、B和C中的至少一个应该被解释为指使用非排他性的逻辑或(OR)的逻辑(A或B或C),而不应该被解释为指“A中的至少一个,B中的至少一个,和C中的至少一个”。应当理解的是,在方法中的一个或多个步骤可以以不同的顺序(或同时)执行,而不改变本公开的原理。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包含半导体处理设备,该半导体处理设备包含一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理部件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的处理的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。取决于处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何处理工艺,包含控制处理气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及过程设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,控制器可以定义为接收指令、发布指令、控制处理、启用清洁处理、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包含存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的工艺参数。在一些实施方式中,工艺参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的工艺配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云端”或者是fab主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造工艺的当前进程,检查过去的制造工艺的历史,检查多个制造工艺的趋势或性能指标,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包含局域网或互联网。远程计算机可以包含允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,控制器接收数据形式的指令,该指令指明在一个或多个处理期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包含一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与组合以控制室上的工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包含等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,取决于工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具部件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在材料搬运中使用的将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的工具通信。

Claims (10)

1.一种用于减少在衬底处理系统中的铜污染的方法,其包括:
在衬底处理系统的处理室中的衬底上执行等离子体处理,
其中,被设置在所述处理室中的部件由包含铜的合金制成,
其中,所述等离子体处理使用包含氢分子的处理气体混合物;以及
在所述衬底上执行所述等离子体处理之前并且在所述衬底被布置在处理室内之前,使用调节等离子体处理来调节在所述处理室中的所述部件,所述调节等离子体处理包括包含氧分子和形成气体的处理气体混合物。
2.根据权利要求1所述的方法,其中,所述合金包含铝。
3.根据权利要求1所述的方法,其中,所述合金包含6061铝合金。
4.根据权利要求1所述的方法,其中,所述处理气体混合物包含形成气体。
5.根据权利要求4所述的方法,其中,所述形成气体包含4%的氢分子和96%的氮分子。
6.根据权利要求1所述的方法,其还包括在所述调节等离子体处理期间在所述处理室中的衬底支撑件上布置伪衬底。
7.根据权利要求1所述的方法,其中,所述衬底包含半导体晶片。
8.根据权利要求1所述的方法,其中,所述等离子体处理包含光致抗蚀剂剥除工艺。
9.根据权利要求1所述的方法,其中,在所述调节等离子体处理之后在所述处理室内的铜污染相比于在所述调节等离子体处理之前的铜污染,减少了90%。
10.一种衬底处理系统,其包含:
处理室;
被设置在所述处理室中的由包含铜的合金制成的部件;
等离子体产生器,其被配置为产生在所述处理室内的等离子体;
气体输送系统,其被配置为选择性地输送形成气体、氧分子和/或氢分子至所述处理室;以及
控制器,其与所述气体输送系统和所述等离子体产生器连通并且被配置为:
在使用包含氢分子的处理气体混合物在所述处理室中的衬底上执行等离子体处理之前并且在所述衬底被布置在所述处理室内之前,使用调节等离子体处理来调节在所述处理室中的所述部件,所述调节等离子体处理包括包含氧分子和形成气体的处理气体混合物;以及
在所述衬底上执行所述等离子体处理。
CN201610228014.8A 2015-04-13 2016-04-13 减少缘于有含铜合金部件处理室的铜污染的系统和方法 Active CN106057623B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/684,870 US9397011B1 (en) 2015-04-13 2015-04-13 Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper
US14/684,870 2015-04-13

Publications (2)

Publication Number Publication Date
CN106057623A true CN106057623A (zh) 2016-10-26
CN106057623B CN106057623B (zh) 2021-02-02

Family

ID=56381725

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610228014.8A Active CN106057623B (zh) 2015-04-13 2016-04-13 减少缘于有含铜合金部件处理室的铜污染的系统和方法

Country Status (4)

Country Link
US (1) US9397011B1 (zh)
KR (2) KR102599987B1 (zh)
CN (1) CN106057623B (zh)
TW (2) TWI751970B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117153656A (zh) 2016-01-13 2023-12-01 应用材料公司 用于蚀刻硬件的基于氢等离子体的清洗工艺
US10460941B2 (en) * 2016-11-08 2019-10-29 Varian Semiconductor Equipment Associates, Inc. Plasma doping using a solid dopant source
US10409295B2 (en) * 2016-12-31 2019-09-10 Applied Materials, Inc. Methods and apparatus for enhanced flow detection repeatability of thermal-based mass flow controllers (MFCS)
JP7489905B2 (ja) * 2020-11-30 2024-05-24 東京エレクトロン株式会社 チャンバーコンディションの診断方法及び基板処理装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7084070B1 (en) * 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
CN101092278A (zh) * 2006-06-23 2007-12-26 东京毅力科创株式会社 石英制品和热处理装置
US20130248113A1 (en) * 2009-12-04 2013-09-26 Lam Research Corporation Substantially non-oxidizing plasma treatment devices and processes
CN103348037A (zh) * 2011-02-09 2013-10-09 应用材料公司 具有受保护的背板的pvd溅射靶

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432479B2 (en) * 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
EP1076355A2 (en) * 1999-08-12 2001-02-14 Applied Materials, Inc. Method and apparatus for cleaning a chamber configured for copper deposition
US6350689B1 (en) * 2001-04-23 2002-02-26 Chartered Semiconductor Manufacturing Ltd. Method to remove copper contamination by using downstream oxygen and chelating agent plasma
CN100477107C (zh) * 2004-01-28 2009-04-08 东京毅力科创株式会社 基板处理装置的处理室净化方法、基板处理装置和基板处理方法
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP5084508B2 (ja) * 2005-08-31 2012-11-28 東京エレクトロン株式会社 クリーニング方法
US7575007B2 (en) * 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
KR101477831B1 (ko) * 2007-05-29 2014-12-30 도쿄엘렉트론가부시키가이샤 플라즈마 질화 처리에 있어서의 챔버 내의 전처리 방법, 플라즈마 처리 방법, 및 플라즈마 처리 장치
EP2025775A1 (en) * 2007-07-05 2009-02-18 Interuniversitair Microelektronica Centrum Vzw Photon induced cleaning of a reaction chamber
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
JP2010050310A (ja) 2008-08-22 2010-03-04 Fujitsu Microelectronics Ltd 半導体装置の製造方法
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7084070B1 (en) * 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
CN101092278A (zh) * 2006-06-23 2007-12-26 东京毅力科创株式会社 石英制品和热处理装置
US20130248113A1 (en) * 2009-12-04 2013-09-26 Lam Research Corporation Substantially non-oxidizing plasma treatment devices and processes
CN103348037A (zh) * 2011-02-09 2013-10-09 应用材料公司 具有受保护的背板的pvd溅射靶

Also Published As

Publication number Publication date
KR20230156292A (ko) 2023-11-14
KR20160122075A (ko) 2016-10-21
KR102599987B1 (ko) 2023-11-07
US9397011B1 (en) 2016-07-19
TW202215533A (zh) 2022-04-16
TWI808561B (zh) 2023-07-11
TW201705271A (zh) 2017-02-01
TWI751970B (zh) 2022-01-11
CN106057623B (zh) 2021-02-02
KR102630920B1 (ko) 2024-01-29

Similar Documents

Publication Publication Date Title
JP7313528B2 (ja) 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10903071B2 (en) Selective deposition of silicon oxide
CN106952799A (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
JP6789627B2 (ja) 膜均一性改善のためのハードウェアおよび処理
CN106601612A (zh) 用于超高选择性的氮化物蚀刻的系统和方法
TW201632650A (zh) 無氨無氯保形氮化矽膜的沉積方法
CN107863307A (zh) 用于减少在抽吸排气系统中流出物积聚的系统和方法
JP2016036011A (ja) 多孔バッフルを備えた低容積シャワーヘッド
KR102630920B1 (ko) 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
CN107393799A (zh) 控制在连续波和脉冲等离子体之间转换的方法和装置
KR20160121429A (ko) 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거
CN110537241A (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁
US20170016115A1 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
US20210395885A1 (en) Throughput improvement with interval conditioning purging
CN106298499B (zh) 硼掺杂碳的硬掩膜层的选择性去除
KR20200130041A (ko) 폐루프 다중 출력 rf 매칭
WO2023069924A1 (en) Valve manifold for semiconductor processing
CN118119732A (zh) 用于半导体处理的阀歧管
KR20200067218A (ko) 반응기 프로세싱 배치 (batch) 사이즈를 증가시키기 위한 방법들 및 장치들
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法
CN110537244A (zh) 以高沉积速率沉积具有低压应力、高的膜稳定性和低收缩率的原硅酸四乙酯厚膜的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant