CN103348037A - 具有受保护的背板的pvd溅射靶 - Google Patents

具有受保护的背板的pvd溅射靶 Download PDF

Info

Publication number
CN103348037A
CN103348037A CN2012800073764A CN201280007376A CN103348037A CN 103348037 A CN103348037 A CN 103348037A CN 2012800073764 A CN2012800073764 A CN 2012800073764A CN 201280007376 A CN201280007376 A CN 201280007376A CN 103348037 A CN103348037 A CN 103348037A
Authority
CN
China
Prior art keywords
target
backboard
supercoat
nickel
millimeters
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2012800073764A
Other languages
English (en)
Other versions
CN103348037B (zh
Inventor
穆罕默德·M·拉希德
汪荣军
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103348037A publication Critical patent/CN103348037A/zh
Application granted granted Critical
Publication of CN103348037B publication Critical patent/CN103348037B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22CALLOYS
    • C22C19/00Alloys based on nickel or cobalt
    • C22C19/03Alloys based on nickel or cobalt based on nickel
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22CALLOYS
    • C22C9/00Alloys based on copper
    • CCHEMISTRY; METALLURGY
    • C22METALLURGY; FERROUS OR NON-FERROUS ALLOYS; TREATMENT OF ALLOYS OR NON-FERROUS METALS
    • C22CALLOYS
    • C22C9/00Alloys based on copper
    • C22C9/04Alloys based on copper with zinc as the next major constituent
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • C23C14/3414Metallurgical or chemical aspects of target preparation, e.g. casting, powder metallurgy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3435Target holders (includes backing plates and endblocks)

Abstract

本发明的实施例提供用于物理气相沉积(physical vapor deposition;PVD)中的溅射靶及形成此种溅射靶的方法。在一个实施例中,溅射靶包含配置在背板上的靶层,及覆盖和保护所述背板的区域的保护涂层,所述保护涂层通常包含镍材料,背板的所述区域若无所述保护涂层则将于PVD工艺期间暴露于等离子体下。于多个实例中,所述靶层包含镍-铂合金,所述背板包含铜合金(例如,铜-锌),且所述保护涂层包含金属镍。所述保护涂层消除通常因等离子体腐蚀所述背板的暴露表面内所含的铜合金而引起的高导电性铜污染物的形成。因此,于PVD工艺期间,基板和PVD腔室的内部表面不残留这类铜污染物。

Description

具有受保护的背板的PVD溅射靶
发明背景
发明领域
本发明的实施例大体上涉及用于气相沉积中的装置和方法,且更具体地说,涉及用于物理气相沉积(PVD)中的溅射靶及形成所述溅射靶的方法。
相关技术的描述
包括金属镍和镍-铂合金在内的镍基材料经常用于制造电子器件和半导体器件。镍-铂合金在硅化物应用方面上的使用渐趋普遍。通常,于射频(RF)物理气相沉积(PVD)工艺期间是由溅射靶或PVD靶沉积镍-铂合金及其它材料。所述溅射靶通常包括粘附于背板上的镍靶。所述镍靶通常是由高纯度金属(例如99.9%或更高)制成,且可含有金属镍或镍合金。所述背板通常由高导电性金属(例如铜材料)形成。
于PVD工艺期间,使所述溅射靶暴露于等离子体下且同时受到溅射。除了于工艺期间溅射所述金属靶材料(例如,镍),在所述PVD工艺期间还可能移除或腐蚀源自所述PVD腔室内的暴露表面的其它金属材料。此金属材料在所述PVD腔室内造成微粒污染,且因而严重污损所述基板上的沉积材料。陶瓷衬里或屏蔽件通常用于遮盖并保护所述PVD腔室的不锈钢及/或铝表面免于接触等离子体。然而,使用陶瓷衬里及屏蔽件通常无法保护其它金属表面,例如靶背板上的暴露区域,使得所述金属表面直接暴露于等离子体下。由于许多背板是由铜材料形成,因此经常产生高导电性的微粒和污染物,并掉落在所述PVD腔室内的表面上或直接落在基板上。所述导电性污染物最终污染所述基板生产工艺。
因此,需要提供一种在溅射或PVD工艺期间暴露于等离子体下而无污染源或实质无污染源的溅射靶。
发明内容
本发明的实施例大体上提供用于物理气相沉积(PVD)中的溅射靶及形成此种溅射靶的方法。在一个实施例中,溅射靶包含配置在背板上的靶层,及覆盖和保护所述背板的区域的保护涂层,背板的所述区域若无所述保护涂层则将于PVD工艺期间暴露于等离子体下。于多个实例中,所述靶层包含镍合金(例如镍-铂合金),所述背板包含铜合金(例如,铜-锌合金),且所述保护涂层包含镍材料(例如,金属镍)。在其它实例中,所述靶层包含钨或钨合金,所述背板包含铜合金(例如,铜-锌合金),且所述保护涂层包含钨材料(例如,金属钨)。所述背板具有正面及相反的背面,其中所述正面包含外侧支撑表面,所述外侧支撑表面围绕着内侧靶表面,所述背面包含外侧背部表面,所述外侧背部表面围绕着内侧凹部表面。所述靶层包含至少一种金属,且所述靶层配置在所述背板的所述内侧靶表面上,同时所述保护涂层配置在所述外侧支撑表面的至少一部分上,例如配置在粗糙化区域上。所述保护涂层消除金属污染物的形成,例如通常因等离子体腐蚀所述背板的暴露表面内所含的铜合金而引起的高导电性铜污染物的形成。因此,于PVD工艺期间,所述基板和所述PVD腔室的内部表面不残留这类铜污染物。
所述靶层通常包含诸如下述材料:例如镍、铂、镍-铂合金、钨、钯、钴、上述材料的合金、上述材料的衍生物或上述材料的组合。在多个实例中,所述靶层的材料包含镍或镍合金,例如镍-铂合金,或可包含钨、钨合金、钴或钴合金。所述镍-铂合金可含有按重量计从约80%至约98%的范围内,例如约85%至约95%的镍浓度,且含有按重量计从约2%至约20%,例如约5%至约15%的铂浓度。在数个特定实例中,所述靶层含有镍-铂合金,例如NiPt5%(按重量计约95%的镍和约5%的铂)、NiPt10%(按重量计约90%的镍和约10%的铂)或NiPt15%(按重量计约85%的镍和约15%的铂)。
在另一实施例中,提供一种溅射靶,所述溅射靶包含:背板,所述背板含有铜合金且具有正面和相反的背面,其中所述正面包含外侧支撑表面,所述外侧支撑表面围绕着内侧靶表面,且所述背面包含外侧背部表面,所述外侧背部表面围绕着内侧凹部表面;含有镍-铂合金的靶层,所述靶层配置在所述背板的所述内侧靶表面上;及含有镍的保护涂层,所述保护涂层配置在所述外侧支撑表面的至少一部分上,例如配置在粗糙化区域上,其中所述保护涂层具有从约0.004英寸(0.10毫米)至约0.040英寸(1.02毫米)的范围内,例如从约0.008英寸(0.20毫米)至约0.016英寸(0.41毫米)的厚度。在某些实例中,所述背板内所含的铜合金为铜-锌合金,且所述铜-锌合金进一步具有按重量计从约58%至约62%的范围内的铜浓度及按重量计从约38%至约42%的范围内的锌浓度。
于另一实施例中,提供一种用于在靶背板上形成具有保护膜的沉积靶的方法,所述方法包含:于溅射靶的外侧支撑表面的至少一部分处进行粗糙化,以形成具有从约2.03微米(80微英寸)至约12.7微米(500微英寸)的范围内的平均表面粗糙度的粗糙化区域。所述溅射靶包含:背板,所述背板含有铜合金且具有正面和相反的背面,其中所述正面包含外侧支撑表面,所述外侧支撑表面围绕内侧靶表面,且所述背面包含外侧背部表面,所述外侧背部表面围绕内侧凹部表面;及含有镍、镍合金、钨或钨合金的靶层,所述靶层配置在所述背板的所述内侧靶表面上。在一个实例中,所述方法进一步提供在所述外侧支撑表面的所述粗糙化区域上沉积含有镍的保护涂层的步骤。在另一实例中,所述方法进一步提供在所述外侧支撑表面的所述粗糙化区域上沉积含有钨的保护涂层的步骤。所述保护涂层具有从约0.002英寸至约0.100英寸的范围内的厚度。
沉积所述保护涂层之前,可通过研磨剂喷击法使所述背板的外侧支撑表面的区域或一部分粗糙化,所述研磨剂喷击法包括喷珠法及/或喷砂法。所述背板的外侧支撑表面的粗糙化区域经粗糙化,以便具有从约2.03微米(80微英寸)至约12.7微米(500微英寸)的范围内的平均表面粗糙度,例如约2.54微米(100微英寸)至约10.6微米(400微英寸)、约3.05微米(120微英寸)至约5.59微米(220微英寸)或约5.08微米(200微英寸)至约7.62微米(300微英寸)的平均表面粗糙度。随后,可通过诸如等离子体喷涂技术的沉积工艺于所述粗糙化区域上或上方沉积所述保护涂层。所述保护涂层的暴露表面或上表面可具有从约2.54微米(100微英寸)至约12.7微米(500微英寸)的范围内的平均表面粗糙度,例如约3.05微米(120微英寸)至约10.6微米(400微英寸)、例如约3.81微米(150微英寸)至约8.89微米(350微英寸)的平均表面粗糙度。
附图简单说明
为能详细理解本发明的上述特征结构,可参照多个实施例阅读上述简要概述的本发明的更具体描述,且附图中图示某些实施例。然而应理解,所述附图仅图示本发明的典型实施例,因此所述实施例不应视为本发明范围的限制,本发明容许做出其它等效实施例。
图1A至图1D描绘如本发明实施例所述的溅射靶。
图2为如本发明另一实施例所述的一种包含图1A至图1D的溅射靶的PVD腔室的简化剖面图。
图3A至图3B描绘如本发明实施例所述的图2的PVD腔室的局部剖面图。
为帮助理解,尽可能地使用相同元件符号代表所有图共同的相同元件。可考虑,一个实施例中所公开的元件可有益地应用于其它实施例上,而无需特别说明。
具体描述
本发明的实施例提供用于物理气相沉积(PVD)的溅射靶及形成这类溅射靶的方法。在一个实施例中,溅射靶包含配置在背板上的靶层,及覆盖和保护所述背板的区域的保护涂层,背板的所述区域若无所述保护涂层则将于PVD工艺期间暴露于等离子体下。于多个实例中,所述靶层包含镍-铂合金,所述背板包含铜合金,且所述保护涂层包含镍材料。在其它实例中,所述靶层包含钨或钨合金,所述背板包含铜合金,且所述保护涂层包含钨材料。与所述靶层隔开的所述保护涂层能消除通常因等离子体腐蚀所述背板的暴露表面内所含的铜合金而引起的高导电性铜污染物的形成。因此,于PVD工艺期间,基板和PVD腔室的内部表面不残留这类铜污染物。
图1A至图1D图示根据本发明所述实施例的溅射靶100的概要图。在一个实施例中,所述溅射靶100包含配置在背板110上的靶层150,及配置在所述背板110的至少一部分上的保护涂层160,例如保护涂层160覆盖所述背板110的外侧支撑表面(outer support surface)122的区域114。
所述靶层150包含配置在所述背板110的内侧靶表面124上的至少一种金属。在某些实例中,所述靶层150包含金属材料,例如镍、铂、镍-铂合金、钨、钯、钴、上述金属材料的合金、上述金属材料的衍生物或上述金属材料的组合。所述靶层150内所含的靶材料通常具有高纯度,例如纯度约99.99%(4N)或更高,例如约99.995%(4N5)或更高,或约99.999%(5N)或更高,或约99.9995%(5N5)或更高。纯度是代表相对于杂质、污染物或微量元素的浓度而言的金属靶材料浓度。对于镍-铂合金的金属靶材料而言,纯度是代表相对于任何杂质或微量元素的重量浓度而言的镍-铂重量浓度。在一个实例中,所述靶材料包含具有至少4N5或更高纯度的镍-铂合金。同样地,对于金属钨材料而言,纯度是代表相对于任何杂质或微量元素的重量浓度而言的钨重量浓度。在一个实例中,所述靶材料包含具有至少5N或更高纯度的金属钨。
在诸多实例中,所述靶层150的材料含有镍或镍合金,例如镍-铂合金。所述镍-铂合金可含有按重量计从约70%至约99.9%的范围内,例如约80%至约98%,或例如约85%至约95%的镍浓度。所述镍-铂合金也可含有按重量计从约0.5%至约40%的范围内,例如约2%至约20%,或例如约5%至约15%的铂浓度。在数个特定实例中,所述靶层150含有镍铂合金,例如NiPt5%(约95wt%的镍和约5wt%的铂)、NiPt10%(约90wt%的镍和约10wt%的铂)或NiPt15%(约85wt%的镍和约15wt%的铂)。在其它实例中,所述靶层150含有金属钨、钨合金、钴或钴合金。
所述靶层150的厚度与所述溅射靶100的使用寿命成比例,且与沉积膜的均匀度和阶梯覆盖率的最佳化成比例。图1C至图1D描绘背板110的内侧靶表面124及靶层150的靶表面151各自的延伸平面128和平面152。平面128和平面152大体彼此互呈平行地延伸,且通过一预定距离或厚度(称为靶厚154)隔开平面128和平面152。在一个实施例中,所述靶层150可具有从约0.050英寸(1.3毫米)至约0.400英寸(10.2毫米)的范围内,例如约0.120英寸(3.0毫米)至约0.150英寸(3.8毫米)、例如约0.138英寸(3.5毫米)的厚度。于替代实施例中,所述靶层150可具有从约0.080英寸(2.0毫米)至约0.600英寸(15.2毫米)的范围内,例如约0.150英寸(3.8毫米)至约0.350英寸(8.9毫米)、例如0.250英寸(6.4毫米)的厚度。所述靶层150的直径与欲暴露于所述PVD工艺下的基板的尺寸成比例。例如,若欲接受处理者是300毫米直径的基板,则所述靶层150可具有从约16英寸(406毫米)至约19英寸(483毫米)的范围内,例如约17英寸(432毫米)至约18英寸(457毫米)、例如约17.5英寸(445毫米)的直径。
可在所述内侧靶表面124与所述靶层150之间的界面处使所述靶层150扩散接合于所述背板110上或粘附于所述背板110上。在其它实施例中,所述靶层150可沉积在所述背板110的内侧靶表面124上或上方。或者,可通过在所述背板110与所述靶层150之间配置中间层(未示出)而使所述背板110与所述靶层150耦合或以其它方式粘合在一起。所述选用性的中间层可用以增加所述背板110与所述靶层150之间的粘附力。所述中间层通常含有金属,例如铝、铜、镍、上述金属的衍生物或合金,且可呈金属插入件、金属膜、金属板或金属焊料形式。在某些实例中,所述中间层包含配置(例如扩散接合)在所述内侧靶表面124与所述靶层150之间的金属插入件。在一个实例中,所述金属插入件可含有铝或铝合金。在其它实例中,所述中间层包含金属焊料,例如含铝焊料、含镍焊料或含铜焊料。
所述背板110通常是由导电材料所组成或制成,导电材料是例如铜、铜合金、锌、铜-锌合金、钢、不锈钢、铁、镍、铬、铜-铬合金、铝、铅、硅、上述材料的合金、衍生物或组合。在诸多实例中,所述背板110含有铜或铜合金。所述铜合金可含有按重量计从约50%至约99.9%的范围内,例如约55%至约95%的铜浓度。在某些实例中,所述铜合金可含有按重量计从约50%至约70%的范围内,例如约60%的铜浓度,且在其它实例中,可含有从约70%至约90%的范围内,例如约80%的铜浓度。
在某些实施例中,所述背板110包含铜-锌合金。在某些实例中,所述铜-锌合金可具有按重量计从约58%至约62%的范围内的铜浓度及按重量计从约38%至约42%的范围内的锌浓度。在特定实例中,所述背板110的铜-锌合金含有按重量计约60.8%的铜及约39.3%的锌,亦即所谓的Cu-Zn合金C46400。在其它实例中,所述铜-锌合金可具有按重量计从约75%至约85%的范围内的铜浓度及按重量计从约15%至约25%的范围内的锌浓度。在另一特定实例中,所述背板110的铜-锌合金含有按重量计约80%的铜及约20%的锌,亦即所谓的Cu-Zn合金C24000。
在附加实例中,所述背板110也可含有铜-铬合金,所述铜-铬合金具有按重量计从约95%至约99.5%的范围内的铜浓度及按重量计从约0.5%至约5%范围内的铬浓度。在特定实例中,所述背板110的铜-铬合金含有按重量计约99%的铜及约1%的铬。
所述背板110的正面120位于背面130的反面处,从而所述正面120包含外侧支撑表面122,且外侧支撑表面122围绕着内侧靶表面124,且所述背面130包含外侧背部表面132,所述外侧背部表面132围绕着内侧凹部表面134。所述区域114沿着所述背板110的所述外侧支撑表面122延伸,且因此所述区域114也环绕着所述内侧靶表面124。
所述溅射靶100、背板110及靶层150共享共同的中心轴,例如图1B至图1C中所示的中心轴112。所述中心轴112垂直或实质垂直于跨越所述靶层150的靶表面151延伸的平面152、跨越所述外侧支撑表面122延伸的平面126、跨越所述内侧靶表面124延伸的平面128、跨越所述外侧背部表面132延伸的平面136及跨越所述内侧凹部表面134延伸的平面138而延伸。
图1C图至图1D描绘分别跨越所述背板110的所述外侧背部表面132和所述内侧凹部表面134延伸的平面136和138。所述平面136和138彼此呈平行或实质平行地延伸,且以称为靶凹部深度140的预定距离而互相隔开。所述靶凹部深度140也与所述背板110主体的一部分的厚度或预定距离相等—所述厚度或预定距离亦延伸在所述平面136与138之间。图1C也描绘分别跨越所述背板110的外侧支撑表面122和所述内侧靶表面124延伸的平面126和128。所述平面126和138通常彼此呈平行或实质平行地延伸,且以所述背板110主体的一部分的厚度或预定距离而相互隔开—所述厚度或预定距离称为所述外侧背板厚度142。同样地,平面128与平面128通常彼此呈平行或实质平行地延伸,且以所述背板110主体的另一部分的厚度或预定距离而相互隔开—所述厚度或预定距离称为所述内侧背板厚度144。
每个溅射靶100可对应于指定的工艺条件或腔室结构配置而具有用于所述背板110内部的靶凹部深度140的预定值。所述靶凹部深度140的预定值可经选择,以调整靶/磁体间距,所述靶/磁体间距是介于所述溅射靶100的靶层150与磁控管之间的距离,且所述磁控管是例如图2及图3A-3B中所描绘的磁控管单元234。所述靶凹部深度140是延伸平面136和138之间的预定距离,所述平面136和138分别跨越所述背板110的外侧背部表面132与内侧凹部表面134而延伸。可沿着所述背板110的中心轴112的在所述平面136与138之间延伸的部分来测量所述靶凹部深度140。所述靶凹部深度140的范围可从约0.05英寸(1.3毫米)至约0.50英寸(12.7毫米),例如约0.10英寸(2.5毫米)至约0.40英寸(10.2毫米),例如约0.10英寸(2.5毫米)至约0.20英寸(5.1毫米),例如,约0.12英寸(3.0毫米)或约0.15英寸(3.8毫米)。
所述靶凹部直径及所述内侧凹部表面134的直径经确定,以某种程度上使所述磁体旋转直径最大化以于PVD工艺期间达到高均匀度和高阶梯覆盖率。图1B-1C描绘沿着所述平面136所测得点135a与点135b之间的对应靶凹部直径的长度可以是从约17.5英寸至约19.5英寸的范围内,例如约18英寸至约19英寸,例如约18.5英寸。如图1C所描绘,沿着所述平面138测量所述内侧凹部表面134的直径,且所述直径可以是从约17.5英寸至约19.5英寸的范围内,例如约18英寸至约19英寸,例如约18.5英寸。在诸多实施例中,由于介于所述外侧背部表面132与所述内侧凹部表面134之间的侧壁通常朝向所述中心轴112向内倾斜,故所述靶凹部直径的长度比所述内侧凹部表面134的长度稍长。介于所述外侧背部表面132与所述内侧凹部表面134之间的倾斜侧壁可能以半径范围从约0.05英寸(1.3毫米)至约0.30英寸(7.6毫米)的弧度呈倾斜状,例如所述弧度半径可以从约0.10英寸(2.5毫米)至约0.2英寸(5.1毫米),例如为约0.15英寸(3.8毫米)。然而,在替代实施例中,所述靶凹部直径与所述内侧凹部表面134的直径为等长,且介于所述外侧背部表面132与所述内侧凹部表面134之间的侧壁是以与所述中心轴112平行的方式延伸。
所述背板110的总厚度可在从约0.30英寸(7.6毫米)至约1英寸(25.4毫米)的范围内,例如约0.50英寸(12.7毫米)至约0.80英寸(20.3毫米),例如约0.65英寸(16.5毫米)。所述背板110的第一部分(例如延伸在所述平面136和138之间的部分)可在从约0.05英寸(1.3毫米)至约0.50英寸(12.7毫米)的范围内,例如约0.10英寸(2.5毫米)至约0.40英寸(10.2毫米),例如约0.15英寸(3.8毫米)。所述外侧背板厚度142可在从约0.05英寸(1.3毫米)至约0.70英寸(17.8毫米)的范围内,例如约0.10英寸(2.5毫米)至约0.50英寸(12.7毫米),例如约0.33英寸(8.4毫米)。所述内侧背板厚度144可在从约0.05英寸(1.3毫米)至约0.50英寸(12.7毫米)的范围内,例如约0.10英寸(2.5毫米)至约0.40英寸(10.2毫米),例如约0.17英寸(4.3毫米)。
沉积保护涂层160之前,可通过研磨剂喷击法使背板110的外侧支撑表面122的区域114粗糙化,所述研磨剂喷击法包括喷珠法、喷砂法、苏打喷击法(soda blasting)、粉末喷击法(powder blasting)及其它微粒喷击技术。可使用其它技术使所述外侧支撑表面122的区域114粗糙化,所述技术包括机械技术(例如,砂轮研磨)、化学技术(例如,酸蚀刻)、等离子体蚀刻技术及激光蚀刻技术。所述背板110的外侧支撑表面122的区域114经粗糙化,藉以在所述外支撑表面122与所述保护涂层160之间提供强粘附性界面。所述外侧支撑表面122的区域114可具有从约2.03微米(80微英寸)至约12.7微米(500微英寸)的范围内,例如约2.54微米(100微英寸)至约10.6微米(400微英寸),例如约3.05微米(120微英寸)至约5.59微米(220微英寸),或约5.08微米(200微英寸)至约7.62微米(300微英寸)的平均表面粗糙度。在一个实例中,在所述外侧支撑表面112的区域114上沉积所述保护涂层160之前,所述区域114具有从约2.03微米(80微英寸)至约12.7微米(500微英寸)的范围内的平均表面粗糙度。
所述保护涂层160是可以通过沉积、电镀或其它方式形成于所述靶背板110上或上方的保护膜。在一个实施例中,通过沉积工艺(例如,等离子体喷涂技术)使保护涂层160沉积在所述背板110的外侧支撑表面122上的区域114上或上方。所述保护涂层160包含沉积在所述外侧支撑表面122的至少一部分上(例如沉积在区域114上)的金属,例如金属镍、镍合金、金属钨或钨合金。所述保护涂层160抑制所述背板110的腐蚀作用,背板110原本将从区域114的等离子体及/或化学品接触区域产生金属污染物。在诸多实例中,所述背板110含有铜-锌合金—因此,所述保护涂层160抑制所述背板110的腐蚀作用,且从而停止产生高导电性含铜污染物。
在某些实例中,所述保护涂层160含有等离子体喷涂金属,诸如金属镍或金属钨或溅射金属,例如镍材料或钨材料。在一个实施例中,所述保护涂层160含有与所述靶层150共同的金属元素。例如,所述靶层150含有镍或镍合金(例如,镍-铂合金),且所述保护涂层160含有金属镍。在另一实例中,所述靶层150含有钨或钨合金,且所述保护涂层160含有金属钨。于另一实例中,所述靶层150含有钴或钴合金,且所述保护涂层160含有金属钴。可在所述背板110的区域114上利用各种沉积技术形成或以其它技术沉积所述保护涂层160,所述技术为例如等离子体喷涂工艺、溅设工艺、PVD工艺、CVD工艺、PE-CVD工艺、ALD工艺、PE-ALD工艺、电镀工艺或电化学电镀工艺、无电沉积工艺(electroless deposition process)或上述技术的衍生方法。所述保护涂层160通常包含单一材料层,然而,在替代实施例中,所述保护涂层160可包含多层相同材料或不同材料。
所述保护涂层160的厚度与预期的靶使用寿命成比例。图1D描绘具有厚度164的保护涂层160。所述保护涂层160的厚度164可在从约0.002英寸(0.05毫米)至约0.100英寸(2.54毫米)的范围内,例如约0.004英寸(0.10毫米)至约0.040英寸(1.02毫米),例如约0.008英寸(0.20毫米)至约0.016英寸(0.41毫米)。所述保护涂层160的暴露表面或上表面162可具有从约2.54微米(100微英寸)至约12.7微米(500微英寸)的范围内,例如约3.05微米(120微英寸)至约10.6微米(400微英寸),例如约3.81微米(150微英寸)至约8.89微米(350微英寸)的平均表面粗糙度(Ra)。在一个实例中,所述保护涂层160含有金属镍,具有从约0.008英寸(0.20毫米)至约0.016英寸(0.41毫米)的范围内的厚度,且具有从约3.81微米(150微英寸)至约8.89微米(350微英寸)的范围内的上表面平均表面粗糙度。在另一实例中,所述保护涂层160含有金属钨,具有从约0.008英寸(0.20毫米)至约0.016英寸(0.41毫米)的范围内的厚度,且具有从约3.81微米(150微英寸)至约8.89微米(350微英寸)范围内的上表面平均表面粗糙度。
通过在沿着所述背板110的正面120的外侧支撑表面122而延伸的所述区域114顶部上沉积所述保护涂层160,使所述保护涂层160覆盖并保护所述外侧支撑表面122的下方区域以避免与处理腔室(例如图2和图3A-3B所描绘的PVD腔室200)内的化学品及/或等离子体发生反应,从而防止所述背板110的导电材料产生不希望的污染物,所述污染物原本将会形成且将分散至所述处理腔室各处并落在诸如基板205这样的工件上。尽管本案所公开的某些实施例描述溅射靶100具有含金属镍或镍合金的保护涂层160及含镍、镍-铂合金或其它镍合金的靶层150,然而本发明实施例的基本范围适用于靶层150内含其它金属或材料,并规定所述保护涂层160的内含金属或材料与该靶层150化学兼容。在本案所述其它实施例中,所述溅射靶100具有含金属钨或钨合金的靶层150及含金属钨、钨材料或钨合金的保护涂层160。
图1A-1D描绘具有圆形几何形状的溅射靶100。可根据欲配置于PVD腔室200内的基板的尺寸调整溅射靶100的尺寸。在一个实施例中,欲进行处理的基板的直径可在介于约200毫米至约450毫米的范围内,例如约300毫米。在诸多实例中,所述溅射靶100的靶材料被溅射到300毫米的基板上。所述溅射靶100的总直径长度必需完全合适地安装在处理腔室中,例如安装于PVD腔室200中,且使暗区间隙保持一预定宽度,以防止来自所述背板110的等离子体电弧放电作用且降低保护涂层160的溅射作用。所述溅射靶100的总直径长度与沿着所述平面136所测得的所述背板110的直径长度一致,两者的直径长度可在介于约18英寸至约23英寸的范围内,例如约20英寸至约22英寸,举例而言,约20.7英寸。
靶凹部直径及内侧凹部表面134的直径的对应长度可各自独立地在介于约17.5英寸至约19.5英寸的范围内,例如约18英寸至约19英寸,举例而言,约18.5英寸。对应的靶凹部深度140的长度可在介于约0.05英寸(1.3毫米)至约0.50英寸(12.7毫米)的范围内,例如约0.10英寸(2.5毫米)至约0.40英寸(10.2毫米),例如约0.15英寸(3.8毫米)。所述对应的靶层150的直径可在介于约16.5英寸至约18.5英寸的范围内,例如约17英寸至约18英寸,举例而言,约17.5英寸。
所述背板110还包含至少一个O形环沟槽172、多个紧固件孔174、至少一个靶对准槽176及至少一个销槽(pin slot)178。图1A描绘外侧支撑表面122的正面120,所述正面120具有三个靶对准槽176,所述靶对准槽176环绕单个O形环沟槽172,且所述O形环沟槽172环绕所述内侧靶表面124。所述靶对准槽176用于使所述溅射靶100例如相对于PVD腔室200的暗区屏蔽件262而设置在所述处理腔室中心。图1C描绘O形环沟槽172是一种形成在所述外侧支撑表面122中的双边式沟槽(two-sided groove)。用以在两个表面之间形成密封界面的O形环、垫圈、密封条或其它种类的密封装置可配置在O形环沟槽172内。O形环沟槽172可具有从约0.10英寸(2.54毫米)至约0.30英寸(7.62毫米)的范围内,例如为约0.16英寸(4.06毫米)的深度,且具有从约0.10英寸(2.54毫米)至约0.50英寸(12.7毫米)的范围内,例如为约0.20英寸(5.08毫米)的渐窄宽度。所述紧固件孔174贯穿所述背板110且介于所述背板110的正面120与背面130之间。多个紧固件孔174环绕在所述O形环沟槽172的外侧,且可用于使紧固件延伸穿过所述孔174而使所述溅射靶100附接或耦合至处理腔室(例如PVD腔室200)内的部件或表面。当通过紧固件使所述背板110附接或耦合至所述腔室时,置于所述O形环沟槽172内的O形环在所述背板110的正面120与所述处理腔室内的所述部件或表面之间形成密封。所述紧固件(图中未出示)可包括螺钉、螺栓、栓钉、夹具及类似物。所述多个紧固件孔174的数目可为约10个孔至约30个孔,例如16个孔。所述紧固件孔174可具有从约0.10英寸(2.54毫米)至约0.40英寸(10.2毫米)的范围内,例如约0.22英寸(5.59毫米)的直径。所述背板110还包含多个销槽178,所述销槽178配置在所述背板110的O形环沟槽172与外侧边缘之间。所述多个销槽178的数目可为约2个孔至约8个孔,例如约3个孔至约5个孔,例如,图1A中图示4个孔。
所述靶层150包含锥形边缘(tapered edge)156,所述锥形边缘156从所述靶表面151延伸至所述靶侧面158。所述锥形边缘156及所述靶侧面158在所述靶表面151周围呈环状延伸且围绕所述靶表面151。在一个实施例中,所述靶表面151为实质平坦,且所述靶表面151配置在处理腔室内(例如PVD腔室200)并与基板支撑上表面实质平行。所述锥形边缘156延伸至所述背板110上的靶侧面158。所述靶侧面158径向地向内倾斜而到达所述靶层150与所述背板110之间的界面。在某些实施例中,所述锥形边缘156从沿着所述靶表面151的平面152的平坦部分到所述靶层150的靶侧面158提供平滑的过渡,且通过减少所述靶表面151中的突然变化而促进沉积均匀度。此外,所述锥形边缘156具有预定角半径的弧度或曲率,以提供最适当的暗区间隙,以避免在PVD工艺期间发生等离子体电弧放电,并提供高均匀性的沉积膜。在某些实施例中,所述锥形边缘156的预定角半径可具有从约0.01英寸(0.25毫米)至约0.15英寸(3.8毫米)的范围内,例如约0.02英寸(0.5毫米)至约0.08英寸(2.0毫米)、例如约0.04英寸(1.0毫米)的半径。
在替代实施例中,所述锥形边缘156从沿着所述靶表面151的平面152的平坦部分过渡至所述靶表面151的向下倾斜部分或区段(此部分或区段从所述平面152朝向所述平面128倾斜—图中未示出)再到所述靶侧面158。所述向下倾斜部分或区段可具有约0.20英寸至约0.80英寸,例如为约0.50英寸的长度,且具有从所述平面152开始延伸至所述平面152下方处约5°至约20°的角度,例如约10°的角度。
在其它实施例中,所述靶层150可具有比所述背板110的内侧靶表面124稍长的直径。因此,所述靶层150可形成悬伸,使得所述靶侧面158向外延伸至比所述区域114的垂直部分更外缘处—如图1D所示,其中所述靶层150沿着所述平面128延伸而超出所述内侧靶表面124。所述保护涂层160可形成或沉积在所述区域114上,使所述保护涂层160与所述靶侧面158齐平(见图1D),或使所述保护涂层160较薄,以提供未填充所述保护涂层160的材料的悬伸处。因此,所述靶层150可绕着所述背板110的内侧靶表面124的周长而悬伸凸出内侧靶表面124一段约0.01英寸(0.25毫米)至约0.05英寸(1.27毫米)(例如约0.03英寸(0.76毫米))的长度。
在一个实例中,提供一种溅射靶100,所述溅射靶100包含:背板110,所述背板含有铜合金且具有正面120和反面的背面130,其中所述正面120包含外侧支撑表面122,所述外侧支撑表面122围绕着内侧靶表面124,且所述背面130包含外侧背部表面132,所述外侧背部表面132环绕着内侧凹部表面134;含有镍-铂合金的靶层,所述靶层配置在所述背板110的内侧靶表面124上;及含镍的保护涂层160,所述保护涂层160配置在所述外侧支撑表面122的至少一部分上,例如配置在区域114上,其中所述保护涂层160具有从约0.004英寸至0.050英寸范围内的厚度。
图2和图3A-3B描绘PVD腔室200,所述PVD腔室200包含所述溅射靶100及工艺配件250,当处理基板205时可使用所述工艺配件250。所述工艺配件250至少包含沉积环280,所述沉积环280支撑于基座组件220上,且所述工艺配件250还可包含屏蔽件(例如,单件式接地屏蔽件)260、暗区屏蔽件262(例如,绝缘环)及插入式盖环(interleaving cover ring)270。所述PVD腔室200也称为溅射腔室,所述PVD腔室200能够沉积金属材料及/或陶磁材料,例如镍、镍合金(例如,镍-铂合金)、硅化镍、硅化镍铂(nickel-platinum silicide)、钨、硅化钨、氮化钨、碳化钨、钴、硅化钴、钛、氧化钛、氮化钛、铝、氧化铝、铜、钽、氮化钽、碳化钽、镧、氧化镧、上述材料的硅化物、上述材料的合金、上述材料的衍生物,等等。可经适配而受益于本案所述实施例的处理腔室的一个实例购自美国加州圣塔克拉拉市的应用材料公司(Applied Materials,Inc.,of Santa Clara,California)的Plus及SIP
Figure BDA00003608313700132
PVD处理腔室。可考虑,包括来自其它制造商的腔室在内的其它处理腔室可经适配而受益于本案所述实施例。
所述PVD腔室200包含腔室主体201、腔室底部206及盖组件208,其中所述腔室主体201具有上转接器202和侧壁转接器204,所述盖组件208圈围出内容积210或等离子体区域。通常通过机械加工及焊接金属板或通过机械加工单个金属主体,例如铝、不锈钢或上述金属的合金,而制造出所述腔室主体201。在一个实施例中,所述侧壁转接器204包含铝,且所述腔室底部206包含不锈钢。所述腔室底部206通常包含狭缝阀(slit valve),以供基板205进出所述PVD腔室200。所述PVD腔室200的盖组件208和与盖环270嵌合的屏蔽件260合作而将所述内容积210内所形成的等离子体限定在所述基板205上方的区域处。
所述PVD腔室200的腔室底部206支撑基座组件220。所述基座组件220于处理期间支撑所述沉积环280及基板205。所述基座组件220通过升降机构222而耦接至所述PVD腔室200的底部206,所述升降机构222配置成能在下方位置(见图2)和上方位置(见图3A)之间移动所述基座组件220。此外,在所述下方位置中,升降销(图中未示出)移动穿过所述基座组件220而使所述基板205与所述基座组件220隔开,以助于利用设置在所述PVD腔室200外部的晶片传送机构(例如单叶片机械手,图中未示出)进行基板交换。伸缩管(bellow)224通常配置在所述基座组件220与所述腔室底部206之间,以使所述内容积210与所述基座组件220的内部空间及所述PVD腔室200的外部空间隔离。
所述基座组件220通常包含基板支撑件226,所述基板支撑件密封地耦接于底板228,所述底板228耦接至接地板225。所述基板支撑件226可由铝、不锈钢或陶磁材料形成。所述基板支撑件226可为静电吸盘、陶瓷主体、加热器或上述部件的组合。在一个实施例中,所述基板支撑件226为静电吸盘,所述静电吸盘包含电介质主体,且所述电介质主体内部埋有多个电极238。所述电介质主体通常由高热传导性电介质材料所制成,例如由热裂解性氮化硼、氮化铝、氮化硅、氧化铝或等效材料所制成。在一个实施例中,所述基板支撑件226通过金属箔(例如铝箔)而附接于所述底板228,且可通过扩散方式接合所述底板228与所述基板支撑件226。
所述底板228可由具有与上方的基板支撑件226适当匹配的热性质的材料形成。例如,所述底板228可含有复合材料,例如碳化硅铝(aluminum siliconcarbide)。所述复合材料可具有与所述基板支撑件226的材料相匹配的热膨胀系数,以降低热膨胀不匹配性。在一个形式中,所述复合材料包含陶瓷材料,所述陶瓷材料具有孔隙且用金属渗入所述孔隙中,使金属至少部分填充所述孔隙而形成复合材料。所述陶瓷材料可包含例如下述材料中的至少一种:碳化硅、氮化铝、氧化铝、堇青石(cordierite)或上述材料的衍生物。所述陶瓷材料可包含范围介于占总体积约20体积%至约80体积%的孔隙体积,其余体积则是所渗入的金属的体积。所渗入的金属可含有铝并添加硅,且所述渗入的金属还可含有铜。在另一形式中,所述复合材料可包含不同组成的陶瓷材料和金属,例如金属具有分散的陶瓷颗粒。或者,所述底板228可由金属形成,例如不锈钢或铝。冷却板(图中未示出)通常配置在所述底板228内,但还可配置在所述接地板225内,且可用于对所述基板支撑件226进行温度调节。
所述接地板225通常由金属材料制成,例如不锈钢或铝。所述底板228可通过多个连接件237而耦接至所述接地板。所述连接件237可为下列连接件中的一种:螺钉、螺栓、栓或任何其它种类的连接件。所述底板228可从所述接地板225卸除,以利于更容易进行基板支撑件226及底板228的更换和维修作业。
所述基板支撑件226具有基板接收表面227,所述基板接收表面227于处理期间接收并支撑所述基板205,所述基板接收表面227具有与靶层150的靶表面151实质平行的平面。所述基板支撑件226还具有周边边缘229,且所述周边边缘229止于所述基板205的悬伸边缘之前。所述基板支撑件226的周边边缘229具有从约275毫米(mm)至约300毫米的范围内的直径。所述基板支撑件226可具有大于约0.25英寸的高度,例如在从约0.30英寸至约0.75英寸范围内的高度。所述基板支撑件226的高度有利地使所述基板205垂直地与所述工艺配件250的沉积环280的水平表面隔开,如以下进一步描述。
所述盖组件208通常包含配置在所述溅射靶100上方的磁控管单元234。所述溅射靶100包含配置有所述背板110的靶层150。如图2和图3A-3B所示,在所述PVD腔室200内利用所述上转接器202支撑所述背板110。陶瓷环密封件236配置在所述背板110与所述上转接器202之间,以防止所述背板110与所述上转接器202之间发生真空泄露情形。所述靶层150耦接至所述背板110且暴露于所述PVD腔室200的内容积210中。所述靶层150提供PVD工艺期间沉积在所述基板上的材料。所述暗区屏蔽件262可为绝缘环,且配置在所述溅射靶100与所述腔室主体201之间,以使所述溅射靶100与所述腔室主体201的所述上转接器202电性隔离。在所述背板110与所述暗区屏蔽件262之间及所述背板110与所述陶瓷环密封件236之间通常保持间隙264。配置在所述背板110上的所述保护涂层160覆盖住所述下方表面—例如所述外侧支撑表面122—以免所述表面接触到进入所述间隙264中的等离子体。
通过与所述溅射靶100耦接的功率源240相对于接地(例如腔室主体201)使用RF及/或DC功率对所述靶层150加偏压。由气源242经由导管244供应气体(例如,氩气)至所述内容积210。所述气源242可包含非反应性气体,例如氩气、氙气、氖气或氦气,所述气体能够以高能量状态撞击所述靶层150且从所述靶层150溅射出材料。所述气源242也可包含反应性气体,例如下列气体中的一或多种:含氧气体、含氮气体、含甲烷气体,所述气体能与所述溅射材料反应而在基板上形成膜层。经由排气端口246排出所述PVD腔室200中的废工艺气体(spent process gas)及副产物,所述排气端口246接收废工艺气体并引导所述废工艺气体前往排气导管248,所述排气导管248具有节流阀以控制所述PVD腔室200内的气体压力。所述排气导管248连接至一或多个排气泵249。通常而言,所述PVD腔室200中的溅射气体的压力设定在低于大气压的程度,例如设定为真空环境,例如,气体压力可介于约0.6毫托(mTorr)至约400毫托的范围内。由在所述基板205与所述靶层150之间的气体形成等离子体。等离子体离子经加速而朝向所述靶层150前进并使得从所述靶层150中移出材料。被移出的靶材料随后沉积在基板表面上。
在所述PVD腔室200的外部,所述磁控管单元234耦接至所述背板110或与所述背板110耦接在一起。具体地说,所述磁控管单元234通常配置在所述背板110的背面130上,例如至少配置在所述内侧凹部表面134上或上方处。决定靶凹部直径(包含至少所述内侧凹部表面134和任何边缘表面在内的直径),以使所述磁控管单元234的磁体旋转直径最大化,以于PVD工艺期间达到高均匀度和高阶梯覆盖率。在美国专利案第5,953,827号中描述一种可用的磁控管,所述专利文献以引用方式全文并入本案中。
利用控制器290管理所述PVD腔室200中所执行的工艺,所述控制器290中包含具有指令组的程序代码,以操作所述PVD腔室200中的部件,以助于处理所述PVD腔室200中的基板。例如,所述控制器290可具有程序代码,所述程序代码包含:基板定位指令组,基板定位指令组用以操作所述基座组件220;气体流量控制指令组,所述气体流量控制指令组用于操作气体流量控制阀以设定流向所述PVD腔室200的气体流量;气体压力控制指令组,所述气体压力控制指令组用于操作节流阀以维持所述PVD腔室200中的压力;温度控制指令组,所述温度控制指令组用于控制所述基座组件220或侧壁转接器204中的温度控制系统(图中未示出)以分别设定所述基板或侧壁转接器204的温度;及工艺监控指令组,所述工艺监控指令组用于监控所述PVD腔室200内的工艺。
所述工艺配件250包含各种部件,所述部件可轻易地从所述PVD腔室200中卸除,以(例如)清除所述部件表面的溅射沉积物、更换或修理被腐蚀的部件,或调整所述PVD腔室200以用于其它工艺。在一个实施例中,所述工艺配件250至少包含沉积环280,但还可包含屏蔽件260、暗区屏蔽件262及盖环270。在一个实施例中,盖环270及沉积环280配置在基板支撑表面226的周边边缘229周围。
利用腔室主体201支撑屏蔽件260,且至少部分利用屏蔽件260支撑暗区屏蔽件262。所述暗区屏蔽件262环绕溅射靶层150的靶表面151,所述靶表面151面向基板支撑件226。所述屏蔽件260环绕着所述基板支撑件226的周边边缘229。所述屏蔽件260也覆盖且遮蔽PVD腔室200的侧壁转接器204,以减少源自所述溅射靶层150的靶表面151的溅射沉积物沉积在所述屏蔽件260后方的部件和表面上。举例而言,所述屏蔽件260能保护所述基板支撑件226、所述基板205的悬伸边缘、侧壁转接器204及所述PVD腔室200的腔室底部206的表面。
虽然上述内容涉及本发明的多个实施例,然而在不偏离本发明的基本范围下,当可做出本发明的多种其它和进一步实施例,且本发明范围由后附权利要求书决定。

Claims (15)

1.一种溅射靶,所述溅射靶包含:
背板,所述背板具有正面和相反的背面,其中所述正面包含外侧支撑表面,所述外侧支撑表面围绕内侧靶表面,所述背面包含外侧背部表面,所述外侧背部表面围绕内侧凹部表面,且沿着所述背板的中心轴在所述内侧凹部表面与所述外侧背部表面的平行平面之间具有预定距离;
包含至少一种金属的靶层,所述靶层配置在所述背板的所述内侧靶表面上;以及
包含镍或钨的保护涂层,所述保护涂层配置在所述外侧支撑表面的至少一部分上。
2.如权利要求1的溅射靶,其中所述靶层包含选自由下述材料组成的组中的材料:镍、铂、镍-铂合金、钨、钯、钴、上述材料的合金、上述材料的衍生物及上述材料的组合。
3.如权利要求2的溅射靶,其中所述靶层的所述材料包含镍-铂合金,并且所述镍-铂合金含有按重量计从约2%至约20%的范围内的铂浓度。
4.如权利要求2的溅射靶,其中所述靶层的所述材料包含镍-铂合金,并且所述镍-铂合金含有按重量计从约80%至约98%的范围内的镍浓度。
5.如权利要求1的溅射靶,其中所述靶层具有从约1.3毫米(0.050英寸)至约10.2毫米(0.400英寸)的范围内的厚度,并且所述靶层具有从约406毫米(16英寸)至约483毫米(19英寸)的范围内的直径。
6.如权利要求1的溅射靶,其中所述保护涂层具有从约0.10毫米(0.004英寸)至约1.3毫米(0.050英寸)的范围内的厚度。
7.如权利要求6的溅射靶,其中所述保护涂层包含等离子体喷涂的金属镍,且所述靶层包含镍或镍合金,并且所述背板包含铜-锌合金。
8.如权利要求6的溅射靶,其中所述保护涂层包含等离子体喷涂的金属钨,且所述靶层包含钨或钨合金,并且所述背板包含铜-锌合金。
9.如权利要求1的溅射靶,其中沿着所述中心轴且介于所述平行平面之间的所述预定距离为从约1.3毫米(0.050英寸)至约12.7毫米(0.50英寸)的范围内。
10.一种溅射靶,所述溅射靶包含:
背板,所述背板含有铜合金且具有正面和相反的背面,其中所述正面包含外侧支撑表面,所述外侧支撑表面围绕内侧靶表面,且所述背面包含外侧背部表面,所述外侧背部表面围绕内侧凹部表面;
包含镍-铂合金的靶层,所述靶层配置在所述背板的所述内侧靶表面上;以及
包含镍的保护涂层,所述保护涂层配置在所述外侧支撑表面的至少一部分上,其中所述保护涂层具有从约0.10毫米(0.004英寸)至约1.02毫米(0.040英寸)的范围内的厚度,且所述保护涂层的上表面包含从约2.54微米(100微英寸)至约12.7微米(500微英寸)的范围内的平均表面粗糙度。
11.如权利要求10的溅射靶,其中所述镍-铂合金含有按重量计从约80%至约98%的范围内的镍浓度,及按重量计从约2%至约20%的范围内的铂浓度。
12.如权利要求10的溅射靶,其中所述铜合金包含铜-锌合金,且所述铜-锌合金进一步含有按重量计从约58%至约62%的范围内的铜浓度和按重量计从约38%至约42%的范围内的锌浓度。
13.一种溅射靶,所述溅射靶包含:
背板,所述背板含有铜合金且具有正面和相反的背面,其中所述正面包含外侧支撑表面,所述外侧支撑表面围绕内侧靶表面,且所述背面包含外侧背部表面,所述外侧背部表面围绕内侧凹部表面;
包含金属钨的靶层,所述靶层配置在所述背板的所述内侧靶表面上,且所述靶层具有从约3.8毫米(0.150英寸)至约8.9毫米(0.350英寸)的范围内的厚度;以及
包含钨的保护涂层,所述保护涂层配置在所述外侧支撑表面的至少一部分上,其中所述保护涂层具有从约0.10毫米(0.004英寸)至约1.02毫米(0.040英寸)的范围内的厚度,且所述保护涂层的上表面包含从约2.54微米(100微英寸)至约12.7微米(500微英寸)的范围内的平均表面粗糙度。
14.一种用于在靶背板上形成具有保护膜的沉积靶的方法,所述方法包含:
于溅射靶的粗糙化区域上沉积含有镍的保护涂层,其中所述保护涂层具有从约0.05毫米(0.002英寸)至约2.54毫米(0.100英寸)的范围内的厚度,且所述溅射靶包含:
背板,所述背板含有铜合金且具有正面和相反的背面,其中所述正面包含外侧支撑表面,所述外侧支撑表面围绕内侧靶表面,且所述背面包含外侧背部表面,所述外侧背部表面围绕内侧凹部表面,且所述外侧支撑表面的至少一部分包含所述粗糙化区域,所述粗糙化区域具有从约2.03微米(80微英寸)至约12.7微米(500微英寸)的范围内的平均表面粗糙度;以及
包含镍合金的靶层,所述靶层配置在所述背板的所述内侧靶表面上。
15.如权利要求14的方法,其中所述背板的所述外侧支撑表面的所述粗糙化区域是通过研磨剂喷击法而粗糙化的,并且所述保护涂层是通过等离子体喷涂技术而沉积的。
CN201280007376.4A 2011-02-09 2012-02-01 具有受保护的背板的pvd溅射靶 Active CN103348037B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/024,198 US8968537B2 (en) 2011-02-09 2011-02-09 PVD sputtering target with a protected backing plate
US13/024,198 2011-02-09
PCT/US2012/023474 WO2012109069A2 (en) 2011-02-09 2012-02-01 Pvd sputtering target with a protected backing plate

Publications (2)

Publication Number Publication Date
CN103348037A true CN103348037A (zh) 2013-10-09
CN103348037B CN103348037B (zh) 2016-01-20

Family

ID=46599914

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280007376.4A Active CN103348037B (zh) 2011-02-09 2012-02-01 具有受保护的背板的pvd溅射靶

Country Status (6)

Country Link
US (1) US8968537B2 (zh)
JP (1) JP6130304B2 (zh)
KR (1) KR101938851B1 (zh)
CN (1) CN103348037B (zh)
TW (1) TWI540216B (zh)
WO (1) WO2012109069A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106057623A (zh) * 2015-04-13 2016-10-26 朗姆研究公司 减少缘于有含铜合金部件处理室的铜污染的系统和方法
CN107086169A (zh) * 2016-02-12 2017-08-22 朗姆研究公司 等离子体源的室构件和有移动衬底c形环的升降销的基座
CN108690961A (zh) * 2017-04-06 2018-10-23 北京北方华创微电子装备有限公司 磁控溅射组件、磁控溅射腔室及磁控溅射设备
CN109385608A (zh) * 2017-08-08 2019-02-26 宁波江丰电子材料股份有限公司 靶材组件及其制造方法
CN110684950A (zh) * 2018-08-28 2020-01-14 住华科技股份有限公司 溅射靶材及其使用方法
TWI688039B (zh) * 2017-11-21 2020-03-11 美商瓦特洛威電子製造公司 具有原子保護層之陶瓷台座
CN112654729A (zh) * 2018-08-13 2021-04-13 霍尼韦尔国际公司 具有薄的高纯度涂层的溅射捕集器及其制造方法
US11981991B2 (en) 2023-01-09 2024-05-14 Honeywell International Inc. Sputter trap having a thin high purity coating layer and method of making the same

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9534286B2 (en) * 2013-03-15 2017-01-03 Applied Materials, Inc. PVD target for self-centering process shield
TWI572733B (zh) 2013-08-01 2017-03-01 史達克公司 濺鍍標靶之部分噴霧修整
CN104416253B (zh) * 2013-09-02 2016-08-31 宁波江丰电子材料股份有限公司 背板的形成方法和背板
KR102112912B1 (ko) * 2013-11-06 2020-05-19 제이엑스금속주식회사 스퍼터링 타깃/배킹 플레이트 조립체
TWI491449B (zh) * 2014-01-29 2015-07-11 Taiwan Green Point Entpr Co Painting and shielding fixture and painting method
US9644269B2 (en) 2014-01-30 2017-05-09 Varian Semiconductor Equipment Associates, Inc Diffusion resistant electrostatic clamp
JP6117425B2 (ja) * 2014-03-26 2017-04-19 Jx金属株式会社 炭化タングステン又は炭化チタンからなるスパッタリングターゲット
US20160053365A1 (en) * 2014-08-20 2016-02-25 Honeywell International Inc. Encapsulated composite backing plate
JP6672595B2 (ja) 2015-03-17 2020-03-25 凸版印刷株式会社 成膜装置
JP6126648B2 (ja) * 2015-06-26 2017-05-10 田中貴金属工業株式会社 白金合金ターゲット
WO2017053771A1 (en) 2015-09-25 2017-03-30 Applied Materials, Inc. Grooved backing plate for standing wave compensation
US9721764B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Method of producing plasma by multiple-phase alternating or pulsed electrical current
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
CN108884559A (zh) * 2015-11-24 2018-11-23 应用材料公司 用于vhf-rf pvd腔室中的预涂覆的屏蔽物
US10570504B2 (en) 2017-04-26 2020-02-25 International Business Machines Corporation Structure and method to fabricate highly reactive physical vapor deposition target
US11114288B2 (en) * 2019-02-08 2021-09-07 Applied Materials, Inc. Physical vapor deposition apparatus
CN112210763B (zh) * 2019-07-11 2022-05-24 联芯集成电路制造(厦门)有限公司 在晶片上沉积金属层的方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030183506A1 (en) * 2001-04-24 2003-10-02 Ivanov Eugene Y Target and method of optimizing target profile
US20070039818A1 (en) * 2002-04-09 2007-02-22 Fujitsu Limited Method for fabricating a sputtering target
CN1926254A (zh) * 2004-03-01 2007-03-07 日矿金属株式会社 Ni-Pt合金和Ni-Pt合金靶
US20070170052A1 (en) * 2005-11-25 2007-07-26 Applied Materials, Inc. Target for sputtering chamber
US20080308416A1 (en) * 2007-06-18 2008-12-18 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US7611610B2 (en) * 2003-11-18 2009-11-03 Fei Company Method and apparatus for controlling topographical variation on a milled cross-section of a structure

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3855612A (en) * 1972-01-03 1974-12-17 Signetics Corp Schottky barrier diode semiconductor structure and method
JPH0730681Y2 (ja) * 1988-09-19 1995-07-12 日電アネルバ株式会社 スパッタリングカソード
JPH04314857A (ja) * 1991-04-15 1992-11-06 Mitsubishi Kasei Corp スパッタリング装置用バッキングプレート
US5282943A (en) 1992-06-10 1994-02-01 Tosoh Smd, Inc. Method of bonding a titanium containing sputter target to a backing plate and bonded target/backing plate assemblies produced thereby
US5593082A (en) * 1994-11-15 1997-01-14 Tosoh Smd, Inc. Methods of bonding targets to backing plate members using solder pastes and target/backing plate assemblies bonded thereby
US6045670A (en) * 1997-01-08 2000-04-04 Applied Materials, Inc. Back sputtering shield
JP4551561B2 (ja) * 1999-12-28 2010-09-29 株式会社東芝 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット装置
JP4817486B2 (ja) * 2000-09-29 2011-11-16 株式会社東芝 タングステン粉末およびその製造方法ならびにスパッタ・ターゲットおよび切削工具
US20020162741A1 (en) 2001-05-01 2002-11-07 Applied Materials, Inc. Multi-material target backing plate
US6764265B2 (en) 2002-01-07 2004-07-20 Applied Materials Inc. Erosion resistant slit valve
US6822158B2 (en) * 2002-03-11 2004-11-23 Sharp Kabushiki Kaisha Thin-film solar cell and manufacture method therefor
WO2005007920A2 (en) * 2003-07-14 2005-01-27 Tosoh Smd, Inc. Sputtering target assembly having low conductivity backing plate and method of making same
JP4336206B2 (ja) 2004-01-07 2009-09-30 Hoya株式会社 マスクブランクの製造方法、及びマスクブランク製造用スパッタリングターゲット
WO2005074640A2 (en) 2004-02-03 2005-08-18 Honeywell International Inc. Physical vapor deposition target constructions
US20050178653A1 (en) * 2004-02-17 2005-08-18 Charles Fisher Method for elimination of sputtering into the backing plate of a target/backing plate assembly
US8252126B2 (en) * 2004-05-06 2012-08-28 Global Advanced Metals, Usa, Inc. Sputter targets and methods of forming same by rotary axial forging
US7550066B2 (en) 2004-07-09 2009-06-23 Applied Materials, Inc. Staggered target tiles
US20060024451A1 (en) 2004-07-30 2006-02-02 Applied Materials Inc. Enhanced magnetic shielding for plasma-based semiconductor processing tool
US7316763B2 (en) 2005-05-24 2008-01-08 Applied Materials, Inc. Multiple target tiles with complementary beveled edges forming a slanted gap therebetween
US20060266639A1 (en) 2005-05-24 2006-11-30 Applied Materials, Inc. Sputtering target tiles having structured edges separated by a gap
US7550055B2 (en) 2005-05-31 2009-06-23 Applied Materials, Inc. Elastomer bonding of large area sputtering target
US20060289305A1 (en) 2005-06-27 2006-12-28 Applied Materials, Inc. Centering mechanism for aligning sputtering target tiles
US8097133B2 (en) 2005-07-19 2012-01-17 Applied Materials, Inc. Evacuable magnetron chamber
US7811411B2 (en) 2005-08-09 2010-10-12 Applied Materials, Inc. Thermal management of inductively coupled plasma reactors
US7588668B2 (en) 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056845A1 (en) 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
EP2039797B1 (en) * 2006-06-29 2012-08-29 JX Nippon Mining & Metals Corporation Sputtering target/backing plate conjunction element
US7476289B2 (en) 2006-06-29 2009-01-13 Applied Materials, Inc. Vacuum elastomer bonding apparatus and method
US7789993B2 (en) 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US20080188090A1 (en) 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US20080293336A1 (en) 2007-05-21 2008-11-27 Applied Materials, Inc. Methods and apparatus to control substrate bevel and edge polishing profiles of films
US20090025636A1 (en) 2007-07-27 2009-01-29 Applied Materials, Inc. High profile minimum contact process kit for hdp-cvd application
US8108981B2 (en) 2007-07-31 2012-02-07 Applied Materials, Inc. Method of making an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) 2007-07-31 2015-12-01 Applied Materials, Inc. Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US7848076B2 (en) 2007-07-31 2010-12-07 Applied Materials, Inc. Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
US8252410B2 (en) 2007-09-05 2012-08-28 Applied Materials, Inc. Ceramic cover wafers of aluminum nitride or beryllium oxide
US7901552B2 (en) * 2007-10-05 2011-03-08 Applied Materials, Inc. Sputtering target with grooves and intersecting channels
WO2009082763A2 (en) 2007-12-25 2009-07-02 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
US20090258162A1 (en) 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
US8409355B2 (en) 2008-04-24 2013-04-02 Applied Materials, Inc. Low profile process kit
US9222172B2 (en) 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8133368B2 (en) 2008-10-31 2012-03-13 Applied Materials, Inc. Encapsulated sputtering target
WO2010065473A2 (en) 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US9752228B2 (en) 2009-04-03 2017-09-05 Applied Materials, Inc. Sputtering target for PVD chamber
KR101841236B1 (ko) 2009-04-03 2018-03-22 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US20100288631A1 (en) 2009-05-12 2010-11-18 Solar Applied Materials Technology Corp. Ceramic sputtering target assembly and a method for producing the same
US20110036709A1 (en) 2009-08-11 2011-02-17 Applied Materials, Inc. Process kit for rf physical vapor deposition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030183506A1 (en) * 2001-04-24 2003-10-02 Ivanov Eugene Y Target and method of optimizing target profile
US20070039818A1 (en) * 2002-04-09 2007-02-22 Fujitsu Limited Method for fabricating a sputtering target
US7611610B2 (en) * 2003-11-18 2009-11-03 Fei Company Method and apparatus for controlling topographical variation on a milled cross-section of a structure
CN1926254A (zh) * 2004-03-01 2007-03-07 日矿金属株式会社 Ni-Pt合金和Ni-Pt合金靶
US20070170052A1 (en) * 2005-11-25 2007-07-26 Applied Materials, Inc. Target for sputtering chamber
US20080308416A1 (en) * 2007-06-18 2008-12-18 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106057623A (zh) * 2015-04-13 2016-10-26 朗姆研究公司 减少缘于有含铜合金部件处理室的铜污染的系统和方法
CN107086169A (zh) * 2016-02-12 2017-08-22 朗姆研究公司 等离子体源的室构件和有移动衬底c形环的升降销的基座
CN107086169B (zh) * 2016-02-12 2020-09-08 朗姆研究公司 等离子体源的室构件和有移动衬底c形环的升降销的基座
CN108690961A (zh) * 2017-04-06 2018-10-23 北京北方华创微电子装备有限公司 磁控溅射组件、磁控溅射腔室及磁控溅射设备
CN109385608A (zh) * 2017-08-08 2019-02-26 宁波江丰电子材料股份有限公司 靶材组件及其制造方法
TWI688039B (zh) * 2017-11-21 2020-03-11 美商瓦特洛威電子製造公司 具有原子保護層之陶瓷台座
CN112654729A (zh) * 2018-08-13 2021-04-13 霍尼韦尔国际公司 具有薄的高纯度涂层的溅射捕集器及其制造方法
CN110684950A (zh) * 2018-08-28 2020-01-14 住华科技股份有限公司 溅射靶材及其使用方法
US11981991B2 (en) 2023-01-09 2024-05-14 Honeywell International Inc. Sputter trap having a thin high purity coating layer and method of making the same

Also Published As

Publication number Publication date
US8968537B2 (en) 2015-03-03
JP2014508222A (ja) 2014-04-03
CN103348037B (zh) 2016-01-20
US20120199469A1 (en) 2012-08-09
TWI540216B (zh) 2016-07-01
TW201243078A (en) 2012-11-01
JP6130304B2 (ja) 2017-05-17
WO2012109069A3 (en) 2013-01-03
KR20140044306A (ko) 2014-04-14
KR101938851B1 (ko) 2019-01-15
WO2012109069A2 (en) 2012-08-16

Similar Documents

Publication Publication Date Title
CN103348037A (zh) 具有受保护的背板的pvd溅射靶
US8968536B2 (en) Sputtering target having increased life and sputtering uniformity
US11049761B2 (en) Shutter disk for physical vapor deposition chamber
JP5554465B2 (ja) パーティクルの発生を削減するためのプロセスキットの設計
CN101787519B (zh) 用于衬底处理腔室的工艺配件
CN1982501B (zh) 用于溅射腔室的靶材和工艺套件组件
EP1092050B1 (en) Contoured sputtering target
EP1314795B1 (en) Sputtering target producing few particles
KR20060136340A (ko) 입자 발생을 감소시키는 프로세스 키트 구조
WO2003058672A1 (en) Method of fabricating a coated process chamber component
KR101971343B1 (ko) 성막 장치, 성막 제품의 제조 방법 및 전자 부품의 제조 방법
CN108914073A (zh) 具有背部冷却槽的溅射靶材
WO2006127221A2 (en) Sputtering target tiles having structured edges separated by a gap
KR20160142413A (ko) Pvd 챔버용 스퍼터링 타겟
TWI567216B (zh) 供濺鍍沉積的微型可旋轉式濺鍍裝置
WO2010033904A2 (en) Shutter disk for physical vapor deposition chamber
US11251024B2 (en) Coating for chamber particle reduction
JP2013185212A (ja) バッキングプレート及びその使用方法並びにスパッタリング装置
JP2005256086A (ja) 物理的気相成膜装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant