CN107863307A - 用于减少在抽吸排气系统中流出物积聚的系统和方法 - Google Patents

用于减少在抽吸排气系统中流出物积聚的系统和方法 Download PDF

Info

Publication number
CN107863307A
CN107863307A CN201710822517.2A CN201710822517A CN107863307A CN 107863307 A CN107863307 A CN 107863307A CN 201710822517 A CN201710822517 A CN 201710822517A CN 107863307 A CN107863307 A CN 107863307A
Authority
CN
China
Prior art keywords
gas
flow rate
process chamber
exhaust system
pump
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710822517.2A
Other languages
English (en)
Other versions
CN107863307B (zh
Inventor
安东尼奥·泽维尔
史蒂文·戈扎
拉梅什·钱德拉赛卡兰
阿德里安·拉瓦伊
约瑟夫·内史密斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202310877658.XA priority Critical patent/CN117165920A/zh
Publication of CN107863307A publication Critical patent/CN107863307A/zh
Application granted granted Critical
Publication of CN107863307B publication Critical patent/CN107863307B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Treating Waste Gases (AREA)

Abstract

本发明提供了用于减少在抽吸排气系统中流出物积聚的系统和方法,一种用于减少衬底处理系统的抽吸排气系统中的流出物积聚的方法包括:在衬底处理工艺期间,将衬底布置在处理室中的衬底支撑件上;将一种或多种处理气体供给至所述处理室;将惰性稀释气体以第一流率供给到所述抽吸排气系统;在所述处理室中对所述衬底进行衬底处理;使用抽吸排气系统从所述处理室排出反应物。所述方法包括:在所述衬底处理工艺后,在清洁工艺期间在所述处理室中供给包括清洁气体的清洁等离子体;以及在所述清洁工艺期间将所述惰性稀释气体以比所述第一流率小的第二流率供给到所述抽吸排气系统。

Description

用于减少在抽吸排气系统中流出物积聚的系统和方法
技术领域
本公开涉及衬底处理系统,更具体地涉及衬底处理系统的抽吸排气系统。
背景技术
这里提供的背景描述是为了一般地呈现本公开的背景的目的。在该背景技术部分中描述的程度上的目前提名的发明人的工作和在申请时可能无资格另外作为现有技术的描述的方面既未清楚地,也未隐含地被承认作为针对本公开的现有技术。
衬底处理系统可用于执行衬底处理,例如在衬底上沉积膜或蚀刻在衬底上的膜。衬底处理系统通常包括具有在内部布置的衬底支撑件(例如基座、卡盘、板等)的处理室。在处理期间,将衬底(例如半导体晶片)布置在衬底支撑件上。可以在处理室中布置诸如喷头之类的气体扩散装置,以根据需要输送和分配处理气体和吹扫气体。
在一些应用中,使用等离子体增强化学气相沉积(PECVD)或等离子体增强原子层沉积(PEALD)来沉积膜。在PEALD期间,执行一个或多个循环以在衬底上沉积膜。每个PEALD循环通常包括前体给料、给料吹扫、RF等离子体给料和RF吹扫步骤。在沉积期间,处理气体可以使用喷头被输送到处理室。在RF等离子体给料期间,将RF功率供给到喷头,并且衬底支撑件接地(或反之亦然)。
反应物的排出可以通过连接到下游泵的入口的排气连接器使用减压抽吸来进行。下游泵的出口被输入到通常包括气体燃烧器和水洗器的减排装置。减排装置的输出通常连接到设施清洗排气系统。
一些处理气体组合在抽吸排气系统的排气管线中形成固体流出物积聚。为了防止固体流出物积聚,将加热的惰性稀释气体注入排气抽吸系统中,并且加热泵和/或排气管线以防止冷凝。然而,随着时间的推移,连接处理室、下游泵和减排装置的排气管线由于流出物积聚而变得越来越被阻塞。结果,该工艺可能不按预期执行,并且由于减少的排气流率,缺陷可能增加。最终,排气管线变得充分阻塞,使得处理室需要脱机(take offline),并且排气管线需要更换或以其他方式进行修理。
一些处理气体组合(如硅前体和氧化剂)在较高的温度和压力下可能更具反应性。因此,通过加热惰性稀释气体、排气管线和泵以防止冷凝而减少排气管线积聚物的方法由于反应速率的增加而不能使用。
发明内容
一种用于减少衬底处理系统的抽吸排气系统中的流出物积聚的方法包括:在衬底处理工艺期间,将衬底布置在处理室中的衬底支撑件上;将一种或多种处理气体供给至所述处理室;将惰性稀释气体以第一流率供给到所述抽吸排气系统;在所述处理室中对所述衬底进行衬底处理;使用抽吸排气系统从所述处理室排出反应物。所述方法包括:在所述衬底处理工艺后,在清洁工艺期间在所述处理室中供给包括清洁气体的清洁等离子体;以及在所述清洁工艺期间将所述惰性稀释气体以比所述第一流率小的第二流率供给到所述抽吸排气系统。
在其他特征中,所述抽吸排气系统包括阀、泵、减排装置以及排气管线,所述排气管线将所述阀连接到所述处理室、将所述泵连接到所述阀、以及将所述减排装置连接到所述泵。
在其他特征中,所述惰性稀释气体被供给在以下中的至少一个:所述阀和所述泵之间;以及所述泵和所述减排装置之间。所述衬底处理工艺包括等离子体增强原子层沉积和等离子体增强化学气相沉积之一。所述一种或多种处理气体包括前体气体、氧化气体和惰性气体。所述前体气体包括硅前体气体。所述氧化剂气体选自包括分子氧和一氧化二氮的组。所述惰性稀释气体包括分子氮。
在其他特征中,所述排气抽吸系统包括具有电阻加热器的泵。在所述清洁工艺期间,所述电阻加热器未激活。
在其它特征中,所述处理气体包括前体气体和氧化剂气体。所述第一流率大于足以防止所述抽吸排气系统中的所述前体气体和所述氧化剂气体燃烧的第一预先确定的流率。如果在所述衬底处理工艺期间使用所述前体气体和所述氧化剂气体,则所述第二流率是在不足以防止所述前体气体和所述氧化剂气体燃烧的第二预先确定的流率。所述第一流率大于或等于所述第二流率的两倍。
在其他特征中,供给所述清洁等离子体包括:在清洁工艺期间将所述清洁气体供给到所述处理室;以及激励所述处理室中的所述清洁等离子体。
在其它特征中,供给所述清洁等离子体包括:远程产生所述清洁等离子体;以及将所述清洁等离子体供给到所述处理室。
具体而言,本发明的一些方面可以阐述如下:
1.一种用于减少衬底处理系统的抽吸排气系统中的流出物积聚的方法,其包括:
在衬底处理工艺期间:
将衬底布置在处理室中的衬底支撑件上;
将一种或多种处理气体供给至所述处理室;
将惰性稀释气体以第一流率供给到所述抽吸排气系统;
在所述处理室中对所述衬底进行衬底处理;以及
使用抽吸排气系统从所述处理室排出反应物;以及
在所述衬底处理工艺后:
在清洁工艺期间在所述处理室中供给包括清洁气体的清洁等离子体;以及
在所述清洁工艺期间将所述惰性稀释气体以比所述第一流率小的第二流率供给到所述抽吸排气系统。
2.根据条款1所述的方法,其中所述抽吸排气系统包括阀、泵、减排装置以及排气管线,所述排气管线将所述阀连接到所述处理室、将所述泵连接到所述阀、以及将所述减排装置连接到所述泵。
3.根据条款2所述的方法,其中所述惰性稀释气体被供给在以下中的至少一个:
所述阀和所述泵之间;以及
所述泵和所述减排装置之间。
4.根据条款2所述的方法,其中所述衬底处理工艺包括等离子体增强原子层沉积和等离子体增强化学气相沉积之一。
5.根据条款1所述的方法,其中所述一种或多种处理气体包括前体气体、氧化气体和惰性气体。
6.根据条款5所述的方法,其中所述前体气体包括硅前体气体。
7.根据条款5所述的方法,其中所述氧化剂气体选自分子氧和一氧化二氮。
8.根据条款1所述的方法,其中所述惰性稀释气体包括分子氮。
9.根据条款1所述的方法,其中:
所述排气抽吸系统包括具有电阻加热器的泵;以及
在所述清洁工艺期间,所述电阻加热器未激活。
10.根据条款1所述的方法,其中:
所述处理气体包括前体气体和氧化剂气体;
所述第一流率大于足以防止所述抽吸排气系统中的所述前体气体和所述氧化剂气体燃烧的第一预先确定的流率;以及
如果在所述衬底处理工艺期间使用所述前体气体和所述氧化剂气体,则所述第二流率是在不足以防止所述前体气体和所述氧化剂气体燃烧的第二预先确定的流率。
11.根据条款1所述的方法,其中所述第一流率大于或等于所述第二流率的两倍。
12.根据条款1所述的方法,其中供给所述清洁等离子体包括:
在清洁工艺期间将所述清洁气体供给到所述处理室;以及
激励所述处理室中的所述清洁等离子体。
13.根据条款1所述的方法,其中供给所述清洁等离子体包括:
远程产生所述清洁等离子体;以及
将所述清洁等离子体供给到所述处理室。
根据详细描述、权利要求和附图,本公开的其他适用领域将变得显而易见。详细描述和具体示例仅意图用于说明的目的,并且不旨在限制本公开的范围。
附图说明
从详细描述和附图将更充分地理解本公开,其中:
图1是包括根据本公开的抽吸排气系统的衬底处理系统的示例的功能框图;
图2是根据本公开的抽吸排气系统的示例的功能框图;
图3是示出根据本公开的用于操作抽吸排气系统的方法的流程图;
图4是示出根据本公开的在清洁期间使用远程等离子体源来操作抽吸排气系统的方法的流程图。
在附图中,附图标记可以重复使用以标识相似和/或相同的元件。
具体实施方式
根据本公开的抽吸排气系统和方法在衬底处理工艺之后进行。例如,衬底处理工艺可以包括使用等离子体增强原子层沉积(PEALD)工艺、等离子体增强化学气相沉积(PECVD)工艺、低压CVD(LPCVD)、炉式ALD、炉式沉积、热ALD或其他衬底处理工艺进行膜沉积。虽然本文描述了膜沉积,但是可以执行其他类型的衬底处理。使用处理气体进行膜沉积。在一些实例中,处理气体包括一种或多种前体气体、氧化剂气体和载体,但可以使用其它处理气体。
如上所述,随着时间的推移,固体流出物积聚在抽吸排气系统的泵和排气管线中。为了减少膜沉积期间流出物的积聚,惰性稀释气体通常被供给到抽吸排气系统以减少排气管线中反应物的分压。在一些实例中,所述惰性稀释气体以比预先确定的流率小的第一流率供给,根据使用的流率、前体类型和氧化剂类型,所述预先确定的流率足以防止排气管线中的燃烧。
当使用包括某些前体和氧化剂气体的沉积处理气体时,在沉积期间不使用泵的电阻加热器以降低反应的可能性。在一些实例中,泵的冷却也使用泵冷却系统进行。
在衬底处理之后,使用清洁工艺清洁处理室的内表面。清洁工艺使用包括氟基气体物质的RF等离子体气体来去除处理室的内表面上的膜积聚。在现有系统中,在沉积工艺和清洁工艺期间,在抽吸排气系统中稀释气体流率保持相同的流率。
根据本公开内容,在清洗工艺期间,供给至抽吸排气系统的惰性稀释气体的第二流率降低到低于在沉积工艺期间使用的第一流率。由于第二流率降低,所以在抽吸排气系统的排气管线中活化氟气体物质的停留时间和分压增加。结果,在清洁工艺期间,排气管线中的固体流出物被蚀刻。
更具体地,在衬底处理期间,惰性稀释气体以第一流率流动,以便减少排气管线中反应物的分压。在一些示例中,第一流率大于预先确定的流率,根据使用的流率、前体类型和氧化剂类型,所述预先确定的流率足以防止排气管线中的燃烧。在一些实例中,以范围从100标准升/分钟(slm)至300slm的流率提供惰性稀释气体。例如,可以使用190slm。
在一些实例中,惰性稀释气体的第二流率小于第一流率。在一些实例中,如果在衬底处理工艺期间使用,则在清洁工艺期间使用的第二流率将不足以防止燃烧。在一些示例中,在清洁工艺期间,第二流率在10slm至90slm的范围内,但可以使用其它流率。
现在参考图1,衬底处理系统10的实例包括具有反应体积的处理室12。虽然示出了具体的处理室示例,但是可以使用其他类型的工艺和/或处理室。可以使用喷头14将处理气体供给到处理室12。在一些示例中,喷头14是吊灯式喷头。二次吹扫气体系统13可以用于在喷头14的上表面和处理室12的顶表面之间注入二次吹扫气体。二次吹扫气体系统13可以包括套环15,套环15围绕喷头的杆布置并包括用于在喷头14和处理室12的顶表面之间横向注入二次吹扫气体的气孔(未示出)。
衬底18(诸如半导体晶片)可以在处理期间布置在衬底支撑件16上。衬底支撑件16可以包括基座、静电卡盘、机械卡盘或其他类型的衬底支撑件。
气体输送系统20可以包括一个或多个气体源22-2、22-2、...和22-N(统称为气体源22),其中N是大于1的整数。阀24-1、24-2、...和24-N(统称为阀24),质量流率控制器26-1、26-2、...和26-N(统称为质量流率控制器26)或其他流率控制装置可以用于可控地将一种或多种气体供给到歧管30,歧管30将气体混合物供给到处理室12。
控制器40可用于(使用一个或多个传感器41)监控诸如温度、压力等工艺参数并且控制工艺定时。控制器40可用于控制处理装置(例如气体输送系统20、衬底支撑件加热器42和/或RF等离子体发生器46)。控制器40还可用于使用抽吸排气系统50从处理室12中抽出反应物。
RF等离子体发生器46选择性地在处理室中产生RF等离子体。RF等离子体发生器46可以是电感型RF等离子体发生器或电容型RF等离子体发生器。在一些示例中,RF等离子体发生器46可以包括RF电源60以及匹配和分配网络64。尽管示出了RF等离子体发生器46连接到喷头14并且衬底支撑件接地或浮置,但是RF等离子体发生器46可以连接到衬底支撑件16,并且喷头14可以接地或浮置。在一些实例中,吹扫气体80可以通过阀82选择性地供给到二次吹扫气体系统13。
在清洁期间,可以将清洁等离子体处理气体供给到处理室,并且等离子体可以如上所述在室中被激励。替代地,远程等离子体源90可以用于将清洁等离子体供给到处理室。在一些实例中,清洁等离子体处理气体或远程清洁等离子体可以包括氟化气体物质(如三氟化氮(NF3)、六氟乙烷(C2F6)或其它氟气物质)。
现在参考图2,更详细地示出了抽吸排气系统50。抽吸排气系统50包括阀110、泵114和减排装置118。在一些实例中,阀110包括节流阀,但是也可以使用其它类型的阀。减排装置118的输出与设施洗涤(scrubbed)排气系统122流体连通。在一些示例中,减排装置118包括用于点燃反应物的气体燃烧器和诸如水洗器之类的洗涤器(均未示出)。在一些示例中,连接处理室、阀110、泵114、减排装置118和设施洗涤排气系统122的排气管线126被加热器128加热以防止排气管线126中的冷凝。在一些实例中,排气管线126被加热到90℃和110℃之间的温度范围。在一些实例中,加热器128包括布置在排气管线126周围的电阻式热交换器。
在沉积和/或清洁期间,惰性稀释气体可以被注入到阀110和泵114之间和/或在泵114和减排装置118之间的排气管线中。注入惰性气体的位置可部分取决于泵114的容量。在一些实例中,当泵114能够处理来自处理室12的气体和要注入的额外惰性稀释气体的流率时,惰性稀释气体仅注入在阀110和泵114之间,并且不注入在泵114和减排装置118之间。
在惰性稀释气体注入阀110和泵114之间的实施方案中,使用质量流量计134和阀138来控制来自气体源130的惰性稀释气体的流动。在一些实例中,惰性稀释气体注入的方向与在阀110和泵114之间的管线126中的流动方向(而不是如图所示的直角)相同。在惰性气体注入泵114和减排装置118之间的实施方案中,使用质量流量计142和阀144来控制来自气体源140的惰性稀释气体的流动。在一些实例中,注入惰性稀释气体的方向与泵114和减排装置118之间的管线126中的流动方向相同,但可以使用其他方向。
在一些实例中,泵114包括用于加热流过泵114的反应物和惰性稀释气体的电阻加热器150。在一些示例中,泵包括冷却系统152,冷却系统152使用泵156将冷却流体154供给到冷却通道158。冷却系统152可用于冷却流经泵114的反应气体和惰性稀释气体。
现在参考图3,示出了用于去除抽吸排气系统50中的流出物积聚的方法200。在208,将衬底布置在处理室12中在衬底支撑件16上。在210处,设置包括处理气体流的工艺参数。例如,通过气体输送系统20和控制器40设定前体气体流率、任选的氧化剂气体流率和载气流率。在214,以第一流率将惰性稀释气体供给至抽吸排气系统。在218处,在处理室中RF等离子体被激励。在222,处理衬底。例如,在沉积时间段期间,将膜沉积在处理室中的衬底上。在226,在沉积时间段后熄灭等离子体。在230,从室排出反应气体。例如,可以通过向处理室供给惰性稀释气体来进行吹扫工艺。在232,去除衬底。
在234,将清洁气体供给到处理室。在一些实例中,清洁气体包括氟气物质和载气。在238,流到抽吸排气系统的惰性稀释气体的流率减少到低于第一流率的第二流率。在242,在处理室中RF等离子体被激励。在246,在预先确定的清洁时间段之后,将RF等离子体熄灭。
现在参考图4,可以使用远程等离子体源修改图3的方法。在232之后,在250,包括氟物质的远程等离子体被供给至处理室持续预先确定的清洁时间段。在252,在执行清洁工艺之前或执行清洁工艺中,流到排气抽吸系统的惰性稀释气体的流率减少到第二流率。在254,当预先确定的清洁时间段结束时,来自远程等离子体源的远程等离子体的供给停止。
在一些实例中,气体输送系统递送包括前体、氧化剂和一种或多种载气的处理气体混合物。在一些实例中,前体气体包括硅前体气体。在一些实例中,氧化剂气体包括一氧化二氮(N2O)或分子氧(O2),并且载气包括氩(Ar),但可以使用其它氧化剂和载气。在一些实例中,清洁气体可以包括氟气物质,如三氟化氮(NF3)、六氟乙烷(C2F6)或其它氟气物质。在一些实例中,供给到抽吸排气系统的惰性稀释气体包括分子氮(N2),但可以使用其它惰性稀释气体。
在晶片处理期间的一些实例中,惰性稀释气体的流率在100-300标准升每分钟(slm)的范围内,以减少排气管线中反应物的分压。在一些实例中,惰性稀释气体在晶片处理期间的流率在150slm至250slm的范围内。在一些实例中,惰性稀释气体在晶片处理期间的流率在170slm至210slm的范围内。在一些实例中,惰性稀释气体在晶片处理期间的流率为190slm。
在一些实例中,惰性稀释气体的流率在10至90标准升每分钟(slm)的范围内,以增加在RF等离子体清洁期间抽吸排气系统50的管线126中氟气的停留时间。在一些实例中,惰性稀释气体在RF等离子体清洁期间的流率为在30至70slm的范围内。在一些实例中,惰性稀释气体在RF等离子体清洁期间的流率在10至30slm的范围内。在一些实例中,惰性稀释气体在RF等离子体清洁期间的流率在60至70slm的范围内。在一些示例中,第一流率大于或等于第二流率的两倍。在一些实例中,第一流率大于或等于第二流率的三倍。
在一些实例中,根据本公开的系统和方法还在处理室的RF等离子体清洁期间降低泵和惰性稀释气体温度以降低反应速率。这用于增加抽吸排气系统中的清洁气体和反应性氟组分的分压和停留时间。在一些实例中,惰性稀释气体在压缩期间被泵加热。在一些实例中,在沉积期间由电阻加热器150提供额外的热量。在一些示例中,电阻加热器150在清洁工艺期间被关闭,和/或冷却系统152用于在RF等离子体清洁期间进一步冷却惰性稀释气体。
在一些示例中,当供给清洁气体时,控制器40启动惰性稀释气体的流率的降低。例如,当供给清洁气体(例如NF3)时,该信号可用于将惰性稀释气体从用于沉积的第一流率或较高流率(例如150sl、190slm或210slm)切换到用于清洁的第二流率或较低流率(例如10slm、20slm、65slm等)。
前面的描述在本质上仅仅是说明性的并且不意在以任何方式限制本公开、其应用或用途。本公开的广泛教导可以以各种形式来实现。因此,虽然本公开包括特定的实施例,但本公开的真实范围不应被如此限制,因为一旦研究附图、说明书和以下权利要求,其它的修改方案就会变得清楚。应当理解的是,方法中的一个或多个步骤可以以不同的顺序(或同时)进行,而不会改变本公开的原理。此外,虽然各实施方式在上面描述为具有某些特征,但相对于本公开的任何实施方式所描述的这些特征中的任何一个或多个可以在任何其它实施方式中实现和/或结合任何其它实施方式中的特征,即使这种结合未明确说明也如此。换言之,所描述的实施方式不是相互排斥的,并且一个或多个实施方式相互的更换方案保持在本公开的范围内。
在元件之间(例如,在模块、电路元件、半导体层等等之间)的空间和功能关系使用各种术语描述,这些术语包括“连接”、“接合”、“耦合”、“相邻”、“紧接”、“在……顶部”、“在……上面”、“在……下面”和“被设置”。除非明确地描述为“直接”,否则当第一和第二元件之间的关系在上述公开内容中描述时,这种关系可以是直接的关系,其中没有其它中间元件存在于第一和第二元件之间,但也可以是间接的关系,其中一个或多个中间元件(或在空间上或功能上)存在于第一和第二元件之间。如本文所用的,短语“A、B和C中的至少一个”应当解释为意味着使用非排他逻辑“或”的逻辑(A或B或C),并且不应当被解释为是指“至少一个A,至少一个B,和至少一个C”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,其包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制处理气体的输送、温度设置(例如,加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、出入工具和其它传送工具和/或连接到特定系统或与特定系统交互的负载锁的晶片传送。
宽泛地讲,控制器可以被定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式传送到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个层、材料、金属、氧化物、硅、氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方的一部分。
在一些实现方式中,控制器可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是工厂主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路,它们结合以控制室上的工艺。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、群集工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。

Claims (10)

1.一种用于减少衬底处理系统的抽吸排气系统中的流出物积聚的方法,其包括:
在衬底处理工艺期间:
将衬底布置在处理室中的衬底支撑件上;
将一种或多种处理气体供给至所述处理室;
将惰性稀释气体以第一流率供给到所述抽吸排气系统;
在所述处理室中对所述衬底进行衬底处理;以及
使用抽吸排气系统从所述处理室排出反应物;以及
在所述衬底处理工艺后:
在清洁工艺期间在所述处理室中供给包括清洁气体的清洁等离子体;以及
在所述清洁工艺期间将所述惰性稀释气体以比所述第一流率小的第二流率供给到所述抽吸排气系统。
2.根据权利要求1所述的方法,其中所述抽吸排气系统包括阀、泵、减排装置以及排气管线,所述排气管线将所述阀连接到所述处理室、将所述泵连接到所述阀、以及将所述减排装置连接到所述泵。
3.根据权利要求2所述的方法,其中所述惰性稀释气体被供给在以下中的至少一个:
所述阀和所述泵之间;以及
所述泵和所述减排装置之间。
4.根据权利要求2所述的方法,其中所述衬底处理工艺包括等离子体增强原子层沉积和等离子体增强化学气相沉积之一。
5.根据权利要求1所述的方法,其中所述一种或多种处理气体包括前体气体、氧化气体和惰性气体。
6.根据权利要求5所述的方法,其中所述前体气体包括硅前体气体。
7.根据权利要求5所述的方法,其中所述氧化剂气体选自分子氧和一氧化二氮。
8.根据权利要求1所述的方法,其中所述惰性稀释气体包括分子氮。
9.根据权利要求1所述的方法,其中:
所述排气抽吸系统包括具有电阻加热器的泵;以及
在所述清洁工艺期间,所述电阻加热器未激活。
10.根据权利要求1所述的方法,其中:
所述处理气体包括前体气体和氧化剂气体;
所述第一流率大于足以防止所述抽吸排气系统中的所述前体气体和所述氧化剂气体燃烧的第一预先确定的流率;以及
如果在所述衬底处理工艺期间使用所述前体气体和所述氧化剂气体,则所述第二流率是在不足以防止所述前体气体和所述氧化剂气体燃烧的第二预先确定的流率。
CN201710822517.2A 2016-09-13 2017-09-13 用于减少在抽吸排气系统中流出物积聚的系统和方法 Active CN107863307B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310877658.XA CN117165920A (zh) 2016-09-13 2017-09-13 用于减少在抽吸排气系统中流出物积聚的系统和方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/263,838 US11332824B2 (en) 2016-09-13 2016-09-13 Systems and methods for reducing effluent build-up in a pumping exhaust system
US15/263,838 2016-09-13

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310877658.XA Division CN117165920A (zh) 2016-09-13 2017-09-13 用于减少在抽吸排气系统中流出物积聚的系统和方法

Publications (2)

Publication Number Publication Date
CN107863307A true CN107863307A (zh) 2018-03-30
CN107863307B CN107863307B (zh) 2023-08-08

Family

ID=61559644

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201710822517.2A Active CN107863307B (zh) 2016-09-13 2017-09-13 用于减少在抽吸排气系统中流出物积聚的系统和方法
CN202310877658.XA Pending CN117165920A (zh) 2016-09-13 2017-09-13 用于减少在抽吸排气系统中流出物积聚的系统和方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202310877658.XA Pending CN117165920A (zh) 2016-09-13 2017-09-13 用于减少在抽吸排气系统中流出物积聚的系统和方法

Country Status (6)

Country Link
US (2) US11332824B2 (zh)
JP (1) JP2018050041A (zh)
KR (2) KR102425423B1 (zh)
CN (2) CN107863307B (zh)
SG (2) SG10202102425UA (zh)
TW (1) TWI777975B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108591826A (zh) * 2018-04-23 2018-09-28 睿力集成电路有限公司 气体处理系统及处理方法
CN110453196A (zh) * 2018-05-08 2019-11-15 Asm知识产权私人控股有限公司 薄膜形成方法及衬底处理装置

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016182648A1 (en) * 2015-05-08 2016-11-17 Applied Materials, Inc. Method for controlling a processing system
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system
US10889891B2 (en) 2018-05-04 2021-01-12 Applied Materials, Inc. Apparatus for gaseous byproduct abatement and foreline cleaning
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20220181128A1 (en) * 2019-03-11 2022-06-09 Lam Research Corporation Apparatus for cleaning plasma chambers
US20210071296A1 (en) * 2019-09-06 2021-03-11 Asm Ip Holding B.V. Exhaust component cleaning method and substrate processing apparatus including exhaust component
US11779949B2 (en) * 2019-10-30 2023-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor process chamber contamination prevention system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050142895A1 (en) * 2002-09-19 2005-06-30 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US20060249077A1 (en) * 2005-05-09 2006-11-09 Kim Daeyoun Multiple inlet atomic layer deposition reactor
US20100213050A1 (en) * 2009-02-17 2010-08-26 Mcalister Roy E Apparatus and method for controlling nucleation during electrolysis
US20130094090A1 (en) * 2010-06-24 2013-04-18 Canon Kabushiki Kaisha Coating liquid, method for manufacturing optical component, and photographic optical system
CN105316653A (zh) * 2014-06-06 2016-02-10 朗姆研究公司 用rf等离子体循环和清洗去除处理室颗粒的系统和方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3125207B2 (ja) * 1995-07-07 2001-01-15 東京エレクトロン株式会社 真空処理装置
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
DE19959814B4 (de) * 1999-12-11 2005-09-15 Benteler Ag Achsträger für Kraftfahrzeuge
JP2001185539A (ja) * 1999-12-24 2001-07-06 Toshiba Corp ガス回収システムおよびガス回収方法
US20020185067A1 (en) * 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6896764B2 (en) * 2001-11-28 2005-05-24 Tokyo Electron Limited Vacuum processing apparatus and control method thereof
JP3527915B2 (ja) * 2002-03-27 2004-05-17 株式会社ルネサステクノロジ Cvd装置およびそれを用いたcvd装置のクリーニング方法
KR100479627B1 (ko) * 2002-05-25 2005-04-06 유니셈 주식회사 폐가스 처리용 습식 전처리 장치 및 그 전처리 방법
KR100447284B1 (ko) * 2002-07-19 2004-09-07 삼성전자주식회사 화학기상증착 챔버의 세정 방법
US7659203B2 (en) * 2005-03-18 2010-02-09 Applied Materials, Inc. Electroless deposition process on a silicon contact
GB0505674D0 (en) * 2005-03-22 2005-04-27 Boc Group Plc Trap device
KR101185757B1 (ko) * 2005-06-20 2012-09-25 고에키자이단호진 고쿠사이카가쿠 신고우자이단 층간 절연막 및 배선 구조와 그것들의 제조 방법
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
US20080081130A1 (en) * 2006-09-29 2008-04-03 Applied Materials, Inc. Treatment of effluent in the deposition of carbon-doped silicon
EP2150360A4 (en) * 2007-05-25 2013-01-23 Applied Materials Inc METHOD AND DEVICE FOR EFFICIENT OPERATION OF A REDUCTION SYSTEM
US20090017206A1 (en) * 2007-06-16 2009-01-15 Applied Materials, Inc. Methods and apparatus for reducing the consumption of reagents in electronic device manufacturing processes
KR20090001030A (ko) * 2007-06-29 2009-01-08 삼성전자주식회사 반도체 제조설비
JP2010539730A (ja) * 2007-09-18 2010-12-16 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン含有膜を形成する方法
US20100119420A1 (en) * 2008-11-07 2010-05-13 Applied Materials, Inc. Abatement system having enhanced effluent scrub and moisture control
US8747762B2 (en) * 2009-12-03 2014-06-10 Applied Materials, Inc. Methods and apparatus for treating exhaust gas in a processing system
JPWO2012014497A1 (ja) * 2010-07-30 2013-09-12 Jx日鉱日石エネルギー株式会社 排ガス処理システム
KR101597008B1 (ko) * 2010-08-05 2016-02-23 가부시키가이샤 에바라 세이사꾸쇼 배기 시스템
US20130237063A1 (en) * 2012-03-09 2013-09-12 Seshasayee Varadarajan Split pumping method, apparatus, and system
US9029264B2 (en) * 2012-03-14 2015-05-12 Applied Materials, Inc. Methods for depositing a tin-containing layer on a substrate
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
JP6061545B2 (ja) * 2012-08-10 2017-01-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
TWI524388B (zh) * 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9230780B2 (en) * 2014-03-06 2016-01-05 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source
US10157755B2 (en) * 2015-10-01 2018-12-18 Lam Research Corporation Purge and pumping structures arranged beneath substrate plane to reduce defects
JP6924775B2 (ja) * 2016-04-26 2021-08-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 排気堆積物の除去のための温度制御された遠隔プラズマ洗浄
US11332824B2 (en) * 2016-09-13 2022-05-17 Lam Research Corporation Systems and methods for reducing effluent build-up in a pumping exhaust system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050142895A1 (en) * 2002-09-19 2005-06-30 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US20060249077A1 (en) * 2005-05-09 2006-11-09 Kim Daeyoun Multiple inlet atomic layer deposition reactor
US20100213050A1 (en) * 2009-02-17 2010-08-26 Mcalister Roy E Apparatus and method for controlling nucleation during electrolysis
US20130094090A1 (en) * 2010-06-24 2013-04-18 Canon Kabushiki Kaisha Coating liquid, method for manufacturing optical component, and photographic optical system
CN105316653A (zh) * 2014-06-06 2016-02-10 朗姆研究公司 用rf等离子体循环和清洗去除处理室颗粒的系统和方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108591826A (zh) * 2018-04-23 2018-09-28 睿力集成电路有限公司 气体处理系统及处理方法
CN110453196A (zh) * 2018-05-08 2019-11-15 Asm知识产权私人控股有限公司 薄膜形成方法及衬底处理装置
CN110453196B (zh) * 2018-05-08 2021-11-30 Asm知识产权私人控股有限公司 薄膜形成方法及衬底处理装置

Also Published As

Publication number Publication date
SG10201707317RA (en) 2018-04-27
KR102425423B1 (ko) 2022-07-25
US20220259725A1 (en) 2022-08-18
TWI777975B (zh) 2022-09-21
TW201825776A (zh) 2018-07-16
KR20220106941A (ko) 2022-08-01
US11332824B2 (en) 2022-05-17
SG10202102425UA (en) 2021-04-29
KR102549682B1 (ko) 2023-06-29
JP2018050041A (ja) 2018-03-29
KR20180029865A (ko) 2018-03-21
CN107863307B (zh) 2023-08-08
CN117165920A (zh) 2023-12-05
US20180073137A1 (en) 2018-03-15

Similar Documents

Publication Publication Date Title
CN107863307A (zh) 用于减少在抽吸排气系统中流出物积聚的系统和方法
US10176984B2 (en) Selective deposition of silicon oxide
JP2018011050A (ja) ギャップ充填時の蒸着およびエッチングのための装置および方法
CN105428194A (zh) 抑制寄生等离子体和减少晶片内非均匀性的系统和方法
WO2020069206A1 (en) Vacuum pump protection against deposition byproduct buildup
CN106356285B (zh) 经化学品受控分离和输送实现低缺陷处理的系统和方法
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
CN105603390B (zh) 具有主动冷却型格栅的气体分配装置
US10323323B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
CN107460449A (zh) 用于增强填充物和减少衬底撞击的原子层沉积
TWI751970B (zh) 因具有由包含銅之合金構成的元件之基板處理室所產生的銅汙染之降低系統及方法
CN106298499B (zh) 硼掺杂碳的硬掩膜层的选择性去除
WO2020112923A1 (en) Throughput improvement with interval conditioning purging
US20220181128A1 (en) Apparatus for cleaning plasma chambers
CN110537244A (zh) 以高沉积速率沉积具有低压应力、高的膜稳定性和低收缩率的原硅酸四乙酯厚膜的方法
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法
TW201945087A (zh) 使用並行的原位及遠程電漿源之快速腔室清潔

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant