CN105428194A - 抑制寄生等离子体和减少晶片内非均匀性的系统和方法 - Google Patents

抑制寄生等离子体和减少晶片内非均匀性的系统和方法 Download PDF

Info

Publication number
CN105428194A
CN105428194A CN201510573406.3A CN201510573406A CN105428194A CN 105428194 A CN105428194 A CN 105428194A CN 201510573406 A CN201510573406 A CN 201510573406A CN 105428194 A CN105428194 A CN 105428194A
Authority
CN
China
Prior art keywords
shower nozzle
lining treatment
ring
bar portion
treatment systems
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510573406.3A
Other languages
English (en)
Other versions
CN105428194B (zh
Inventor
康胡
阿德里安·拉瓦伊
尚卡·斯瓦米纳坦
钱俊
克洛伊·巴尔达赛罗尼
弗兰克·帕斯夸里
安德鲁·杜瓦尔
特德·明肖尔
詹尼弗·彼得拉利亚
卡尔·利瑟
大卫·史密斯
塞沙·瓦拉达拉简
爱德华·奥古斯蒂尼克
道格拉斯·凯尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN105428194A publication Critical patent/CN105428194A/zh
Application granted granted Critical
Publication of CN105428194B publication Critical patent/CN105428194B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及抑制寄生等离子体和减少晶片内非均匀性的系统和方法。用于在衬底上沉积膜的衬底处理系统包括限定了反应体积的工艺室。喷头包括一端邻近工艺室的上表面连接的杆部。基部被连接到杆部的另一端,并从杆部径向向外延伸。喷头被配置为将工艺气体和清扫气体中的至少一种引入到反应体积中。等离子体发生器被配置为在反应体积内有选择地生成RF等离子体。边缘调整系统包括环和位于在环和喷头的上表面之间的杆部周围的寄生等离子体减少元件。寄生等离子体减少元件被配置为减少在喷头和工艺室的上表面之间的寄生等离子体。

Description

抑制寄生等离子体和减少晶片内非均匀性的系统和方法
相关申请的交叉引用
本申请要求申请日为2014年9月12日、美国临时申请号为No.62/049767的权益。通过引用将以上引用的申请的全部公开内容并入本文。
技术领域
本发明涉及衬底处理系统,更具体地,涉及抑制寄生等离子体和减少晶片内非均匀性的系统和方法。
背景技术
本文提供的背景描述是出于一般性地呈现本公开的上下文的目的。当前提名的发明人的工作在本背景部分中所述的程度上以及可能在提交申请时无法以其它方式有资格作为现有技术的本说明书中的各方面的工作,既不明确也不暗示地承认其作为本公开的现有技术。
衬底处理系统可被用于在衬底上执行膜沉积。衬底处理系统通常包括限定了反应体积的工艺室。诸如基座、夹头、板等衬底支撑件被布置在工艺室中。诸如半导体晶片之类的衬底可以被布置在衬底支撑件上。
在一些应用中,用等离子体增强化学气相沉积(PECVD)或等离子体增强原子层沉积(PEALD)来沉积膜。在PEALD期间,进行一个或多个PEALD循环,以将膜沉积在衬底上。每一个PEALD循环典型地包括前体投配、投配清扫、RF等离子体投配和RF清扫的步骤。
在沉积过程中,可使用喷头将工艺气体输送到工艺室中。在RF等离子体投配期间,被提供至喷头和衬底支撑的射频电源被接地(或反之亦然)。在PEALD期间,在衬底上发生前体的等离子体增强的转换。
在投配清扫和RF清扫步骤期间,通过喷头提供诸如氩气之类的惰性气体。另外,可以在一些或全部PEALD步骤中在喷头上方执行次级清扫,以防止在诸如喷头背侧、工艺室的顶板和/或工艺室的壁上等偏远地区发生不希望有的沉积。
当氩气作为次级清扫气体用于诸如双图案化之类的一些无氮应用时,寄生等离子体可能会在喷头的后面发生。由寄生等离子体消耗的功率可以高达送到工艺室的总等离子体功率的40%。在衬底上的输送的功率损耗通常会导致具有升高的蚀刻率的宽松膜。寄生等离子体感应功率损耗通常在整个喷头上是不均匀的。更具体地说,与喷头的中央部分相比,较高的功率损耗发生在喷头的边缘,这是由于在杆部部分提供的RF功率导致的。
在衬底的中心沉积的膜比在衬底的边缘处沉积的膜致密。其结果是,该膜具有边缘厚的外形和在衬底内的高非均匀性,这对于在双图案化应用中的关键尺寸(CD)一致性控制是不利的。此外,操作具有高寄生等离子体的衬底处理系统趋于导致具有晶片到晶片重复性、工具漂移、工艺缺陷性能和喷头组件侵蚀方面的长期问题。
发明内容
一种用于在衬底上沉积膜的衬底处理系统包括限定反应体积的工艺室。喷头包括一端与所述工艺室的上表面邻近连接的杆部。基部被连接到杆部的另一端并从所述杆部径向向外延伸。所述喷头被配置为将工艺气体和清扫气体中的至少一种引入到所述反应体积。等离子体发生器被配置为在所述反应体积内有选择地生成RF等离子体。边缘调整系统包括配置在所述喷头的位于所述喷头的所述基部和所述工艺室的所述上表面之间的所述杆部周围的环。环包括一个或多个孔,以用于从所述环的内腔提供清扫气体到在所述喷头的所述基部和所述工艺室的所述上表面之间的区域。清扫气体是反应物气体。寄生等离子体减少元件位于在所述环和所述喷头的上表面之间的所述杆部周围并被配置为减少在所述喷头和所述工艺室的所述上表面之间的寄生等离子体。
在另一些特征中,所述环具有大致为“T”形的横截面。所述一个或多个孔被布置为与所述喷头的所述杆部垂直。所述环包括一个或多个突起,以提供在所述环的所述内腔和所述杆部的外表面之间的均匀间距。所述寄生等离子体减少元件包括用陶瓷材料制成的喷头盖。所述喷头盖具有覆盖所述喷头的所述上表面和所述喷头的侧面的、大致为“C”形的横截面。所述喷头盖具有在3/8”(英寸)和1英寸之间的厚度。间隔物被布置在所述喷头盖和所述喷头的上表面之间。所述间隔物具有在1/4英寸和1/2英寸之间的厚度。
在另一些特征中,所述喷头盖包括覆盖所述喷头的所述上表面和所述喷头的侧面的、具有大致为“C”形的横截面的第一部分和从所述第一部分的在垂直于所述衬底的平面内的相对的端部径向向外延伸的第二部分。所述喷头盖具有在1/16英寸和1/4英寸之间的厚度。间隔物被布置在所述喷头盖和所述喷头的上表面之间。所述间隔物具有在1/4英寸和3/4英寸之间的厚度。所述寄生等离子体减少元件包括被布置为在所述喷头的上表面和所述环之间的、呈间隔关系的多个板。
在其它特征中,所述寄生等离子体减少元件还包括布置在所述多个板中的相邻板之间的间隔件。所述多个板中的每一个包括比所述杆部的外径大的中心开口,以允许清扫气体从所述环通过所述板的所述中心开口流动和在所述板之间流动。插入件被布置在所述多个板和所述杆部之间。所述插入件由聚酰亚胺制成。
在其它特征中,所述插入件包括杆部和环形基部。该杆部被配置为与所述喷头的杆部相邻并接触。所述环形基部从所述插入件的喷头侧部分向外延伸。
在其它特征中,所述环包括:布置为邻近所述杆部的内环;布置为围绕所述内环的上部的上外环;和布置为围绕所述内环的下部的下外环。所述寄生等离子体减少元件包括被布置为在所述喷头的上表面和所述环之间的、呈间隔关系的多个板。所述多个板包括具有螺纹的中心开口。所述下外环包括在径向具有螺纹的外表面,并且其中所述多个板被拧到下外环上。
在其它特征中,所述内环包括与在所述上外环和下外环之间的空间对齐的多个孔,并且其中清扫气体流过所述内喷头的所述多个孔。所述多个板包括沿着所述中央开口的切口,以允许清扫气体在多个板之间流动。
在其它特征中,所述内环包括沿其喷头侧一端的开口,以允许清扫气体在所述板和所述喷头之间流动。
在其它特征中,所述反应物气体是从包括氧分子、氢分子、氮分子、一氧化二氮和氨的组中选择的。所述反应物气体包含氧分子,而所述膜包括二氧化硅。所述反应物气体包含一氧化二氮,而所述膜包括二氧化硅。所述反应物气体包含氧分子,而所述膜包括二氧化钛。所述反应物气体包含一氧化二氮,而所述膜包括二氧化钛。所述反应物气体包含氮分子,而所述膜包括氮化硅。所述反应物气体包含氨分子,而所述膜包括氮化硅。
一种用于在衬底上沉积膜的衬底处理系统包括限定反应体积的工艺室。喷头包括一端与所述工艺室的上表面邻近连接的杆部。基部被连接到杆部的另一端并从所述杆部径向向外延伸。所述喷头被配置为将工艺气体和清扫气体中的至少一种引入到所述反应体积。等离子体发生器被配置为在所述反应体积内有选择地生成RF等离子体。边缘调整系统包括配置在所述喷头的位于所述喷头的所述基部和所述工艺室的所述上表面之间的所述杆部周围的环。所述环包括一个或多个孔,以用于从所述环的内腔提供清扫气体到在所述喷头的所述基部和所述工艺室的所述上表面之间的区域。寄生等离子体减少元件位于在所述环和所述喷头的上表面之间的所述杆部周围,并被配置为减少在所述喷头和所述工艺室的所述上表面之间的寄生等离子体。所述寄生等离子体减少元件包括被布置为在所述喷头的上表面和所述环之间、呈间隔关系的多个板。
通过详细描述、权利要求书和附图,本公开的应用的更广的范围将变得显而易见。详细描述和具体例子仅意在于说明,而并非意在限制本公开的范围。
附图说明
通过详细描述和附图,本公开将变得能得到更充分的理解,在附图中:
图1是根据本发明的具有边缘调整系统以减少寄生等离子体的衬底处理系统的一个例子的功能框图;
图2是根据本发明的第一边缘调整系统的一个例子的剖面图。
图3A是第一边缘调整系统的放大剖视图;
图3B是板的一个例子的俯视图;
图4A和图4B是内环的一个例子的透视图;
图5是根据本发明的第二边缘调整系统的一个例子的剖面图;
图6是根据本发明的第三边缘调整系统的一个例子的剖面图;
图7A和图7B是根据本发明的第四边缘调整系统的一个例子的剖面图;和
图8是示出了各种气体的压强与击穿电压的函数关系曲线图。
在附图中,参考数字可以被重新使用,以确定相似和/或相同的元件。
具体实施方式
本发明涉及用于PECVD和PEALD的、具有边缘调整系统以抑制喷头背后的寄生等离子体和减少衬底内的非均匀性的衬底处理系统。例如在典型的PEALD工艺中,流向喷头的背侧的清扫气体被用于减少在喷头背侧和工艺室的其它偏远地区的不希望有的膜沉积。当氩气被用于诸如双图案化之类的一些应用时,在喷头的顶部表面点燃寄生等离子体,由于寄生诱导的在衬底上的传送功率损耗,这将导致晶片内的高非均匀性和厚的边缘轮廓。本文所描述的系统和方法采用配置在喷头的顶部表面的边缘调整系统以抑制寄生等离子体和减少衬底内的非均匀性。
在一些例子中,边缘调整系统包括布置在杆部分的环和布置在环和喷头的上表面之间的寄生等离子体减少元件的组合。在一些例子中,寄生等离子体减少元件包括喷头盖。在一些例子中,寄生等离子体减少元件包括平行板。
现在参考图1,衬底处理系统10的一个例子包括具有反应体积的工艺室12。工艺气体可以使用喷头14提供给工艺室12。在一些例子中,喷头14是吊灯型喷头。边缘调整系统15被布置在喷头14的上表面与工艺室12的顶部平面之间,以减少寄生等离子体,这将在下面描述。如将在下面进一步描述的那样,边缘调整系统15包括布置在杆部周围的环和布置在所述环和喷头的上表面之间的寄生等离子体减少元件。
在处理过程中,诸如半导体晶片之类的衬底18可设置在衬底支撑件16上。衬底支撑件16可以包括基座、静电吸盘、机械卡盘或其它类型的衬底支撑件。
气体输送系统20可以包括一个或多个气体源22-1、22-2、......和22-N(统称为气体源22),其中N是大于一的整数。阀24-1、24-2、...和24-N(统称气阀24)、质量流量控制器26-1、26-2、...、和26-N(统称为质量流量控制器26),或其它的流量控制设备可被用于可控地为歧管30提供一种或多种气体,歧管30提供气体混合物至工艺室12。
控制器40可以被用于监测诸如温度、压力等工艺参数(使用一个或多个传感器41),并控制工艺时序。控制器40可以被用于控制诸如气体输送系统20、衬底支撑加热器42和/或RF等离子体发生器46等工艺设备。控制器40也可用于使用阀50和泵52以抽空工艺室12。
RF等离子体发生器46产生工艺室中的RF等离子体。RF等离子发生器46可以是感应式RF等离子体发生器或电容式RF等离子体发生器。在一些例子中,RF等离子发生器46可包括RF供应源60和匹配分配网64。虽然RF等离子发生器46被示为连接至喷头14而衬底支撑件接地或浮动,但RF等离子发生器46可以连接到衬底支撑件16,而喷头14可以接地或浮动。在一些例子中,清扫气体80可通过阀82有选择地提供给边缘调整系统15。
现在参考图2-4B,示出了包括第一边缘调整系统152的衬底处理系统150的一个例子。在图2、图3A和图3B中,示出了喷头14的另外的细节,其包括杆19,杆19包括用于从歧管30接收气体混合物的中心腔192。喷头14还包括基部193,其具有底部表面或面对衬底的表面194和顶部表面195。面对衬底的表面194包括多个间隔开的孔196。流经杆190的腔192的工艺气体可能会在进入腔199前撞击分散板198。工艺气体通过多个孔198退出腔199。
第一边缘调整系统152还包括被布置为在杆部190的外径周围的内环212。内环212包括穿过它的一个或多个孔213。杆190穿过内环212的内腔215。上外环216可以具有大体上为“T”形的横截面,并被布置在下外环218之上。上外环216的上部220有助于安装到工艺室的顶部表面222。上外环216的内腔223接纳内环212和喷头14的杆190。下外环218的内腔227也接收内环212和喷头14的杆190。
在一些例子中,上外环216的下部可以限定被布置为邻近被限定在下外环218的上部的第二配合表面232的第一配合表面230。在内环212中的多个孔213可以与在第一配合表面230和第二配合表面232之间形成的间隙233对齐。在操作期间,清扫气体可以通过孔213和间隙233提供。内环212的下部可包括开口252(图3A),以允许清扫气体在环212、下外环218和板236的下方流动。
下外环218的径向外表面可包括螺纹234。边缘调整系统152还包括包括多个板236-1、236-2、...和236-T(统称板236)的寄生等离子体减少元件。板236可包括带有螺纹的内孔237。板236可以拧到下外环218的螺纹234上。板236可以以均匀或不均匀的间距间隔开。在一些例子中,板236以连续增加的间距间隔开,但也可以使用其它间距。在其它例子中,板236以连续减小的间距间隔开,但也可以使用其它间距。在一些例子中,板236包括在带有螺纹的内孔237上形成的一个或多个切口239。切口239允许清扫气体在板236之间流动。
在操作期间,工艺气体或清扫气体流过杆190并进入腔199。工艺气体或清扫气体通过多个孔196分布在整个衬底18上。次级清扫气体在将内环212和杆190之间提供。清扫气体流过孔213,并进入间隙233。清扫气体也流过开口252和在板236之间的切口239。边缘调整系统152有利于减少在包括使用等离子体的步骤期间的寄生等离子体。
在一些例子中,板236由铝制成,尽管也可以使用其它材料。在一些例子中,板236是由Al7075铝制成,尽管也可以使用其它材料。在一些例子中,板236的厚度为0.070英寸至0.110英寸。在一些例子中,板236的厚度为0.090英寸。在一些例子中,板236中的顶部板具有绕内孔237隔开的9个切口239(每个厚0.125英寸),布置在板中的顶部板之下的板具有绕内孔237隔开的15个切口239(每个厚0.125英寸),但可以使用更多的或更少的切口。切口239可以以均匀或者非均匀的模式间隔开。
在图4A和4B中,更详细地示出了内环212。内环212是大致为圆筒形,包括内腔215和在其相对端的第一开口260和第二开口262。内环212的内表面264可以包括径向向内伸出的并且可以延伸内环的长度或内环212的部分长度的一个或多个突起280。一个或多个突起280在内环212和喷头14的杆190的径向外表面之间保持预定间距。
在图4A和图4B中的内环212包括从内表面264延伸到内环212的外表面282的孔213。缺口290可以形成在与内环212的上部相邻的内环212的径向外表面282内。开口252可以由一个或多个圆齿状或弓形部分262定义。例如,在图4中,有四个圆齿状或弓形部分262-1、262-2、262-3和262-4。在一些例子中,在弓形部分262-1和262-2之间的连接部位263比在弓形部分262的其它部位之间的连接处低。内环212可搁置在连接部位263上。
现在参考图5,示出了包括第二边缘调整系统306的衬底处理系统300的一个例子。第二边缘调整系统306包括配置在杆部190周围的环308和包括配置在喷头14的基部193周围的喷头盖320的寄生等离子体减少元件。
环308可包括类似于图2中所示的“T”形的上部以将环308和喷头14固定到工艺室的上表面上。环308包括接收该喷头14的杆部190的中央腔309。环308还包括延伸通过环308的多个孔314。在一些例子中,多个孔314被布置成垂直于杆部190。在操作过程中,清扫气体流过多个孔314和环308的下部之下,以清扫在喷头盖320、喷头14和工艺室的上表面之间的区域。
喷头盖320具有大致为“C”形的横截面,并且包括上表面322、下表面324和用于接收杆部190的中心开口326。中心开口326可以在杆190和喷头盖320之间提供额外空隙,以允许清扫气体在喷头14和喷头盖320之间流动。喷头盖320的径向端部330延伸经过喷头14的基部193的径向外边缘,然后向下。喷头盖320的下部分332可以延伸到喷头14的基部193的下表面194,或稍高于或稍低于喷头14的基部193的下表面194。一个或多个间隔物可被提供,以维持在喷头盖320和喷头14之间的间隔。在一些例子中,喷头盖320由陶瓷制成,但也可使用其它材料。
在一些例子中,喷头盖320具有在3/8英寸和1英寸之间的厚度。在一些例子中,喷头14的径向外端与喷头盖320的内表面隔开0.120英寸。在一些实施例中,间隔件338的厚度为1/4英寸至英寸。在一些例子中,间隔件338的厚度为3/8英寸。
现在参考图6,示出了包括第三边缘调整系统406的衬底处理系统400的一个例子。第三边缘调整系统406包括上文描述的环308和包括喷头盖420的寄生等离子体减少元件。喷头盖420包括包括大致为“C”形的横截面的第一部分,并且包括上表面422、下表面424和用于接收杆部190的中央开口426。喷头盖420的径向外侧端部430延伸越过喷头14的基部193的径向外侧边缘。喷头盖420的下部部分432可延伸到喷头14的基部193的下表面194,或稍高于或稍低于喷头14的基部193的下表面194,然后在如433所示的、与衬底18大致平行的平面内径向向外延伸。可以提供一个或多个间隔件438,以维持在喷头盖420和喷头14之间的间距。
在一些例子中,喷头盖420具有在1/16英寸和1/4英寸之间的厚度。在一些例子中,喷头14的径向外端与喷头盖420的内表面450隔开(例如0.03125英寸),表面452被隔开在喷头14的底部表面194以上(例如0.03125英寸)。在一些例子中,间隔物338具有1/4英寸和英寸之间的厚度。在一些例子中,间隔件338的厚度为1/2英寸。
现在参考图7A和图7B,示出了包括第三边缘调整系统506的衬底处理系统500的一个例子。第三边缘调整系统506包括上述环308和包括多个板514-1、514-2、...、和514-R(可统称板514)的寄生等离子体减少元件。板514可使用间隔件516或其它机制来隔开。
在一些例子中,间隔件516可以由陶瓷制成。在一些例子中,板514由诸如Al7075铝的铝制成,但也可以使用其它材料。在一些例子中,板514的厚度为0.070英寸至0.110英寸。在一些例子中,板514的厚度为0.090英寸。
插入件530可配置在喷头14的杆部190和板514的径向内边缘及环308的腔309之间。在一些例子中,插入件530由如聚酰亚胺基塑料(如)的塑料制成,但也可以使用其它材料。在一些例子中,插入件530可包括环形本体部532和杆部534。环形本体部532从杆部534的下部沿径向向外延伸。在图7B中,板514被示为包括比杆部190较大的开口515。其结果是,清扫气体在板514之间流动。
在一些例子中,本文描述的系统和方法使用反应物气体而不是非反应性或惰性气体进行次级清扫。仅作为示例,在沉积二氧化硅(SiO2)或二氧化钛(TiO2)膜时,氧分子(O2)或一氧化二氮(N2O)可用作二次清扫。仅作为示例,当沉积氮化硅(SiN)膜时,氮分子(N2)或氨(NH3)可用作次级清扫。此外,虽然在本文中具体公开了SiO2和TiO,但本发明涉及包括硅(Si)、铪(Hf)、铝(Al)、钛(Ti)、锆(Zr)等的其它ALD氧化物或氮化物膜。
在一些例子中,氧分子或氢分子被用作次级清扫气体。使用诸如氧分子之类的反应物气体有助于防止在使用氩时所观察到的照亮和/或相关联的空心阴极放电(HCD)特征。在典型的工艺压力下,氩气具有比氧分子低的击穿电压。当用诸如氧分子之类的反应物气体而不是用氩气时,在正面边缘轮廓的厚度变化也被消除了(特别是在凹口处)。
现在参考图8,示出了巴申(Paschen)曲线。在诸如2-10Torr之类的典型的工艺压强下,诸如氩气之类的惰性气体的击穿电压是相对较低的。如可以看到的那样,对于某些工艺压强,氢分子和氮分子的击穿电压是较高的。在一些例子中,次级清扫气体被选择为比在所选择的工艺压强下的氩气具有更高的击穿电压。仅作为示例,当工艺室在2-3Torr的工艺压强下工作时,可以使用氢分子,但在氩具有更高的击穿电压的更高的工艺压强下,可能无法使用氢分子。
在其它特征中,膜使用原子层沉积来沉积。该方法还包括将工艺室保持在2至3Torr的真空压强下。
前文的描述在本质上仅仅是说明性的,并且决不意在限制本发明及其应用或用途。本发明的广泛教导可以以各种形式来实现。因此,虽然本发明包括了特定实施例,但本发明的真实范围不应被如此限制,这是因为,在研究了附图、说明书和以下的权利要求书后,其它的修改将变得显而易见。如本文所用的,短语“A、B和C中的至少一个”应当解释为意味着使用非排他逻辑“或”的逻辑(A或B或C),并且不应当被解释为是指“至少一个A,至少一个B,和至少一个C”。应该理解的是,方法中的一个或多个步骤可以以不改变本公开的原理的不同的顺序(或同时)来执行。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述实例的一部分。这种系统可以包括半导体处理设备,包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流速设置、流体输送设置、位置及操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
宽泛地讲,控制器可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)通信到控制器、定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数的指令。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者fab主机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些实例中,控制器接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室内工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室内的一个或多个集成电路。
在没有限制的情况下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其他的半导体处理系统。
如上所述,取决于由工具执行的一个或多个工艺步骤,控制器可以与一个或多个其它工具电路或模块、其它工具组件、群集工具、其它工具接口、邻近的工具、邻接工具、位于整个工厂的工具、主计算机、另一个控制器或在材料输送中使用的使晶片容器到达和离开半导体制造工厂的工具位置和/或装载口的工具进行通信。

Claims (31)

1.一种用于在衬底上沉积膜的衬底处理系统,其包括:
限定反应体积的工艺室;
喷头,其包括:
杆部,其一端与所述工艺室的上表面邻近连接;和
基部,其被连接到所述杆部的另一端并从所述杆部径向向外延伸,
其中所述喷头被配置为将工艺气体和清扫气体中的至少一种引入到所述反应体积中;
等离子体发生器,其被配置为在所述反应体积内有选择地生成RF等离子体;和
边缘调整系统,其包括:
配置在所述喷头的位于所述喷头的所述基部和所述工艺室的所述上表面之间的所述杆部周围的环,
其中,所述环包括一个或多个孔,用于从所述环的内腔提供清扫气体到在所述喷头的所述基部和所述工艺室的所述上表面之间的区域,其中所述清扫气体是反应物气体;和
位于在所述环和所述喷头的上表面之间的所述杆部周围且被配置为减少在所述喷头和所述工艺室的所述上表面之间的寄生等离子体的寄生等离子体减少元件。
2.如权利要求1所述的衬底处理系统,其中,所述环具有大致为“T”形的横截面,并且其中所述一个或多个孔被布置为与所述喷头的所述杆部垂直。
3.如权利要求1所述的衬底处理系统,其中,所述环包括一个或多个突起,以提供在所述环的所述内腔和所述杆部的外表面之间的均匀间距。
4.如权利要求1所述的衬底处理系统,其中,所述寄生等离子体减少元件包括用陶瓷材料制成的喷头盖。
5.如权利要求4所述的衬底处理系统,其中,所述喷头盖具有覆盖所述喷头的所述上表面和所述喷头的侧面的、大致为“C”形的横截面,且其中所述喷头盖具有在3/8英寸和1英寸之间的厚度。
6.如权利要求5所述的衬底处理系统,其还包括布置在所述喷头盖和所述喷头的上表面之间的间隔物。
7.如权利要求6所述的衬底处理系统,其中,所述间隔物具有在1/4英寸和1/2英寸之间的厚度。
8.如权利要求4所述的衬底处理系统,其中,所述喷头盖具有覆盖所述喷头的所述上表面和所述喷头的侧面的、大致为“C”形的第一部分和从所述第一部分的在垂直于所述衬底的平面内的相对的端部径向向外延伸的第二部分。
9.如权利要求8所述的衬底处理系统,其中,所述喷头盖具有在1/16英寸和1/4英寸之间的厚度。
10.如权利要求8所述的衬底处理系统,其还包括布置在所述喷头盖和所述喷头的上表面之间的间隔物。
11.如权利要求10所述的衬底处理系统,其中,所述间隔物具有在1/4英寸和3/4英寸之间的厚度。
12.如权利要求1所述的衬底处理系统,其中,所述寄生等离子体减少元件包括被布置为在所述喷头的上表面和所述环之间的、呈间隔关系的多个板。
13.如权利要求12所述的衬底处理系统,其中,所述寄生等离子体减少元件还包括布置在所述多个板中的相邻板之间的间隔件。
14.如权利要求12所述的衬底处理系统,其中,所述多个板中的每一个包括比所述杆部的外径大的中心开口,以允许清扫气体从所述环通过所述板的所述中心开口流动以及在所述板之间流动。
15.如权利要求12所述的衬底处理系统,其还包括布置在所述多个板和所述杆部之间的插入件。
16.如权利要求15所述的衬底处理系统,其中,所述插入件由聚酰亚胺制成。
17.如权利要求15所述的衬底处理系统,其中,所述插入件包括杆部和环形基部,其中该杆部被配置为与所述喷头的杆部相邻和接触,且其中所述环形基部从所述插入件的喷头侧部分向外延伸。
18.如权利要求1所述的衬底处理系统,其中,所述环包括:
布置为邻近所述杆部的内环;
布置为围绕所述内环的上部的上外环;以及
布置为围绕所述内环的下部的下外环。
19.如权利要求18所述的衬底处理系统,其中,所述寄生等离子体减少元件包括被布置为在所述喷头的上表面和所述环之间的、呈间隔关系的多个板。
20.如权利要求19所述的衬底处理系统,其中,所述多个板包括具有螺纹的中心开口,其中所述下外环包括在径向具有螺纹的外表面,并且其中所述多个板被拧到所述下外环上。
21.如权利要求20所述的衬底处理系统,其中,所述内环包括与在所述上外环和所述下外环之间的空间对齐的多个孔,并且其中清扫气体流过所述内环的所述多个孔。
22.如权利要求21所述的衬底处理系统,其中,所述多个板包括沿着所述中央开口的切口,以允许清扫气体在所述多个板之间流动。
23.如权利要求21所述的衬底处理系统,其中,所述内环包括沿其喷头侧一端的开口,以允许清扫气体在所述板和所述喷头之间流动。
24.如权利要求1所述的衬底处理系统,其中,所述反应物气体是从包括氧分子、氢分子、氮分子、一氧化二氮和氨的组中选择的。
25.如权利要求1所述的衬底处理系统,其中,所述反应物气体包含氧分子,而所述膜包括二氧化硅。
26.如权利要求1所述的衬底处理系统,其中,所述反应物气体包含一氧化二氮,而所述膜包括二氧化硅。
27.如权利要求1所述的衬底处理系统,其中,所述反应物气体包含氧分子,而所述膜包括二氧化钛。
28.如权利要求1所述的衬底处理系统,其中,所述反应物气体包含一氧化二氮,而所述膜包括二氧化钛。
29.如权利要求1所述的衬底处理系统,其中,所述反应物气体包含氮分子,而所述膜包括氮化硅。
30.如权利要求1所述的衬底处理系统,其中,所述反应物气体包含氨分子,而所述膜包括氮化硅。
31.一种用于在衬底上沉积膜的衬底处理系统,其包括:
限定了反应体积的工艺室;
喷头,其包括:
杆部,其一端与所述工艺室的上表面邻近连接;和
基部,其被连接到所述杆部的另一端并从所述杆部径向向外延伸,
其中所述喷头被配置为将工艺气体和清扫气体中的至少一种引入到所述反应体积中;
等离子体发生器,其被配置为在所述反应体积内有选择地生成RF等离子体;和
边缘调整系统,其包括:
配置在所述喷头的位于所述喷头的所述基部和所述工艺室的所述上表面之间的所述杆部周围的环,
其中,所述环包括一个或多个孔,该一个或多个孔用于从所述环的内腔提供清扫气体到在所述喷头的所述基部和所述工艺室的所述上表面之间的区域;和
位于在所述环和所述喷头的上表面之间的所述杆部周围且被配置为减少在所述喷头和所述工艺室的所述上表面之间的寄生等离子体的寄生等离子体减少元件;
其中,所述寄生等离子体减少元件包括被布置为在所述喷头的上表面和所述环之间的、呈间隔关系的多个板。
CN201510573406.3A 2014-09-12 2015-09-10 抑制寄生等离子体和减少晶片内非均匀性的系统和方法 Active CN105428194B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462049767P 2014-09-12 2014-09-12
US62/049,767 2014-09-12
US14/668,174 2015-03-25
US14/668,174 US9793096B2 (en) 2014-09-12 2015-03-25 Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity

Publications (2)

Publication Number Publication Date
CN105428194A true CN105428194A (zh) 2016-03-23
CN105428194B CN105428194B (zh) 2018-06-01

Family

ID=55455414

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510573406.3A Active CN105428194B (zh) 2014-09-12 2015-09-10 抑制寄生等离子体和减少晶片内非均匀性的系统和方法

Country Status (6)

Country Link
US (3) US9793096B2 (zh)
JP (3) JP6580426B2 (zh)
KR (2) KR102333806B1 (zh)
CN (1) CN105428194B (zh)
SG (1) SG10201507194VA (zh)
TW (1) TWI671842B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108231624A (zh) * 2016-12-14 2018-06-29 Asm知识产权私人控股有限公司 基板处理设备
CN110050324A (zh) * 2017-01-03 2019-07-23 韩国标准科学研究院 等离子体产生装置
CN113924635A (zh) * 2019-05-29 2022-01-11 朗姆研究公司 用于均匀度调整的喷头插件
CN115613010A (zh) * 2017-08-31 2023-01-17 朗姆研究公司 用于在衬底选择侧上沉积的pecvd沉积系统

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
JP6794184B2 (ja) * 2016-08-31 2020-12-02 株式会社日本製鋼所 プラズマ原子層成長装置
US10622243B2 (en) * 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US20220181128A1 (en) * 2019-03-11 2022-06-09 Lam Research Corporation Apparatus for cleaning plasma chambers
KR20220035192A (ko) * 2019-07-17 2022-03-21 램 리써치 코포레이션 기판 프로세싱을 위한 산화 프로파일의 변조
CN115298350A (zh) * 2020-03-19 2022-11-04 朗姆研究公司 喷头清扫环
KR102500678B1 (ko) * 2021-08-25 2023-02-16 주식회사 아이에스티이 기생 플라즈마 방지를 위한 샤워헤드 가스 공급장치

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001525618A (ja) * 1997-12-01 2001-12-11 アプライド マテリアルズ インコーポレイテッド チャンバインピーダンスを監視し調整する方法および装置
CN1513197A (zh) * 2001-04-23 2004-07-14 ���������ƴ���ʽ���� 电感耦合等离子体中用于改善等离子体分布及性能的设备和方法
CN101031180A (zh) * 2006-03-03 2007-09-05 爱德牌工程有限公司 等离子体处理装置
CN101999158A (zh) * 2008-04-12 2011-03-30 应用材料股份有限公司 等离子体处理设备与方法
US20130071581A1 (en) * 2011-09-20 2013-03-21 Jonghoon Baek Plasma monitoring and minimizing stray capacitance
CN103026799A (zh) * 2010-07-27 2013-04-03 朗姆研究公司 在等离子体处理室中寄生等离子体的防止
CN103510072A (zh) * 2012-06-25 2014-01-15 诺发系统公司 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积
CN103999193A (zh) * 2011-10-17 2014-08-20 诺发系统公司 在衬底处理室中寄生等离子体的机械抑制

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BE628052A (zh) * 1962-03-15
JPS63227011A (ja) * 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
JP2725081B2 (ja) * 1990-07-05 1998-03-09 富士通株式会社 半導体装置製造用熱処理装置
US5446824A (en) * 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
JP3295336B2 (ja) * 1996-03-01 2002-06-24 キヤノン株式会社 マイクロ波プラズマ処理装置およびプラズマ処理方法
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
ATE244780T1 (de) * 1999-12-22 2003-07-15 Aixtron Ag Cvd reaktor und prozesskammer dafür
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US20070187363A1 (en) * 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
JP5233734B2 (ja) * 2008-02-20 2013-07-10 東京エレクトロン株式会社 ガス供給装置、成膜装置及び成膜方法
SG10201602599XA (en) * 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
TWI654333B (zh) * 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
CN104409309B (zh) * 2014-12-01 2016-09-21 逢甲大学 大面积等离子体处理装置与均匀等离子体生成方法
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10157755B2 (en) * 2015-10-01 2018-12-18 Lam Research Corporation Purge and pumping structures arranged beneath substrate plane to reduce defects
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US11335591B2 (en) * 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001525618A (ja) * 1997-12-01 2001-12-11 アプライド マテリアルズ インコーポレイテッド チャンバインピーダンスを監視し調整する方法および装置
CN1513197A (zh) * 2001-04-23 2004-07-14 ���������ƴ���ʽ���� 电感耦合等离子体中用于改善等离子体分布及性能的设备和方法
CN101031180A (zh) * 2006-03-03 2007-09-05 爱德牌工程有限公司 等离子体处理装置
CN101999158A (zh) * 2008-04-12 2011-03-30 应用材料股份有限公司 等离子体处理设备与方法
CN103026799A (zh) * 2010-07-27 2013-04-03 朗姆研究公司 在等离子体处理室中寄生等离子体的防止
US20130071581A1 (en) * 2011-09-20 2013-03-21 Jonghoon Baek Plasma monitoring and minimizing stray capacitance
CN103999193A (zh) * 2011-10-17 2014-08-20 诺发系统公司 在衬底处理室中寄生等离子体的机械抑制
CN103510072A (zh) * 2012-06-25 2014-01-15 诺发系统公司 抑制前体流和衬底区外等离子体以抑制衬底处理系统寄生沉积

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108231624A (zh) * 2016-12-14 2018-06-29 Asm知识产权私人控股有限公司 基板处理设备
CN108231624B (zh) * 2016-12-14 2022-05-03 Asm知识产权私人控股有限公司 基板处理设备
CN110050324A (zh) * 2017-01-03 2019-07-23 韩国标准科学研究院 等离子体产生装置
CN110050324B (zh) * 2017-01-03 2021-09-14 韩国标准科学研究院 等离子体产生装置
US11211231B2 (en) 2017-01-03 2021-12-28 Korea Research Institute Of Standards And Science Plasma generation apparatus
CN115613010A (zh) * 2017-08-31 2023-01-17 朗姆研究公司 用于在衬底选择侧上沉积的pecvd沉积系统
CN113924635A (zh) * 2019-05-29 2022-01-11 朗姆研究公司 用于均匀度调整的喷头插件

Also Published As

Publication number Publication date
TWI671842B (zh) 2019-09-11
JP2021119626A (ja) 2021-08-12
JP6580426B2 (ja) 2019-09-25
JP7232864B2 (ja) 2023-03-03
KR102525777B1 (ko) 2023-04-25
KR20160031420A (ko) 2016-03-22
US10665429B2 (en) 2020-05-26
KR20210150330A (ko) 2021-12-10
US11127567B2 (en) 2021-09-21
US20180068833A1 (en) 2018-03-08
KR102333806B1 (ko) 2021-12-01
US20160079036A1 (en) 2016-03-17
JP6878527B2 (ja) 2021-05-26
CN105428194B (zh) 2018-06-01
JP2020025100A (ja) 2020-02-13
US9793096B2 (en) 2017-10-17
US20200335304A1 (en) 2020-10-22
TW201626483A (zh) 2016-07-16
JP2016063221A (ja) 2016-04-25
SG10201507194VA (en) 2016-04-28

Similar Documents

Publication Publication Date Title
CN105428194A (zh) 抑制寄生等离子体和减少晶片内非均匀性的系统和方法
CN107452590A (zh) 用于在下游反应器中边缘蚀刻速率控制的可调侧气室
EP3207558B1 (en) Gas supply delivery arrangement including a gas splitter for tunable gas flow control and method using said gas supply delivery arrangement
TWI761337B (zh) 基板處理系統
TWI682062B (zh) 用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法
KR102556603B1 (ko) 플라즈마 프로세싱 시스템들을 위한 고순도 sp3 결합들을 가진 화학적 기상 증착 (cvd) 다이아몬드 코팅을 포함한 에지 링들과 같은 컴포넌트들
CN105632914A (zh) 在半导体衬底处理装置中均匀处理半导体衬底的注气法
KR102430432B1 (ko) 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
CN107591355A (zh) 具有防止电弧和点火并改善工艺均匀性的特征的静电卡盘
CN110537241A (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁
CN112262464A (zh) 包括具有经冷却的面板的喷头的衬底处理室
KR102510611B1 (ko) 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법
TWI837137B (zh) 具備擁有經冷卻面板之噴淋頭的基板處理腔室
US20220181128A1 (en) Apparatus for cleaning plasma chambers
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
CN112514044A (zh) 用于衬底处理系统的具有介电窗的蜂窝式喷射器
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant