JP2020025100A - 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム - Google Patents

寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム Download PDF

Info

Publication number
JP2020025100A
JP2020025100A JP2019155241A JP2019155241A JP2020025100A JP 2020025100 A JP2020025100 A JP 2020025100A JP 2019155241 A JP2019155241 A JP 2019155241A JP 2019155241 A JP2019155241 A JP 2019155241A JP 2020025100 A JP2020025100 A JP 2020025100A
Authority
JP
Japan
Prior art keywords
processing system
substrate processing
showerhead
collar
stem portion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019155241A
Other languages
English (en)
Other versions
JP6878527B2 (ja
Inventor
フー・カン
Hu Kang
エイドリアン・ラボイエ
Lavoie Adrien
シャンカー・スワミナタン
Swaminathan Shankar
ジュン・チエン
Jun Qian
クロエ・バルダッセローニ
Baldasseroni Chloe
フランク・パスクァーレ
Pasquale Frank
アンドリュー・デュバル
Duvall Andrew
テッド・ミンシャル
Minshall Ted
ジェニファー・ペトラグリア
Petraglia Jennifer
カール・リーサー
Leeser Karl
デビッド・スミス
David Smith
セシャ・バラダラジャン
Varadarajan Sesha
エドワード・アウグスティニャック
Augustyniak Edward
ダグラス・ケイル
Douglas Keil
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020025100A publication Critical patent/JP2020025100A/ja
Priority to JP2021075846A priority Critical patent/JP7232864B2/ja
Application granted granted Critical
Publication of JP6878527B2 publication Critical patent/JP6878527B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

【課題】寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システムを提供する。【解決手段】基板に薄膜を蒸着するための基板処理システム150は、反応領域を規定する処理チャンバを備える。シャワーヘッド14は、処理チャンバの上面に隣接して接続された一端を有するステム部分190を備える。ベース部分193は、ステム部分の反対端に接続され、ステム部分から半径方向外向きに伸びている。シャワーヘッドは、処理ガス及びパージガスの少なくとも一方を反応領域内に導入する。プラズマ発生器が、反応領域内でRFプラズマを選択的に発生させる。縁部調整システム152は、カラー212と、カラーとシャワーヘッドの上面との間でステム部分の周りに配置されたプレート236−1〜236−Tからなる寄生プラズマ低減要素と、を備える。寄生プラズマ低減要素は、シャワーヘッドと処理チャンバの上面との間の寄生プラズマを低減する。【選択図】図2

Description

関連出願への相互参照
本願は、2014年9月12日出願の米国仮特許出願第62/049,767号の利益を主張する。上記の出願の開示全体が、参照によって本明細書に組み込まれる。
本開示は、基板処理システムに関し、特に、寄生プラズマを抑制してウエハ内での不均一性を低減するためのシステムおよび方法に関する。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
基板への薄膜の蒸着を実行するために、基板処理システムが利用されうる。基板処理システムは、通例、反応領域を有する処理チャンバを備える。ペデスタル、チャック、プレートなどの基板支持体が、処理チャンバ内に配置される。半導体ウエハなどの基板が、基板支持体上に配置されてよい。
いくつかの応用例において、薄膜は、プラズマ強化化学蒸着(PECVD)またはプラズマ強化原子層蒸着(PEALD)を用いて蒸着される。PEALD中に、1または複数回のPEALDサイクルが、基板上に薄膜を蒸着するために用いられる。各PEALDサイクルは、通例、前駆体ドーズ、ドーズパージ、RFプラズマドーズ、および、RFパージの工程を含む。
蒸着中に、処理ガスが、シャワーヘッドを用いて処理チャンバに供給されてよい。RFプラズマドーズ中に、RF電力がシャワーヘッドに供給され、基板支持体は接地される(または、その逆)。PEALD中、前駆体のプラズマ強化変換が、基板上で起きる。
ドーズパージ工程およびRFパージ工程中、アルゴンなどの不活性ガスが、シャワーヘッドを通して供給される。さらに、シャワーヘッドの背面、処理チャンバの上部プレート、および/または、処理チャンバの壁など遠隔領域での望ましくない蒸着を防止するために、二次パージがPEALDの工程の一部または全部の間にシャワーヘッドの上方で実行されてもよい。
アルゴンが、一部の窒素を用いない応用例(ダブルパターニングなど)のための二次パージガスとして用いられる場合、寄生プラズマがシャワーヘッドの裏側で生じうる。寄生プラズマによって消費される電力は、処理チャンバに供給される総プラズマ電力の40%と同程度でありうる。基板上に供給される電力の損失は、通常、より緩い薄膜を生じ、エッチング速度の上昇につながる。寄生プラズマによって引き起こされる電力損失は、通常、シャワーヘッドにわたって不均一である。より具体的には、シャワーヘッドのステム部分で供給されるRF電力により、シャワーヘッドの中央部分に比べて、シャワーヘッドの縁部で高い電力損失が生じる。
基板の中央に蒸着される薄膜の密度が、基板の縁部よりも高くなる。結果として、薄膜は、縁部の厚いプロファイル、および、高い基板内不均一性を有し、これは、ダブルパターニング用途におけるクリティカルディメンション(CD)均一性制御にとって望ましくない。さらに、寄生性の高いプラズマで基板処理システムを動作させることは、ウエハ間での再現性、ツールドリフト(tool drift)、処理欠陥性能、および、シャワーヘッド構成要素の腐食を伴う長期的な問題を引き起こす傾向にある。
基板に薄膜を蒸着するための基板処理システムが、反応領域を規定する処理チャンバを備える。シャワーヘッドが、処理チャンバの上面に隣接して接続された一端を有するステム部分を備える。ベース部分が、ステム部分の反対端に接続され、ステム部分から半径方向外向きに伸びている。シャワーヘッドは、処理ガスおよびパージガスの少なくとも一方を反応領域内に導入するように構成されている。プラズマ発生器が、反応領域内でRFプラズマを選択的に発生させるように構成されている。縁部調整システムが、シャワーヘッドのベース部分と処理チャンバの上面との間でシャワーヘッドのステム部分の周りに配置されたカラーを備える。カラーは、カラーの内部空洞から、シャワーヘッドのベース部分と処理チャンバの上面との間の領域に、パージガスを供給するための1または複数の穴を備える。パージガスは、反応ガスである。寄生プラズマ低減要素が、カラー(環状部材)とシャワーヘッドの上面との間でステム部分の周りに配置されており、シャワーヘッドと処理チャンバの上面との間の寄生プラズマを低減するように構成されている。
別の特徴において、カラーは、略「T」字形の断面を有する。1または複数の穴は、シャワーヘッドのステム部分と垂直に配置されている。カラーは、カラーの内部空洞とステム部分の外面との間に一様な間隔を提供するために、1または複数の突起を備える。寄生プラズマ低減要素は、セラミック材料製のシャワーヘッドカバーを備える。シャワーヘッドカバーは、シャワーヘッドの上面とシャワーヘッドの側面とを覆う略「C」字形の断面を有する。シャワーヘッドカバーは、3/8インチから1インチの間の厚さを有する。スペーサが、シャワーヘッドカバーとシャワーヘッドの上面との間に配置されている。スペーサは、1/4インチから1/2インチの間の厚さを有する。
別の特徴において、シャワーヘッドカバーは、シャワーヘッドの上面とシャワーヘッドの側面とを覆う略「C」字形の断面を有する第1の部分と、基板と垂直な平面内で第1の部分の両端から半径方向外向きに伸びる第2の部分と、を備える。シャワーヘッドカバーは、1/16インチから1/4インチの間の厚さを有する。スペーサが、シャワーヘッドカバーとシャワーヘッドの上面との間に配置されている。スペーサは、1/4インチから3/4インチの間の厚さを有する。寄生プラズマ低減要素は、シャワーヘッドの上面とカラーとの間に離間して配置された複数のプレートを備える。
別の特徴において、寄生プラズマ低減要素は、さらに、複数のプレートの内の隣接するプレートの間に配置されたスペーサを備える。複数のプレートの各々は、ステム部分の外径よりも大きい中央開口部を備えることで、パージガスがカラーからプレートの中央開口部を通ってプレートの間を流れることを可能にする。インサートが、複数のプレートとステム部分との間に配置されている。インサートは、ポリイミド製である。
別の特徴において、インサートは、ステム部分および環状ベース部分を備える。ステム部分は、シャワーヘッドのステム部分と隣接して接触するように配置される。環状ベース部分は、インサートのシャワーヘッド側の部分から外向きに伸びている。
別の特徴において、カラーは、ステム部分に隣接して配置された内部カラーと、内部カラーの上側部分の周りに配置された上側外部カラーと、内部カラーの下側部分の周りに配置された下側外部カラーと、を備える。寄生プラズマ低減要素は、シャワーヘッドの上面とカラーとの間に離間して配置された複数のプレートを備える。複数のプレートは、ねじ切りされた中央開口部を備える。下側外部カラーは、ねじ切りされた半径方向外面を備え、複数のプレートは、下側外部カラーに螺合される。
別の特徴において、内部カラーは、上側外部カラーと下側外部カラーとの間の空間と整列された複数の穴を備えており、パージガスが、内部カラーの複数の穴を通して流れる。複数の穴は、複数のプレートの間にパージガスが流れることを可能にするために、中央開口部に沿って切り欠きを備える。
別の特徴において、内部カラーは、プレートとシャワーヘッドとの間にパージガスが流れることを可能にするために、シャワーヘッド側の端部に沿って開口部を備える。
別の特徴において、反応ガスは、酸素分子、水素分子、窒素分子、亜酸化窒素、および、アンモニアを含む群から選択される。反応ガスは酸素分子を含み、薄膜は二酸化シリコンを含む。反応ガスは亜酸化窒素を含み、薄膜は二酸化シリコンを含む。反応ガスは酸素分子を含み、薄膜は二酸化チタンを含む。反応ガスは亜酸化窒素を含み、薄膜は二酸化チタンを含む。反応ガスは窒素分子を含み、薄膜は窒化シリコンを含む。反応ガスはアンモニアを含み、薄膜は窒化シリコンを含む。
基板に薄膜を蒸着するための基板処理システムが、反応領域を規定する処理チャンバを備える。シャワーヘッドが、処理チャンバの上面に隣接して接続された一端を有するステム部分を備える。ベース部分が、ステム部分の反対端に接続され、ステム部分から半径方向外向きに伸びている。シャワーヘッドは、処理ガスおよびパージガスの少なくとも一方を反応領域内に導入するように構成されている。プラズマ発生器が、反応領域内でRFプラズマを選択的に発生させるように構成されている。縁部調整システムが、シャワーヘッドのベース部分と処理チャンバの上面との間でシャワーヘッドのステム部分の周りに配置されたカラーを備える。カラーは、カラーの内部空洞から、シャワーヘッドのベース部分と処理チャンバの上面との間の領域に、パージガスを供給するための1または複数の穴を備える。寄生プラズマ低減要素が、カラーとシャワーヘッドの上面との間でステム部分の周りに配置されており、シャワーヘッドと処理チャンバの上面との間の寄生プラズマを低減するように構成されている。寄生プラズマ低減要素は、シャワーヘッドの上面とカラーとの間に離間して配置された複数のプレートを備える。
詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。
本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。
本開示に従って、寄生プラズマを低減するための縁部調整システムを備える基板処理システムの一例を示す機能ブロック図。
本開示に従って、第1の縁部調整システムの一例を示す断面図。
第1の縁部調整システムを示す拡大断面図。
プレートの一例を示す平面図。
内部カラーの一例を示す斜視図。 内部カラーの一例を示す斜視図。
本開示に従って、第2の縁部調整システムの一例を示す断面図。
本開示に従って、第3の縁部調整システムの一例を示す断面図。
本開示に従って、第4の縁部調整システムの一例を示す断面図。 本開示に従って、第4の縁部調整システムの一例を示す断面図。
様々なガスについて、圧力の関数として放電開始電圧を示すグラフ。
図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。
本開示は、シャワーヘッドの裏側の寄生プラズマを抑制すると共に基板内での不均一性を低減するための縁部調整システムを備えたPECVDおよびPEALD用の基板処理システムに関する。例えば、典型的なPEALD処理では、シャワーヘッドの背面に流れるパージガスが、シャワーヘッドの背面および処理チャンバ内の他の遠隔領域での望ましくない薄膜蒸着を低減するために用いられる。ダブルパターニングなど一部の応用例でアルゴンが用いられる場合、寄生プラズマがシャワーヘッドの上面で点火し、寄生誘導された基板上への供給電力の損失により、高いウエハ内不均一性および縁部の厚いプロファイルを引き起こす。本明細書に記載のシステムおよび方法は、シャワーヘッドの上面に配置された縁部調整システムを用いて、寄生プラズマを抑制し基板内不均一性を低減する。
一部の例において、縁部調整システムは、ステム部分の周りに配置されたカラーと、カラーおよびシャワーヘッドの上面の間に配置された寄生プラズマ低減要素との組み合わせを備える。一部の例において、寄生プラズマ低減要素は、シャワーヘッドカバーを備える。一部の例において、寄生プラズマ低減要素は、平行プレートを備える。
図1によると、基板処理システム10の一例が、反応領域を含む処理チャンバ12を備える。処理ガスが、シャワーヘッド14を用いて処理チャンバ12に供給されてよい。一部の例において、シャワーヘッド14は、シャンデリア型のシャワーヘッドである。縁部調整システム15が、後述するように寄生プラズマを低減するために、シャワーヘッド14の上面と処理チャンバ12の上面との間に配置されている。後に詳述するように、縁部調整システム15は、ステム部分の周りに配置されたカラー(環状部材)と、カラーおよびシャワーヘッドの上面の間に配置された寄生プラズマ低減要素とを備える。
半導体ウエハなどの基板18が、処理中に基板支持体16上に配置されてよい。基板支持体16は、ペデスタル、静電チャック、機械式チャック、または、その他のタイプの基板支持体を含みうる。
ガス供給システム20が、1または複数のガス源22−2、22−2、・・・、および、22−N(集合的に、ガス源22)を備えてよく、ここで、Nは1より大きい整数である。バルブ24−1、24−2、・・・、および、24−N(集合的に、バルブ24)、マスフローコントローラ26−1、26−2、および、26−N(集合的に、マスフローコントローラ26)、または、その他の流量制御装置が、1または複数のガスを、処理チャンバ12にガス混合物を供給するマニホルド30に、制御可能に供給するために用いられてよい。
コントローラ40が、(1または複数のセンサ41を用いて)温度、圧力などの処理パラメータを監視し、処理タイミングを制御するために用いられてよい。コントローラ40は、ガス供給システム20、基板支持体ヒータ42、および/または、RFプラズマ発生器46などの処理装置を制御するために用いられてよい。コントローラ40は、バルブ50およびポンプ52を用いて処理チャンバ12を排気するために用いられてもよい。
RFプラズマ発生器46は、処理チャンバ内にRFプラズマを発生させる。RFプラズマ発生器46は、誘導タイプまたは容量タイプのRFプラズマ発生器であってよい。一部の例において、RFプラズマ発生器46は、RF電源60および整合/配電ネットワーク64を備えてよい。図では、RFプラズマ発生器46がシャワーヘッド14に接続され、基板支持体が接地または浮遊しているが、RFプラズマ発生器46が基板支持体16に接続され、シャワーヘッド14が接地または浮遊していてもよい。一部の例において、パージガス80が、バルブ82によって縁部調整システム15に選択的に供給されてもよい。
ここで、図2〜図4Bを参照すると、第1の縁部調整システム152を備える基板処理システム150の一例が示されている。図2、図3A、および、図3Bにおいて、シャワーヘッド14は、さらに詳細に図示されており、マニホルド30からガス混合物を受け入れるための中央空洞192を有するステム190を備える。シャワーヘッド14は、さらに、底面すなわち基板対向面194と上面195とを有するベース部分193を備える。基板対向面194は、複数の離間した穴196を備える。ステム190の空洞192を通して流れる処理ガスが、空洞199に入る前に拡散プレート198に衝突しうる。処理ガスは、複数の穴198を通して空洞199を出る。
第1の縁部調整システム152は、さらに、ステム部分190の外径の周りに配置された内部カラー212を備える。内部カラー212は、それを貫通する1または複数の穴213を備える。ステム190は、内部カラー212の内部空洞215を貫通している。上側外部カラー216は、略「T」字形の断面を有してよく、下側外部カラー218の上方に配置される。上側外部カラー216の上側部分220が、処理チャンバの上面222への取り付けを容易にする。上側外部カラー216の内部空洞223が、内部カラー212と、シャワーヘッド14のステム190とを受け入れる。下側外部カラー218の内部空洞227も、内部カラー212と、シャワーヘッド14のステム190とを受け入れる。
一部の例において、上側外部カラー216の下側部分が、下側外部カラー218の上側部分に規定された第2の合わせ面232に隣接して配置された第1の合わせ面230を規定してよい。内部カラー212の複数の穴213は、第1および第2の合わせ面230および232の間に形成されたギャップ233と整列されてよい。動作中、パージガスが、穴213およびギャップ233を通して供給されてよい。内部カラー212の下側部分は、パージガスが、カラー212、下側外部カラー218、および、プレート236の下を流れることを可能にするために、開口部252(図3A)を備えてよい。
下側外部カラー218の半径方向外面が、ねじ山234を備えてよい。縁部調整システム152は、さらに、複数のプレート236−1、236−2、・・・、および、236−T(集合的にプレート236)を含む寄生プラズマ低減要素を備える。プレート236は、ねじ切りされた内側開口部237を備えてよい。プレート236は、下側外部カラー218のねじ山234に螺合されてよい。プレート236は、均一または不均一な間隔で離間されてよい。一部の例において、プレート236は、次第に間隔が大きくなるように離間されるが、他の間隔が用いられてもよい。別の例において、プレート236は、次第に間隔が小さくなるように離間されるが、他の間隔が用いられてもよい。一部の例において、プレート236は、ねじ切りされた内側開口部237に形成された1または複数の切り欠き239を備える。切り欠き239は、パージガスがプレート236の間を流れることを可能にする。
動作中、処理ガスまたはパージガスが、ステム190を通って空洞199内に流れる。処理ガスまたはパージガスは、複数の穴196によって基板18全体に分散される。二次パージガスが、内部カラー212とステム190との間に供給される。パージガスは、穴213を通ってギャップ233内に流れる。パージガスは、さらに、開口部252と、プレート236間のカットアウト239とを通して流れる。縁部調整システム152は、プラズマの利用を含む工程中に寄生プラズマを低減するのに役立つ。
一部の例において、プレート236は、アルミニウムで製造されるが、その他の材料が用いられてもよい。一部の例において、プレート236は、Al7075アルミニウムで製造されるが、その他の材料が用いられてもよい。一部の例において、プレート236は、0.070インチから0.110インチの厚さを有する。一部の例において、プレート236は、0.090インチの厚さを有する。一部の例では、プレート236の内の最上部のプレートが、内側開口部237の周りに離間された9個の切り欠き239(各々、0.125インチ)を有し、最上部のプレートより下に配置されたプレートが、内側開口部237の周りに離間された15個の切り欠き239(各々、0.125インチ)を有するが、より多いまたはより少ない切り欠きが用いられてもよい。切り欠き239は、均一または不均一なパターンで離間されてよい。
図4Aおよび図4Bでは、内部カラー212が、さらに詳細に示されている。内部カラー212は、略円筒形であり、内部空洞215と、その両端の第1および第2の開口部260および262とを備える。内部カラー212の内面264は、半径方向内向きに突出すると共に内部カラー212の長さまたは内部カラー212の長さの一部にわたって伸びてよい1または複数の突起280を備えてよい。1または複数の突起280は、内部カラー212と、シャワーヘッド14のステム190の半径方向外面との間に、所定の間隔を維持する。
図4Aおよび図4Bの内部カラー212は、内部カラー212の内面264から外面282へと伸びる穴213を備える。ノッチ290が、内部カラー212の上部に隣接して内部カラー212の半径方向外面282に形成されてよい。開口部252は、1または複数の扇形または弓形の部分262によって規定されてよい。例えば、図4では、4つの扇形または弓形の部分262−1、262−2、262−3、および、262−4がある。一部の例では、弓形部分262−1および262−2の間の接合部263が、他の弓形部分262の間の接合部よりも低い位置にある。内部カラー212は、接合部263を基礎としてよい。
ここで、図5を参照すると、第2の縁部調整システム306を備える基板処理システム300の一例が示されている。第2の縁部調整システム306は、ステム部分190の周りに配置されたカラー308と、シャワーヘッド14のベース部分193の周りに配置されたシャワーヘッドカバー320を含む寄生プラズマ低減要素と、を備える。
カラー308は、カラー308およびシャワーヘッド14を処理チャンバの上面に取り付けるために、図2に示したのと同様の上側の「T」字形部分を備えてよい。カラー308は、シャワーヘッド14のステム部分190を受け入れる中央空洞309を備える。カラー308は、さらに、カラー308を通して伸びる複数の穴314を備える。一部の例において、複数の穴314は、ステム部分190と垂直に配置される。動作中、パージガスが、複数の穴314とカラー308の下側部分の下とを通って流れ、シャワーヘッドカバー320、シャワーヘッド14、および、処理チャンバの上面の間をパージする。
シャワーヘッドカバー320は、略「C」字形の断面を有しており、上面322と、下面324と、ステム部分190を受け入れるための中央開口部326と、を備える。中央開口部326は、ステム190との間にさらなる隙間を提供して、シャワーヘッド14およびシャワーヘッドカバー320の間にパージガスが流れることを可能にしてよい。シャワーヘッドカバー320の半径方向の端部330が、シャワーヘッド14のベース部分193の半径方向外側縁部を越えた後に、下方に伸びている。シャワーヘッドカバー320の下側部分332は、シャワーヘッド14のベース部分193の下面194まで伸びていてもよいし、シャワーヘッド14のベース部分193の下面194の若干上方または下方まで伸びていてもよい。1または複数のスペーサ338が、シャワーヘッドカバー320およびシャワーヘッド14の間の間隔を維持するために提供されてよい。一部の例において、シャワーヘッドカバー320は、セラミックで製造されるが、他の材料を用いてもよい。
一部の例において、シャワーヘッドカバー320は、3/8インチから1インチの間の厚さを有する。一部の例において、シャワーヘッド14の半径方向外側の端部は、シャワーヘッドカバー320の内面から0.120インチ離間される。一部の例において、スペーサ338は、1/4インチから1/2インチの厚さを有する。一部の例において、スペーサ338は、3/8インチの厚さを有する。
ここで、図6を参照すると、第3の縁部調整システム406を備える基板処理システム400の一例が示されている。第3の縁部調整システム406は、上述のカラー308と、シャワーヘッドカバー420を含む寄生プラズマ低減要素と、を備える。シャワーヘッドカバー420は、略「C」字形の断面を有する第1の部分を備えており、上面422と、下面424と、ステム部分190を受け入れるための中央開口部426と、を備える。シャワーヘッドカバー420の半径方向外側の端部430が、シャワーヘッド14のベース部分193の半径方向外側縁部を越えて伸びている。シャワーヘッドカバー320の下側部分432は、433で示すように基板18と略平行な平面内で半径方向外向きに広がるまえに、シャワーヘッド14のベース部分193の下面194まで伸びていてもよいし、シャワーヘッド14のベース部分193の下面194の若干上方または下方まで伸びていてもよい。1または複数のスペーサ438が、シャワーヘッドカバー420およびシャワーヘッド14の間の間隔を維持するために提供されてよい。
一部の例において、シャワーヘッドカバー420は、1/16インチから1/4インチの間の厚さを有する。一部の例において、シャワーヘッド14の半径方向外側の端部は、シャワーヘッドカバー320の内面450から(例えば、0.03125インチ)離間されており、表面452は、シャワーヘッド14の下面194の上方に(例えば、0.03125インチ)離間されている。一部の例において、スペーサ338は、1/4インチから3/4インチの間の厚さを有する。一部の例において、スペーサ338は、1/2インチの厚さを有する。
ここで、図7Aおよび図7Bを参照すると、第3の縁部調整システム506を備える基板処理システム500の一例が示されている。第3の縁部調整システム506は、上述のカラー308と、複数のプレート514−1、514−2、・・・、および、514−R(集合的にプレート514)を含む寄生プラズマ低減要素と、を備える。プレート514は、スペーサ516またはその他のメカニズムを用いて離間されてよい。
一部の例において、スペーサ516は、セラミックで製造されてよい。一部の例において、プレート514は、Al7075アルミニウムなどのアルミニウムで製造されるが、その他の材料が用いられてもよい。一部の例において、プレート514は、0.070インチから0.110インチの厚さを有する。一部の例において、プレート514は、0.090インチの厚さを有する。
インサート530が、シャワーヘッド14のステム部分190と、プレート514およびカラー308の空洞309の半径方向内側の縁部との間に配置されてよい。一部の例において、インサート530は、ポリイミド系のプラスチック(例えば、Vespel(登録商標))などのプラスチックで製造されるが、その他の材料が用いられてもよい。一部の例において、インサート530は、環状本体部分532およびステム部分534を備えてよい。環状本体部分532は、ステム部分534の下側部分から半径方向外向きに伸びる。図7Bにおいて、プレート514は、ステム部分190よりも大きい開口部515を含むことが図示されている。結果として、パージガスが、プレート514の間を流れる。
一部の例において、本明細書に記載のシステムおよび方法は、非反応性のガスすなわち不活性ガスの代わりに反応ガスで二次パージを実行する。単に例として、酸素分子(O)または亜酸化窒素(NO)が、二酸化シリコン(SiO)または二酸化チタン(TiO)の薄膜を蒸着する時に、二次パージに用いられてよい。単に例として、窒素分子(N)またはアンモニア(NH)が、窒化シリコン(SiN)を蒸着する時に、二次パージに用いられてよい。さらに、SiOおよびTiOが本明細書で特に開示されているが、本開示は、シリコン(Si)、ハフニウム(Hf)、アルミニウム(Al)、チタン(Ti)、ジルコニウム(Zr)などを含むその他のALD酸化膜またはALD窒化膜に関する。
一部の例において、酸素分子または水素分子が、二次パージガスとして用いられる。酸素分子などの反応ガスの利用は、アルゴンを用いた時に観察される点火および/または関連する中空陰極放電(HCD)の痕跡を防ぐのに役立つ。アルゴンは、典型的な処理圧力では酸素分子よりも低い放電開始電圧を有する。酸素分子などの反応ガスがアルゴンの代わりに用いられると、正面側のエッジプロファイルにおける厚さのばらつきも、(特にノッチにおいて)防止される。
ここで、図8を参照すると、パッシェン曲線が示されている。アルゴンなどの不活性ガスの放電開始電圧は、2〜10Torrなどの典型的な処理圧力では比較的低い。図からわかるように、水素分子および窒素分子の放電開始電圧は、一部の処理圧力で比較的高い。一部の例において、二次パージガスは、選択された処理圧力でアルゴンよりも高い放電開始電圧を有するように選択される。単に例として、水素分子は、処理チャンバが2〜3Torrの処理圧力で動作する時に利用できるが、アルゴンがより高い放電開始電圧を有するより高い処理圧力では利用できない。
別の特徴において、薄膜は、原子層蒸着を用いて蒸着される。方法は、さらに、2〜3Torrの真空圧に処理チャンバを維持する工程を備える。
上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。方法の中の1または複数の工程は、本開示の原理を変えることなしに、異なる順序で(または、同時に)実行されてもよいことを理解されたい。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハ基板支持体、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウエア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する。動作パラメータは、いくつかの実施形態において、1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハのダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、複数の製造動作からの傾向または性能指標を調べる、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、もしくは、新たな処理を開始するために、システムへのリモートアクセスを可能にしうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
別の特徴において、シャワーヘッドカバーは、シャワーヘッドの上面とシャワーヘッドの側面とを覆う略「C」字形の断面を有する第1の部分と、基板と平行な平面内で第1の部分の両端から半径方向外向きに伸びる第2の部分と、を備える。シャワーヘッドカバーは、1/16インチから1/4インチの間の厚さを有する。スペーサが、シャワーヘッドカバーとシャワーヘッドの上面との間に配置されている。スペーサは、1/4インチから3/4インチの間の厚さを有する。寄生プラズマ低減要素は、シャワーヘッドの上面とカラーとの間に離間して配置された複数のプレートを備える。
ガス供給システム20が、1または複数のガス源22−1、22−2、・・・、および、22−N(集合的に、ガス源22)を備えてよく、ここで、Nは1より大きい整数である。バルブ24−1、24−2、・・・、および、24−N(集合的に、バルブ24)、マスフローコントローラ26−1、26−2、および、26−N(集合的に、マスフローコントローラ26)、または、その他の流量制御装置が、1または複数のガスを、処理チャンバ12にガス混合物を供給するマニホルド30に、制御可能に供給するために用いられてよい。
ここで、図2〜図4Bを参照すると、第1の縁部調整システム152を備える基板処理システム150の一例が示されている。図2、図3A、および、図3Bにおいて、シャワーヘッド14は、さらに詳細に図示されており、マニホルド30からガス混合物を受け入れるための中央空洞192を有するステム部分190を備える。シャワーヘッド14は、さらに、底面すなわち基板対向面194と上面195とを有するベース部分193を備える。基板対向面194は、複数の離間した穴196を備える。ステム190の空洞192を通して流れる処理ガスが、空洞199に入る前に拡散プレート198に衝突しうる。処理ガスは、複数の穴196を通して空洞199を出る。
第1の縁部調整システム152は、さらに、ステム部分190の外径の周りに配置された内部カラー212を備える。内部カラー212は、それを貫通する1または複数の穴213を備える。ステム部分190は、内部カラー212の内部空洞215を貫通している。上側外部カラー216は、略「T」字形の断面を有してよく、下側外部カラー218の上方に配置される。上側外部カラー216の上側部分220が、処理チャンバの上面222への取り付けを容易にする。上側外部カラー216の内部空洞223が、内部カラー212と、シャワーヘッド14のステム部分190とを受け入れる。下側外部カラー218の内部空洞227も、内部カラー212と、シャワーヘッド14のステム部分190とを受け入れる。
動作中、処理ガスまたはパージガスが、ステム部分190を通って空洞199内に流れる。処理ガスまたはパージガスは、複数の穴196によって基板18全体に分散される。二次パージガスが、内部カラー212とステム部分190との間に供給される。パージガスは、穴213を通ってギャップ233内に流れる。パージガスは、さらに、開口部252と、プレート236間のカットアウト239とを通して流れる。縁部調整システム152は、プラズマの利用を含む工程中に寄生プラズマを低減するのに役立つ。
図4Aおよび図4Bでは、内部カラー212が、さらに詳細に示されている。内部カラー212は、略円筒形であり、内部空洞215と、その両端の第1および第2の開口部260および261とを備える。内部カラー212の内面264は、半径方向内向きに突出すると共に内部カラー212の長さまたは内部カラー212の長さの一部にわたって伸びてよい1または複数の突起280を備えてよい。1または複数の突起280は、内部カラー212と、シャワーヘッド14のステム部分190の半径方向外面との間に、所定の間隔を維持する。
図4Aおよび図4Bの内部カラー212は、内部カラー212の内面264から外面282へと伸びる穴213を備える。ノッチ290が、内部カラー212の上部に隣接して内部カラー212の半径方向外面282に形成されてよい。開口部252は、1または複数の扇形または弓形の部分261によって規定されてよい。例えば、図4では、4つの扇形または弓形の部分262−1、262−2、262−3、および、262−4がある。一部の例では、弓形部分262−1および262−2の間の接合部263が、他の弓形部分262の間の接合部よりも低い位置にある。内部カラー212は、接合部263を基礎としてよい。
シャワーヘッドカバー320は、略「C」字形の断面を有しており、上面322と、下面324と、ステム部分190を受け入れるための中央開口部326と、を備える。中央開口部326は、ステム部分190との間にさらなる隙間を提供して、シャワーヘッド14およびシャワーヘッドカバー320の間にパージガスが流れることを可能にしてよい。シャワーヘッドカバー320の半径方向の端部330が、シャワーヘッド14のベース部分193の半径方向外側縁部を越えた後に、下方に伸びている。シャワーヘッドカバー320の下側部分332は、シャワーヘッド14のベース部分193の下面194まで伸びていてもよいし、シャワーヘッド14のベース部分193の下面194の若干上方または下方まで伸びていてもよい。1または複数のスペーサ338が、シャワーヘッドカバー320およびシャワーヘッド14の間の間隔を維持するために提供されてよい。一部の例において、シャワーヘッドカバー320は、セラミックで製造されるが、他の材料を用いてもよい。
ここで、図6を参照すると、第3の縁部調整システム406を備える基板処理システム400の一例が示されている。第3の縁部調整システム406は、上述のカラー308と、シャワーヘッドカバー420を含む寄生プラズマ低減要素と、を備える。シャワーヘッドカバー420は、略「C」字形の断面を有する第1の部分を備えており、上面422と、下面424と、ステム部分190を受け入れるための中央開口部426と、を備える。シャワーヘッドカバー420の半径方向外側の端部430が、シャワーヘッド14のベース部分193の半径方向外側縁部を越えて伸びている。シャワーヘッドカバー420の下側部分432は、433で示すように基板18と略平行な平面内で半径方向外向きに広がるまえに、シャワーヘッド14のベース部分193の下面194まで伸びていてもよいし、シャワーヘッド14のベース部分193の下面194の若干上方または下方まで伸びていてもよい。1または複数のスペーサ438が、シャワーヘッドカバー420およびシャワーヘッド14の間の間隔を維持するために提供されてよい。
一部の例において、シャワーヘッドカバー420は、1/16インチから1/4インチの間の厚さを有する。一部の例において、シャワーヘッド14の半径方向外側の端部は、シャワーヘッドカバー420の内面450から(例えば、0.03125インチ)離間されており、表面452は、シャワーヘッド14の下面194の上方に(例えば、0.03125インチ)離間されている。一部の例において、スペーサ438は、1/4インチから3/4インチの間の厚さを有する。一部の例において、スペーサ438は、1/2インチの厚さを有する。
ここで、図7Aおよび図7Bを参照すると、第4の縁部調整システム506を備える基板処理システム500の一例が示されている。第4の縁部調整システム506は、上述のカラー308と、複数のプレート514−1、514−2、・・・、および、514−R(集合的にプレート514)を含む寄生プラズマ低減要素と、を備える。プレート514は、スペーサ516またはその他のメカニズムを用いて離間されてよい。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
本発明は、以下の適用例としても実現可能である。
<適用例1>
基板に薄膜を蒸着するための基板処理システムであって、
反応領域を規定する処理チャンバと、
シャワーヘッドであって、
前記処理チャンバの上面に隣接して接続された一端を有するステム部分と、
前記ステム部分の反対端に接続され、前記ステム部分から半径方向外向きに伸びるベース部分と、を含み、
処理ガスおよびパージガスの少なくとも一方を前記反応領域内に導入するように構成された、シャワーヘッドと、
前記反応領域内でRFプラズマを選択的に発生させるように構成されたプラズマ発生器と、
縁部調整システムであって、
前記シャワーヘッドの前記ベース部分と前記処理チャンバの前記上面との間で前記シャワーヘッドの前記ステム部分の周りに配置されたカラーであって、
前記カラーの内部空洞から、前記シャワーヘッドの前記ベース部分と前記処理チャンバの前記上面との間の領域に、反応ガスであるパージガスを供給するための1または複数の穴を備える、カラーと、
前記カラーと前記シャワーヘッドの上面との間で前記ステム部分の周りに配置され、前記シャワーヘッドと前記処理チャンバの前記上面との間の寄生プラズマを低減するように構成された寄生プラズマ低減要素と、を含む縁部調整システムと、
を備える、基板処理システム。
<適用例2>
適用例1に記載の基板処理システムであって、前記カラーは、略「T」字形の断面を有し、前記1または複数の穴は、前記シャワーヘッドの前記ステム部分と垂直に配置されている、基板処理システム。
<適用例3>
適用例1に記載の基板処理システムであって、前記カラーは、前記カラーの前記内部空洞と前記ステム部分の外面との間に一様な間隔を提供するために、1または複数の突起を備える、基板処理システム。
<適用例4>
適用例1に記載の基板処理システムであって、前記寄生プラズマ低減要素は、セラミック材料製のシャワーヘッドカバーを備える、基板処理システム。
<適用例5>
適用例4に記載の基板処理システムであって、前記シャワーヘッドカバーは、前記シャワーヘッドの前記上面と前記シャワーヘッドの側面とを覆う略「C」字形の断面を有すると共に、3/8インチから1インチの間の厚さを有する、基板処理システム。
<適用例6>
適用例5に記載の基板処理システムであって、さらに、前記シャワーヘッドカバーと前記シャワーヘッドの上面との間に配置されたスペーサを備える、基板処理システム。
<適用例7>
適用例6に記載の基板処理システムであって、前記スペーサは、1/4インチから1/2インチの間の厚さを有する、基板処理システム。
<適用例8>
適用例4に記載の基板処理システムであって、前記シャワーヘッドカバーは、前記シャワーヘッドの前記上面と前記シャワーヘッドの側面とを覆う略「C」字形の断面を有する第1の部分と、前記基板と垂直な平面内で前記第1の部分の両端から半径方向外向きに伸びる第2の部分と、を備える、基板処理システム。
<適用例9>
適用例8に記載の基板処理システムであって、前記シャワーヘッドカバーは、1/16インチから1/4インチの間の厚さを有する、基板処理システム。
<適用例10>
適用例8に記載の基板処理システムであって、さらに、前記シャワーヘッドカバーと前記シャワーヘッドの上面との間に配置されたスペーサを備える、基板処理システム。
<適用例11>
適用例10に記載の基板処理システムであって、前記スペーサは、1/4インチから3/4インチの間の厚さを有する、基板処理システム。
<適用例12>
適用例1に記載の基板処理システムであって、前記寄生プラズマ低減要素は、前記シャワーヘッドの前記上面と前記カラーとの間に離間して配置された複数のプレートを備える、基板処理システム。
<適用例13>
適用例12に記載の基板処理システムであって、前記寄生プラズマ低減要素は、さらに、前記複数のプレートの内の隣接するプレートの間に配置されたスペーサを備える、基板処理システム。
<適用例14>
適用例12に記載の基板処理システムであって、前記複数のプレートの各々は、前記ステム部分の外径よりも大きい中央開口部を備えることで、パージガスが前記カラーから前記プレートの前記中央開口部を通って前記プレートの間を流れることを可能にする、基板処理システム。
<適用例15>
適用例12に記載の基板処理システムであって、さらに、前記複数のプレートと前記ステム部分との間に配置されたインサートを備える、基板処理システム。
<適用例16>
適用例15に記載の基板処理システムであって、前記インサートは、ポリイミド製である、基板処理システム。
<適用例17>
適用例15に記載の基板処理システムであって、前記インサートは、ステム部分および環状ベース部分を備え、前記ステム部分は、前記シャワーヘッドの前記ステム部分と隣接して接触するように配置され、前記環状ベース部分は、前記インサートのシャワーヘッド側の部分から外向きに伸びている、基板処理システム。
<適用例18>
適用例1に記載の基板処理システムであって、前記カラーは、
前記ステム部分に隣接して配置された内部カラーと、
前記内部カラーの上側部分の周りに配置された上側外部カラーと、
前記内部カラーの下側部分の周りに配置された下側外部カラーと、
を備える、基板処理システム。
<適用例19>
適用例18に記載の基板処理システムであって、前記寄生プラズマ低減要素は、前記シャワーヘッドの前記上面と前記カラーとの間に離間して配置された複数のプレートを備える、基板処理システム。
<適用例20>
適用例19に記載の基板処理システムであって、前記複数のプレートは、ねじ切りされた中央開口部を備え、前記下側外部カラーは、ねじ切りされた半径方向外面を備え、前記複数のプレートは、前記下側外部カラーに螺合される、基板処理システム。
<適用例21>
適用例20に記載の基板処理システムであって、前記内部カラーは、前記上側外部カラーと前記下側外部カラーとの間の空間と整列された複数の穴を備え、パージガスが、前記内部カラーの前記複数の穴を通して流れる、基板処理システム。
<適用例22>
適用例21に記載の基板処理システムであって、前記複数の穴は、前記複数のプレートの間にパージガスが流れることを可能にするために、前記中央開口部に沿って切り欠きを備える、基板処理システム。
<適用例23>
適用例21に記載の基板処理システムであって、前記内部カラーは、前記プレートと前記シャワーヘッドとの間にパージガスが流れることを可能にするために、シャワーヘッド側の端部に沿って開口部を備える、基板処理システム。
<適用例24>
適用例1に記載の基板処理システムであって、前記反応ガスは、酸素分子、水素分子、窒素分子、亜酸化窒素、および、アンモニアを含む群から選択される、基板処理システム。
<適用例25>
適用例1に記載の基板処理システムであって、前記反応ガスは酸素分子を含み、前記薄膜は二酸化シリコンを含む、基板処理システム。
<適用例26>
適用例1に記載の基板処理システムであって、前記反応ガスは亜酸化窒素を含み、前記薄膜は二酸化シリコンを含む、基板処理システム。
<適用例27>
適用例1に記載の基板処理システムであって、前記反応ガスは酸素分子を含み、前記薄膜は二酸化チタンを含む、基板処理システム。
<適用例28>
適用例1に記載の基板処理システムであって、前記反応ガスは亜酸化窒素を含み、前記薄膜は二酸化チタンを含む、基板処理システム。
<適用例29>
適用例1に記載の基板処理システムであって、前記反応ガスは窒素分子を含み、前記薄膜は窒化シリコンを含む、基板処理システム。
<適用例30>
適用例1に記載の基板処理システムであって、前記反応ガスはアンモニアを含み、前記薄膜は窒化シリコンを含む、基板処理システム。
<適用例31>
基板に薄膜を蒸着するための基板処理システムであって、
反応領域を規定する処理チャンバと、
シャワーヘッドであって、
前記処理チャンバの上面に隣接して接続された一端を有するステム部分と、
前記ステム部分の反対端に接続され、前記ステム部分から半径方向外向きに伸びるベース部分と、を含み、
処理ガスおよびパージガスの少なくとも一方を前記反応領域内に導入するように構成された、シャワーヘッドと、
前記反応領域内でRFプラズマを選択的に発生させるように構成されたプラズマ発生器と、
縁部調整システムであって、
前記シャワーヘッドの前記ベース部分と前記処理チャンバの前記上面との間で前記シャワーヘッドの前記ステム部分の周りに配置されたカラーであって、
前記カラーの内部空洞から、前記シャワーヘッドの前記ベース部分と前記処理チャンバの前記上面との間の領域に、パージガスを供給するための1または複数の穴を備える、カラーと、
前記カラーと前記シャワーヘッドの上面との間で前記ステム部分の周りに配置され、前記シャワーヘッドと前記処理チャンバの前記上面との間の寄生プラズマを低減するように構成され寄生プラズマ低減要素と、を含む縁部調整システムと、
を備え、
前記寄生プラズマ低減要素は、前記シャワーヘッドの前記上面と前記カラーとの間に離間して配置された複数のプレートを含む、基板処理システム。

Claims (31)

  1. 基板に薄膜を蒸着するための基板処理システムであって、
    反応領域を規定する処理チャンバと、
    シャワーヘッドであって、
    前記処理チャンバの上面に隣接して接続された一端を有するステム部分と、
    前記ステム部分の反対端に接続され、前記ステム部分から半径方向外向きに伸びるベース部分と、を含み、
    処理ガスおよびパージガスの少なくとも一方を前記反応領域内に導入するように構成された、シャワーヘッドと、
    前記反応領域内でRFプラズマを選択的に発生させるように構成されたプラズマ発生器と、
    縁部調整システムであって、
    前記シャワーヘッドの前記ベース部分と前記処理チャンバの前記上面との間で前記シャワーヘッドの前記ステム部分の周りに配置されたカラーであって、
    前記カラーの内部空洞から、前記シャワーヘッドの前記ベース部分と前記処理チャンバの前記上面との間の領域に、反応ガスであるパージガスを供給するための1または複数の穴を備える、カラーと、
    前記カラーと前記シャワーヘッドの上面との間で前記ステム部分の周りに配置され、前記シャワーヘッドと前記処理チャンバの前記上面との間の寄生プラズマを低減するように構成された寄生プラズマ低減要素と、を含む縁部調整システムと、
    を備える、基板処理システム。
  2. 請求項1に記載の基板処理システムであって、前記カラーは、略「T」字形の断面を有し、前記1または複数の穴は、前記シャワーヘッドの前記ステム部分と垂直に配置されている、基板処理システム。
  3. 請求項1に記載の基板処理システムであって、前記カラーは、前記カラーの前記内部空洞と前記ステム部分の外面との間に一様な間隔を提供するために、1または複数の突起を備える、基板処理システム。
  4. 請求項1に記載の基板処理システムであって、前記寄生プラズマ低減要素は、セラミック材料製のシャワーヘッドカバーを備える、基板処理システム。
  5. 請求項4に記載の基板処理システムであって、前記シャワーヘッドカバーは、前記シャワーヘッドの前記上面と前記シャワーヘッドの側面とを覆う略「C」字形の断面を有すると共に、3/8インチから1インチの間の厚さを有する、基板処理システム。
  6. 請求項5に記載の基板処理システムであって、さらに、前記シャワーヘッドカバーと前記シャワーヘッドの上面との間に配置されたスペーサを備える、基板処理システム。
  7. 請求項6に記載の基板処理システムであって、前記スペーサは、1/4インチから1/2インチの間の厚さを有する、基板処理システム。
  8. 請求項4に記載の基板処理システムであって、前記シャワーヘッドカバーは、前記シャワーヘッドの前記上面と前記シャワーヘッドの側面とを覆う略「C」字形の断面を有する第1の部分と、前記基板と垂直な平面内で前記第1の部分の両端から半径方向外向きに伸びる第2の部分と、を備える、基板処理システム。
  9. 請求項8に記載の基板処理システムであって、前記シャワーヘッドカバーは、1/16インチから1/4インチの間の厚さを有する、基板処理システム。
  10. 請求項8に記載の基板処理システムであって、さらに、前記シャワーヘッドカバーと前記シャワーヘッドの上面との間に配置されたスペーサを備える、基板処理システム。
  11. 請求項10に記載の基板処理システムであって、前記スペーサは、1/4インチから3/4インチの間の厚さを有する、基板処理システム。
  12. 請求項1に記載の基板処理システムであって、前記寄生プラズマ低減要素は、前記シャワーヘッドの前記上面と前記カラーとの間に離間して配置された複数のプレートを備える、基板処理システム。
  13. 請求項12に記載の基板処理システムであって、前記寄生プラズマ低減要素は、さらに、前記複数のプレートの内の隣接するプレートの間に配置されたスペーサを備える、基板処理システム。
  14. 請求項12に記載の基板処理システムであって、前記複数のプレートの各々は、前記ステム部分の外径よりも大きい中央開口部を備えることで、パージガスが前記カラーから前記プレートの前記中央開口部を通って前記プレートの間を流れることを可能にする、基板処理システム。
  15. 請求項12に記載の基板処理システムであって、さらに、前記複数のプレートと前記ステム部分との間に配置されたインサートを備える、基板処理システム。
  16. 請求項15に記載の基板処理システムであって、前記インサートは、ポリイミド製である、基板処理システム。
  17. 請求項15に記載の基板処理システムであって、前記インサートは、ステム部分および環状ベース部分を備え、前記ステム部分は、前記シャワーヘッドの前記ステム部分と隣接して接触するように配置され、前記環状ベース部分は、前記インサートのシャワーヘッド側の部分から外向きに伸びている、基板処理システム。
  18. 請求項1に記載の基板処理システムであって、前記カラーは、
    前記ステム部分に隣接して配置された内部カラーと、
    前記内部カラーの上側部分の周りに配置された上側外部カラーと、
    前記内部カラーの下側部分の周りに配置された下側外部カラーと、
    を備える、基板処理システム。
  19. 請求項18に記載の基板処理システムであって、前記寄生プラズマ低減要素は、前記シャワーヘッドの前記上面と前記カラーとの間に離間して配置された複数のプレートを備える、基板処理システム。
  20. 請求項19に記載の基板処理システムであって、前記複数のプレートは、ねじ切りされた中央開口部を備え、前記下側外部カラーは、ねじ切りされた半径方向外面を備え、前記複数のプレートは、前記下側外部カラーに螺合される、基板処理システム。
  21. 請求項20に記載の基板処理システムであって、前記内部カラーは、前記上側外部カラーと前記下側外部カラーとの間の空間と整列された複数の穴を備え、パージガスが、前記内部カラーの前記複数の穴を通して流れる、基板処理システム。
  22. 請求項21に記載の基板処理システムであって、前記複数の穴は、前記複数のプレートの間にパージガスが流れることを可能にするために、前記中央開口部に沿って切り欠きを備える、基板処理システム。
  23. 請求項21に記載の基板処理システムであって、前記内部カラーは、前記プレートと前記シャワーヘッドとの間にパージガスが流れることを可能にするために、シャワーヘッド側の端部に沿って開口部を備える、基板処理システム。
  24. 請求項1に記載の基板処理システムであって、前記反応ガスは、酸素分子、水素分子、窒素分子、亜酸化窒素、および、アンモニアを含む群から選択される、基板処理システム。
  25. 請求項1に記載の基板処理システムであって、前記反応ガスは酸素分子を含み、前記薄膜は二酸化シリコンを含む、基板処理システム。
  26. 請求項1に記載の基板処理システムであって、前記反応ガスは亜酸化窒素を含み、前記薄膜は二酸化シリコンを含む、基板処理システム。
  27. 請求項1に記載の基板処理システムであって、前記反応ガスは酸素分子を含み、前記薄膜は二酸化チタンを含む、基板処理システム。
  28. 請求項1に記載の基板処理システムであって、前記反応ガスは亜酸化窒素を含み、前記薄膜は二酸化チタンを含む、基板処理システム。
  29. 請求項1に記載の基板処理システムであって、前記反応ガスは窒素分子を含み、前記薄膜は窒化シリコンを含む、基板処理システム。
  30. 請求項1に記載の基板処理システムであって、前記反応ガスはアンモニアを含み、前記薄膜は窒化シリコンを含む、基板処理システム。
  31. 基板に薄膜を蒸着するための基板処理システムであって、
    反応領域を規定する処理チャンバと、
    シャワーヘッドであって、
    前記処理チャンバの上面に隣接して接続された一端を有するステム部分と、
    前記ステム部分の反対端に接続され、前記ステム部分から半径方向外向きに伸びるベース部分と、を含み、
    処理ガスおよびパージガスの少なくとも一方を前記反応領域内に導入するように構成された、シャワーヘッドと、
    前記反応領域内でRFプラズマを選択的に発生させるように構成されたプラズマ発生器と、
    縁部調整システムであって、
    前記シャワーヘッドの前記ベース部分と前記処理チャンバの前記上面との間で前記シャワーヘッドの前記ステム部分の周りに配置されたカラーであって、
    前記カラーの内部空洞から、前記シャワーヘッドの前記ベース部分と前記処理チャンバの前記上面との間の領域に、パージガスを供給するための1または複数の穴を備える、カラーと、
    前記カラーと前記シャワーヘッドの上面との間で前記ステム部分の周りに配置され、前記シャワーヘッドと前記処理チャンバの前記上面との間の寄生プラズマを低減するように構成され寄生プラズマ低減要素と、を含む縁部調整システムと、
    を備え、
    前記寄生プラズマ低減要素は、前記シャワーヘッドの前記上面と前記カラーとの間に離間して配置された複数のプレートを含む、基板処理システム。
JP2019155241A 2014-09-12 2019-08-28 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム Active JP6878527B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2021075846A JP7232864B2 (ja) 2014-09-12 2021-04-28 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462049767P 2014-09-12 2014-09-12
US62/049,767 2014-09-12
US14/668,174 2015-03-25
US14/668,174 US9793096B2 (en) 2014-09-12 2015-03-25 Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015173478A Division JP6580426B2 (ja) 2014-09-12 2015-09-03 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021075846A Division JP7232864B2 (ja) 2014-09-12 2021-04-28 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム

Publications (2)

Publication Number Publication Date
JP2020025100A true JP2020025100A (ja) 2020-02-13
JP6878527B2 JP6878527B2 (ja) 2021-05-26

Family

ID=55455414

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2015173478A Active JP6580426B2 (ja) 2014-09-12 2015-09-03 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム
JP2019155241A Active JP6878527B2 (ja) 2014-09-12 2019-08-28 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム
JP2021075846A Active JP7232864B2 (ja) 2014-09-12 2021-04-28 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015173478A Active JP6580426B2 (ja) 2014-09-12 2015-09-03 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2021075846A Active JP7232864B2 (ja) 2014-09-12 2021-04-28 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム

Country Status (6)

Country Link
US (3) US9793096B2 (ja)
JP (3) JP6580426B2 (ja)
KR (2) KR102333806B1 (ja)
CN (1) CN105428194B (ja)
SG (1) SG10201507194VA (ja)
TW (1) TWI671842B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102500678B1 (ko) * 2021-08-25 2023-02-16 주식회사 아이에스티이 기생 플라즈마 방지를 위한 샤워헤드 가스 공급장치

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
JP6794184B2 (ja) * 2016-08-31 2020-12-02 株式会社日本製鋼所 プラズマ原子層成長装置
US10622243B2 (en) 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR101850895B1 (ko) * 2017-01-03 2018-04-20 한국표준과학연구원 플라즈마 발생 장치
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
CN113597479A (zh) * 2019-03-11 2021-11-02 朗姆研究公司 用于清洁等离子体室的设备
CN113924635A (zh) * 2019-05-29 2022-01-11 朗姆研究公司 用于均匀度调整的喷头插件
KR20220035192A (ko) * 2019-07-17 2022-03-21 램 리써치 코포레이션 기판 프로세싱을 위한 산화 프로파일의 변조
WO2021188597A1 (en) * 2020-03-19 2021-09-23 Lam Research Corporation Showerhead purge collar

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09306900A (ja) * 1996-03-01 1997-11-28 Canon Inc マイクロ波プラズマ処理装置およびプラズマ処理方法
JP2014012891A (ja) * 2012-06-25 2014-01-23 Novellus Systems Incorporated 基板領域外の前駆体流およびプラズマを抑制することによる基板処理システム内の寄生成長の抑制
US20140217193A1 (en) * 2013-02-06 2014-08-07 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL287968A (ja) * 1962-03-15
JPS63227011A (ja) * 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
JP2725081B2 (ja) * 1990-07-05 1998-03-09 富士通株式会社 半導体装置製造用熱処理装置
US5446824A (en) * 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US7004107B1 (en) 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
KR100722592B1 (ko) * 1999-12-22 2007-05-28 아익스트론 아게 화학 기상 증착 반응기
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US20070187363A1 (en) * 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
KR101020160B1 (ko) 2006-03-03 2011-03-09 엘아이지에이디피 주식회사 플라즈마 처리장치
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
JP5233734B2 (ja) * 2008-02-20 2013-07-10 東京エレクトロン株式会社 ガス供給装置、成膜装置及び成膜方法
JP5659146B2 (ja) 2008-04-12 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ処理装置及び方法
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
WO2012122054A2 (en) * 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US20130071581A1 (en) * 2011-09-20 2013-03-21 Jonghoon Baek Plasma monitoring and minimizing stray capacitance
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10351955B2 (en) * 2013-12-18 2019-07-16 Lam Research Corporation Semiconductor substrate processing apparatus including uniformity baffles
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
CN104409309B (zh) * 2014-12-01 2016-09-21 逢甲大学 大面积等离子体处理装置与均匀等离子体生成方法
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10157755B2 (en) * 2015-10-01 2018-12-18 Lam Research Corporation Purge and pumping structures arranged beneath substrate plane to reduce defects
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
KR20220002741A (ko) * 2019-05-28 2022-01-06 어플라이드 머티어리얼스, 인코포레이티드 후면측 펌핑을 이용하는 열 프로세스 챔버 덮개

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09306900A (ja) * 1996-03-01 1997-11-28 Canon Inc マイクロ波プラズマ処理装置およびプラズマ処理方法
JP2014012891A (ja) * 2012-06-25 2014-01-23 Novellus Systems Incorporated 基板領域外の前駆体流およびプラズマを抑制することによる基板処理システム内の寄生成長の抑制
US20140217193A1 (en) * 2013-02-06 2014-08-07 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102500678B1 (ko) * 2021-08-25 2023-02-16 주식회사 아이에스티이 기생 플라즈마 방지를 위한 샤워헤드 가스 공급장치

Also Published As

Publication number Publication date
JP6580426B2 (ja) 2019-09-25
JP6878527B2 (ja) 2021-05-26
CN105428194B (zh) 2018-06-01
CN105428194A (zh) 2016-03-23
TW201626483A (zh) 2016-07-16
US9793096B2 (en) 2017-10-17
US20180068833A1 (en) 2018-03-08
US20200335304A1 (en) 2020-10-22
SG10201507194VA (en) 2016-04-28
KR102525777B1 (ko) 2023-04-25
JP7232864B2 (ja) 2023-03-03
TWI671842B (zh) 2019-09-11
KR20160031420A (ko) 2016-03-22
KR102333806B1 (ko) 2021-12-01
KR20210150330A (ko) 2021-12-10
US10665429B2 (en) 2020-05-26
US20160079036A1 (en) 2016-03-17
JP2016063221A (ja) 2016-04-25
US11127567B2 (en) 2021-09-21
JP2021119626A (ja) 2021-08-12

Similar Documents

Publication Publication Date Title
JP7232864B2 (ja) 寄生プラズマを抑制してウエハ内での不均一性を低減するための基板処理システム
KR20220147552A (ko) 임베딩된 전극을 갖는 세라믹 가스 분배 플레이트
KR102556603B1 (ko) 플라즈마 프로세싱 시스템들을 위한 고순도 sp3 결합들을 가진 화학적 기상 증착 (cvd) 다이아몬드 코팅을 포함한 에지 링들과 같은 컴포넌트들
TWI682062B (zh) 用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法
JP2018011056A (ja) 基板処理システムにおける再循環を低減するためのカラー、円錐形シャワーヘッド、および/または、トッププレート
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
JP2018078284A (ja) 開放空間均圧化通路および側方閉じ込めを備えた平坦な基板縁部接触部
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
US10900124B2 (en) Substrate processing chamber with showerhead having cooled faceplate
JP2024056884A (ja) 半導体基板処理におけるペデスタルへの蒸着の防止
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
TWI837137B (zh) 具備擁有經冷卻面板之噴淋頭的基板處理腔室
US11255017B2 (en) Systems and methods for flow monitoring in a precursor vapor supply system of a substrate processing system
KR102510611B1 (ko) 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법
TW202114051A (zh) 基板處理系統用的縮小直徑承載環硬件
TW202102715A (zh) 在原子層沉積(ald)基板處理腔室中調變膜性質用之支座
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190913

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210219

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210330

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210428

R150 Certificate of patent or registration of utility model

Ref document number: 6878527

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150