TWI682062B - 用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法 - Google Patents

用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法 Download PDF

Info

Publication number
TWI682062B
TWI682062B TW108125499A TW108125499A TWI682062B TW I682062 B TWI682062 B TW I682062B TW 108125499 A TW108125499 A TW 108125499A TW 108125499 A TW108125499 A TW 108125499A TW I682062 B TWI682062 B TW I682062B
Authority
TW
Taiwan
Prior art keywords
substrate
film
gas
backside
edge
Prior art date
Application number
TW108125499A
Other languages
English (en)
Other versions
TW201938839A (zh
Inventor
瑟沙 凡拉德拉真
珊卡 史旺明內森
山古特 尚朋
法蘭克 帕斯果
泰德 明歇爾
艾里恩 拉芙依
莫漢姆德 撒布里
科迪 巴奈特
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201938839A publication Critical patent/TW201938839A/zh
Application granted granted Critical
Publication of TWI682062B publication Critical patent/TWI682062B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

用於在基板上沉積膜的基板處理系統係包含處理腔室,其界定反應體積、且包含用於支撐基板之基板支撐體。氣體輸送系統係配置成將製程氣體導入處理腔室之反應體積中。電漿產生器係配置成在反應體積中選擇性地產生射頻(RF, Radio Frequency)電漿。固持系統係配置成在沉積膜的期間將基板固緊至基板支撐體。背側吹淨系統係配置成在沉積膜的期間將反應物氣體供應至基板之背側邊緣以吹淨該背側邊緣。

Description

用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法
本揭露內容係關於基板處理系統,更具體而言,係關於用以在沉積膜的期間減少背側膜沉積及減輕基板邊緣的厚度改變之系統及方法。
此處所提供的背景敘述係為了概略地呈現本揭露內容的背景。在本「先前技術」段落中所描述的範圍內之目前所列名的發明人的成果、及在申請時可能未以其他方式認定為先前技術的描述之態樣,並未明示或默示地被承認為是相對於本揭露內容的先前技術。
基板處理系統可用於執行基板上之膜的沉積。基板處理系統一般包含處理腔室,其界定反應體積。基板支撐體(例如基座、固具、卡盤等)係安置於處理腔室中。基板(例如半導體晶圓)係可安置於基板支撐體上。在原子層沉積期間(ALD, atomic layer deposition),執行一或更多的ALD循環以在基板上沉積膜。針對以電漿為基礎的ALD而言,各ALD循環係包含前驅物用劑、吹淨、射頻(RF, radio frequency)電漿用劑、及吹淨步驟。
在將膜沉積至基板上的期間,沉積亦可發生於基板上部以外的其他所期望之位置中。沉積可沿著基板的背側邊緣而發生(以下稱為「背側邊緣沉積」)。在隨後的處理期間,背側邊緣沉積可能引起問題。在間隔物應用中,背側邊緣沉積可能會在隨後的微影步驟期間引起散焦問題。
因ALD膜係固有地保形(由於表面飽和機制所致),應使半反應兩者在基板背側上皆最小化。換言之,在施加前驅物劑量期間應將流至基板背側的前驅物流最小化或排除。此外,亦需將環繞基板背側之電漿最小化或排除。
一般而言,可將吹淨氣體(例如:氬)指向基板的背側邊緣。然而,即使使用吹淨氣體時,仍可能發生背側沉積。在某些實例中,在從晶圓邊緣起算3 mm之處可能發生大於250 A的背側沉積。
用於在基板上沉積膜的基板處理系統係包含處理腔室,其界定反應體積、且包含用於支撐基板之基板支撐體。氣體輸送系統係配置成將製程氣體導入處理腔室之反應體積中。電漿產生器係配置成在反應體積中選擇性地產生射頻(RF, Radio Frequency)電漿。固持系統係配置成在沉積膜的期間將基板固緊至基板支撐體。背側吹淨系統係配置成在沉積膜的期間將反應物氣體供應至基板之背側邊緣以吹淨該背側邊緣。
在其他特徵部中,固持系統包含真空固持系統,以使用真空壓力來將基板固緊至基板支撐體。用以吹淨該背側邊緣之反應物氣體包含分子氧,而膜包含二氧化矽。用以吹淨該背側邊緣之反應物氣體包含一氧化二氮,而膜包含二氧化矽。用以吹淨該背側邊緣之反應物氣體包含分子氧,而膜包含二氧化鈦。用以吹淨該背側邊緣之反應物氣體包含一氧化二氮,而膜包含二氧化鈦。用以吹淨該背側邊緣之反應物氣體包含分子氮,而膜包含氮化矽。用以吹淨該背側邊緣之反應物氣體包含氨,而膜包含氮化矽。
在其他特徵部中,使用原子層沉積法來沉積膜。在缺乏真空壓力的情況下,背側吹淨系統以足以移動基板的流率來流動反應物氣體。真空固持系統包含閥、孔穴、及真空源,其中該孔穴設置於基板支撐體之面向基板的表面上且與該閥流體連通,而該真空源與該閥流體連通。
在其他特徵部中,背側吹淨系統包含閥、孔穴、及反應物氣體源,其中該孔穴設置於基板支撐體之面向基板的表面上且鄰近該基板之邊緣且與閥流體連通,而該反應物氣體源與該閥流體連通。
在其他特徵部中,控制器係配置成在一或更多的原子層沉積循環期間控制氣體輸送系統、電漿產生器、夾持系統、及背側吹淨系統。
在基板上沉積膜的方法包含以下步驟:在處理腔室的反應體積中將基板安置於基板支撐體上;將製程氣體選擇性地導入至該處理腔室的反應體積中,並產生RF電漿,以在該基板上沉積膜;在沉積該膜的期間將該基板固緊至該基板支撐體;及在沉積該膜的期間供應反應物氣體至該基板的背側邊緣以吹淨該基板的邊緣之背側。
在其他特徵部中,將該基板固緊至該基板支撐體的步驟係使用真空壓力。用以吹淨該背側邊緣之反應物氣體包含分子氧,而膜包含二氧化矽。用以吹淨該背側邊緣之反應物氣體包含一氧化二氮,而膜包含二氧化矽。用以吹淨該背側邊緣之反應物氣體包含分子氧,而膜包含二氧化鈦。用以吹淨該背側邊緣之反應物氣體包含一氧化二氮,而膜包含二氧化鈦。用以吹淨該背側邊緣之反應物氣體包含分子氮,而膜包含氮化矽。用以吹淨該背側邊緣之反應物氣體包含氨,而膜包含氮化矽。
在其他特徵部中,使用原子層沉積法來沉積膜。該方法更包含以下步驟:將該處理腔室維持於2至3 Torr的真空壓力下;及以150至450 sccm的流率流動該反應物氣體。該固緊步驟包含以下步驟:將孔穴設置於基板支撐體之面向基板的表面上,其中該孔穴與閥流體連通;設置與該閥流體連通的真空源;及控制該閥以將該基板真空地固緊至該基板支撐體。
在其他特徵部中,該供應該反應物氣體的步驟包含以下步驟:將孔穴設置於該基板支撐體之面向基板的表面上且鄰近該基板之邊緣,其中該孔穴與閥流體連通;設置與該閥流體連通的反應物氣體源;及控制該閥來供應該反應物氣體以吹淨該基板的背側邊緣。
本揭露內容的可應用性之進一步範圍將從實施方式、請求項、及圖式而變得清楚明瞭。實施方式及具體實例僅意為說明之目的且並非意為限制本揭露內容之範疇。
依據本揭露內容之系統及方法係在以RF電漿為基礎之ALD期間減少或排除所沉積之膜的背側沉積。本說明書中所敘述之系統及方法係利用反應物氣體替代非反應性或惰性之氣體來實施背側邊緣吹淨。僅以舉例而言,當沉積二氧化矽(SiO 2)或二氧化鈦(TiO 2)膜時,分子氧(O 2)或一氧化二氮(N 2O)可作為背側邊緣吹淨氣體來使用。僅以舉例而言,當沉積氮化矽(SiN)膜時,分子氮(N 2)或氨(NH 3) 可作為背側邊緣吹淨氣體來使用。此外,雖然SiO 2及TiO 2特別揭露於本說明書中,但本揭露內容係涉及其他ALD的氧化物或氮化物膜,包含矽(Si)、鉿(Hf) 、鋁(Al)、鈦(Ti) 、鋯(Zr)等。
在某些實例中,可使用增加的流率來執行背側邊緣吹淨,以將背側沉積減少或排除至可接受的程度以下。為防止基板因背側邊緣吹淨氣體的高流率所致而移動,可將基板固緊。僅以舉例而言,基板之真空固持可使用足以抵銷在基板邊緣處由背側吹淨氣體所施加之正壓的真空壓力。在某些實例中,背側吹淨氣體係減輕寄生功率損失,並防止在基板邊緣處的厚度變化。
在某些實例中,將反應物氣體供應至基板支撐體,其包含指向基板之邊緣處的邊緣吹淨狹長槽孔或孔穴。反應物氣體係以相當高的流率供應至基板之背側邊緣,以抑制背側邊緣沉積。可於基板的中央部分使用真空固持,以在沉積期間將基板支撐在適當位置。在某些實例中,藉由將一或更多狹長槽孔或孔穴設置於基板之下,並藉由使用閥而選擇性地將該一或更多狹長槽孔或孔穴連接至真空源,可執行真空固持。在某些實例中,真空壓力將向下的壓力施加在基板的一部分上,該壓力係高於施加在基板的徑向外緣上之向上的壓力。
在某些實例中,氧係作為背側吹淨氣體來使用。氧之使用係協助防止邊緣吹淨狹長槽孔中的點燃現象、及/或當使用氬時所觀察到之相關的中空陰極放電(HCD, hollow cathode discharge)訊跡。相較於氧而言,氬具有較低的崩潰電壓。當使用氧來替代氬時,亦排除正側邊緣輪廓上的厚度變化(尤其於凹槽處)。
現參照圖1,顯示一帕邢曲線(Paschen curve)。在一般製程壓力(例如:2-10 Torr)下,惰性氣體(例如:氬)的崩潰電壓係相對低。如可見,分子氫及氮的崩潰電壓係較高。在某些實施例中,背側吹淨氣體係經選擇而具有較氬而言更高的崩潰電壓。
現參照圖2,依據本揭露內容,顯示基板處理系統10之範例,其用於:使用ALD來沉積膜、以反應物氣體來進行背側吹淨、及進行真空固持。基板處理系統10包含處理腔室12。可使用氣體分配裝置14(例如噴淋頭或其他裝置)來將製程氣體供應至處理腔室12。可於處理期間將基板18(例如半導體晶圓)安置於基板支撐體16上。基板支撐體16可包含基座、靜電式固具、機械式固具、或其他類型的基板支撐體。
氣體輸送系統20可包含一或更多的氣體源22-1、22-2、…、及22-N(統稱為氣體源22),其中N係為大於1的整數。閥24-1、24-2、…、及24-N(統稱為閥24)、質量流量控制器26-1、26-2、…、及26-N(統稱為質量流量控制器26)、或其他流量控制裝置可用以將前驅物劑量、電漿氣體混合物、惰性氣體、吹淨氣體、及其混合物可控制地供應至岐管30,該岐管係將氣體混合物供應至處理腔室12。
控制器40可用以監控例如溫度、壓力等之製程參數(使用感測器41)、並控制製程時序。控制器40可用以控制製程裝置,例如氣體輸送系統20、基板支撐體加熱器42、及/或RF電漿產生器46。藉由使用閥50及泵浦52,控制器40亦可用以將處理腔室12抽空。
RF電漿產生器46在處理腔室中產生RF電漿。RF電漿產生器46可為電感式或電容式的RF電漿產生器。在某些實例中,RF電漿產生器46可包含RF供應器60及匹配與分配網路64。儘管RF電漿產生器46係顯示為連接至氣體分配裝置14,而基板支撐體16係為接地或浮動,但RF電漿產生器46可連接至基板支撐體16,而氣體分配裝置14可為接地或浮動。
真空固持系統68可用以將基板支撐於基板支撐體上。僅以舉例而言,真空固持系統68可包含閥70,其選擇性地將位於基板支撐體16的一部分中之一或更多狹長槽孔或孔穴連接至真空源72。該一或更多狹長槽孔或孔穴可以規則或不規則的間隔來隔開。或者,該一或更多狹長槽孔或孔穴可包含一或更多環狀的狹長槽孔或孔穴、一或更多弧狀的狹長槽孔或孔穴、及/或任何其他合適的形狀。如可理解地,可以例如使用靜電力、機械力等之其他合適的方式來將基板18固緊至基板支撐體16。
可使用背側吹淨系統74來供應反應物氣體以吹淨基板之徑向外緣。在某些實例中,背側吹淨系統74可包含閥76,其選擇性地將吹淨氣體源78(如:針對SiO 2膜使用O 2或N 2O、或者針對SiN膜使用N 2或NH 3)連接至位於基板18之背側邊緣鄰近處的一或更多邊緣吹淨狹長槽孔或孔穴。該一或更多邊緣吹淨狹長槽孔或孔穴可以規則或不規則的間隔來隔開。或者,該一或更多邊緣吹淨狹長槽孔或孔穴可包含一或更多環狀的狹長槽孔或孔穴(且位於基板之整體邊緣的鄰近處)、一或更多弧狀的狹長槽孔或孔穴、及/或任何其他合適的形狀。在某些實例中,閥76可為具有兩或更多位置的可變孔閥、具有兩或更多位置或階段的多階段閥等,以允許使用不同的流率。
現參照圖3,邊緣環104支撐於基板支撐體16之徑向外部上,且界定內突出部106,以容納基板18之徑向外緣。基板支撐體16亦界定一或更多狹長槽孔或孔穴114。藉由閥70(圖2),狹長槽孔或孔穴114係選擇性地連接至真空源72。舉例而言,一或更多流體導管116可將一或更多狹長槽孔或孔穴114連接至真空源72。如118處所示,真空源72將一或更多狹長槽孔或孔穴114抽空,而在部分基板18上提供真空固持力。
藉由閥76(圖2)及一或更多流體導管134,一或更多邊緣吹淨狹長槽孔或孔穴130係可選擇性地連接至吹淨氣體源78。如136處所顯示,反應物氣體係供應至邊緣吹淨狹長槽孔或孔穴130。在某些實例中,邊緣吹淨狹長槽孔或孔穴130係設置成將反應物氣體指向背側邊緣。例如,可以相對於基板18呈角度θ來設置邊緣吹淨狹長槽孔或孔穴130。在某些實例中,角度θ係大於0º並小於90º。在某些實例中,角度θ係在約30º至60º、或40º至50º的範圍中。
在無真空固持的情況下,於一般製程壓力下,高於約150 sccm的背側吹淨流之流率視覺上看起來似乎將基板移動。因此,較高的流率具有引起破損或故障的風險。在背側上具有真空固持的情況下(於2.2 Torr的一般製程壓力下),流率可增加至約450 sccm。在某些實例中,背側吹淨氣體的流率係介於150 sccm與450 sccm之間,然而其他值可用於其他製程壓力。
現參照圖4A及4B,對於在基板正側上具有目標膜厚度350 A的膜而言,可使用最少250 sccm的流率(與氣體Ar或O 2的性質無關)來將在3 mm邊緣排除區的背側沉積抑制至小於50 A。在此實例中,約300 sccm顯示某些額外的改善效能。
現參照圖5,當將背側吹淨氣體由惰性氣體Ar切換至反應性氣體O 2時,亦可達成在凹槽處之厚度不連續性的排除。此係歸因於藉由使用O 2(其在一般製程壓力下具有較高的崩潰電壓)來減少寄生功率損失。相較於Ar,在使用O 2的情況下,亦有減少的HCD及點燃情形。如圖5中所示之沉積率的減少情形亦指示相較於使用Ar,使用O 2的情況下有較高的功率傳送至晶圓。由於此電漿轉換狀態中的緻密化所致,較高的功率會造成較低的沉積率。
現參照圖6,顯示用於使用ALD來沉積膜的方法200之範例。在202,開始實施真空固持及使用反應性氣體之背側吹淨。在204,將前驅物劑量導入至處理腔室之反應體積中。在206,在一段預定的曝露期間之後,將該前驅物劑量自該反應體積吹淨。在210中,導入電漿劑量。在214,將該電漿劑量吹淨。在216,可執行一或更多額外的循環。若如在216所決定,需要額外的循環,則控制回到204。若216不成立,則以220繼續控制,而將真空固持及背側吹淨關閉。
現參照圖7,顯示ALD循環的其他例示性時序。LCD(line charge delay)意指管線進料延遲,PtB(pump to base pressure)意指抽氣至基礎壓力,而PA(pump away)意指抽空。LCD期間係用以在實際沉積之前使供應管線進料,而PtB及PA期間係為沉積後的行動,其主要用於將處理腔室抽空,而減少氣相粒子。在此實例中,背側吹淨係為兩階段的信號。在設備的環境適應期間(soak period)使用較低值,而接著在該ALD循環中的其餘控制期間使用較高值。可使用該兩階段以允許真空固持在背側吹淨氣體達到穩定狀態的吹淨壓力之前開啟並達到穩定狀態的真空壓力。該兩階段開啟會減少背側吹淨氣體開啟時基板移動的機率。
在某些實例中,該膜為SiO 2。針對SiO 2膜,前驅物劑量可包含二異丙基胺基矽烷(DIPAS, diisopropylaminosilane)、,N,N,N',N'-四乙基矽烷二胺 (SAM24, Silanediamine,N,N,N',N'-tetraethyl)、三(二甲基胺基)矽烷(3DMAS, tris[dimethylamino]silane)、或其他合適的前驅物;電漿氣體混合物可包含Ar、O 2、N 2、N 2O、前述氣體之兩或更多者的組合、或其他合適的電漿氣體混合物;而背側吹淨氣體包含O 2或N 2
在某些實施例中,該膜為TiO 2。前驅物劑量可包含四-二甲基-胺基-鈦(TDMAT, tetrakis-dimethyl-amino-titanium)、四氯化鈦(TiCl 4)、或其他合適的前驅物;電漿氣體混合物可包含Ar、O 2、N 2、N 2O、前述氣體之兩或更多者的組合、或其他合適的電漿氣體混合物;而背側吹淨氣體包含O 2或N 2
在某些實例中,該膜為SiN。電漿氣體混合物可包含NH 3、N 2、及Ar、前述氣體之兩或更多者的組合、或其他合適的電漿氣體混合物;而背側吹淨氣體包含N 2或NH 3
先前的敘述實質上僅為說明性,且無限制本揭露內容、其應用、或使用之意圖。可以各種形式來實施本揭露內容之主要教示。因此,儘管本揭露內容包含特定的實例,由於根據圖式、說明書、及下列請求項的研究,其他修改將變得清楚明瞭,故本揭露內容的真實範疇不應受到如此限制。如此處所使用,用語「A、B、及C其中之至少一者」應解釋為意指使用非排除性邏輯上的OR之邏輯上的(A or B or C),且不應解釋為意指「A中之至少一者、B中之至少一者、及C中之至少一者」。應瞭解,可在不改變本揭露內容之原則的情況下,以不同的順序(或同時)執行方法中的一或更多的步驟。
在某些實施例中,控制器係為系統的部分,其可為上述實例的部分。此類系統可包含半導體處理設備,含一或複數處理工具、一或複數腔室、用於處理的一或複數工作台、及/或特定處理元件(晶圓基座支撐、氣體氣流系統等)。該等系統可與電子設備整合,以於半導體晶圓或基板之處理前、處理期間、及處理後控制其操作。可將該等電子裝置稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理之需求及/或系統之類型,可將控制器程式化以控制本說明書中所揭露之製程的任一者,包含處理氣體之輸送、溫度設定(如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、RF產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs, digital signal processors)、定義為特殊應用積體電路(ASICs, application specific integrated circuits)之晶片、及/或執行程式指令(如:軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在某些實施中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在某些實施中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(如:伺服器)可透過網路將製程配方提供至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在某些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本說明書中所敘述之製程及控制。用於此類目的之分開的控制器之範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD, physical vapor deposition)腔室或模組、化學氣相沉積(CVD, chemical vapor deposition)腔室或模組、原子層沉積(ALD, atomic layer deposition)腔室或模組、原子層蝕刻(ALE, atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊連通:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
10              基板處理系統 12              處理腔室 14              氣體分配裝置 16              基板支撐體 18              基板 20              氣體輸送系統 22-1           氣體源 22-2           氣體源 22-N          氣體源 24-1           閥 24-N          閥 26-1           質量流量控制器 26-N          質量流量控制器 30              岐管 40              控制器 41              感測器 42              基板支撐體加熱器 46              射頻電漿產生器 50              閥 52              泵浦 60              射頻供應器 64              匹配與分配網路 68              真空固持系統 70              閥 72              真空源 74              背側吹淨系統 76              閥 78              吹淨氣體源 104            邊緣環 106            內突出部 114            狹長槽孔或孔穴 116            流體導管 130            邊緣吹淨狹長槽孔或孔穴 134            流體導管 200            方法 202            開始實施真空固持及使用反應性氣體之背側吹淨 204            將前驅物劑量導入至反應體積中 206            將前驅物劑量自反應體積吹淨 210            導入電漿劑量 214            將該電漿劑量吹淨 216            需要額外循環? 220            將真空固持及背側吹淨關閉
本揭露內容將從實施方式及隨附圖式而變得更能徹底理解,其中︰
圖1針對各種氣體,係為繪示崩潰電壓作為壓力之函數的圖;
圖2依據本揭露內容,係為基板處理系統之範例的功能方塊圖,該系統係具有真空固持及使用反應性氣體之背側吹淨;
圖3依據本揭露內容,係為繪示基板支撐體之範例的透視圖,該基板支撐體包含真空固持系統及背側吹淨系統;
圖4A係為繪示背側X線掃描(徑向的)之圖,其係針對使用氧之各種背側吹淨流率;
圖4B係為繪示背側邊緣環掃描(方位角的)之圖,其係針對使用氧之各種背側吹淨流率;
圖5繪示在250 sccm下氬及氧之背側吹淨氣體的前側沉積厚度;
圖6依據本揭露內容,係為流程圖,其說明用於使用真空固持及背側吹淨來處理基板的方法之範例;及
圖7依據本揭露內容,係為說明ALD循環期間之製程氣體、真空固持、吹淨氣體之時序範例的圖。
在該等圖式中,可重複使用參考符號以識別相似及/或相同的元件。
16              基板支撐體 18              基板 104            邊緣環 106            內突出部 114            狹長槽孔或孔穴 116            流體導管 130            邊緣吹淨狹長槽孔或孔穴 134            流體導管

Claims (8)

  1. 一種用以在基板上沉積膜的基板處理系統,包含: 一處理腔室,其界定一反應體積且包含用於支撐該基板的一基板支撐體; 一氣體輸送系統,其係配置以將製程氣體導入該處理腔室之該反應體積中; 一電漿產生器,其係配置以在該反應體積中選擇性地產生RF電漿; 一固持系統,其係配置以在沉積該膜的期間將該基板固緊至該基板支撐體;以及 一背側吹淨系統,其係配置以在沉積該膜的期間及在該反應體積中產生該RF電漿的期間,供應作為吹淨氣體的反應物氣體(而非惰性氣體)至該基板的背側邊緣以吹淨該背側邊緣, 其中,為了供應該吹淨氣體, 該背側吹淨系統係配置以在產生該RF電漿的期間,以大於或等於150 sccm的流率流動該吹淨氣體,俾吹淨該背側邊緣, 該背側吹淨系統係配置以提供分子氧以作為該吹淨氣體,並且 該背側吹淨系統係配置以提供該分子氧以作為該吹淨氣體俾:(i) 在該反應體積中產生該RF電漿的期間,抑制靠近該背側邊緣的電漿點燃現象及中空陰極放電訊跡之其中至少一者,以及(ii) 抑制與該吹淨氣體相關的寄生功率損失。
  2. 如申請專利範圍第1項之用以在基板上沉積膜的基板處理系統,其中該固持系統包含一真空固持系統,以利用真空壓力將該基板固緊至該基板支撐體。
  3. 如申請專利範圍第1項之用以在基板上沉積膜的基板處理系統,其中該膜包含二氧化矽。
  4. 如申請專利範圍第1項之用以在基板上沉積膜的基板處理系統,其中使用原子層沉積法來沉積該膜。
  5. 如申請專利範圍第2項之用以在基板上沉積膜的基板處理系統,其中該流率足以在缺乏真空壓力的情況下移動該基板。
  6. 如申請專利範圍第2項之用以在基板上沉積膜的基板處理系統,其中該真空固持系統包含: 一閥; 一孔穴,其係設置於該基板支撐體之面向基板的表面上,其中該孔穴與該閥流體連通;以及 一真空源,其與該閥流體連通。
  7. 如申請專利範圍第1項之用以在基板上沉積膜的基板處理系統,其中該背側吹淨系統包含: 一閥; 一孔穴,其係設置於該基板支撐體之面向基板的表面上且鄰近該基板之邊緣,其中該孔穴與該閥流體連通;以及 一反應物氣體源,其與該閥流體連通。
  8. 如申請專利範圍第1項之用以在基板上沉積膜的基板處理系統,更包含一控制器,該控制器係配置以在一或更多原子層沉積循環期間控制該氣體輸送系統、該電漿產生器、該固持系統、及該背側吹淨系統。
TW108125499A 2014-09-12 2015-09-10 用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法 TWI682062B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/485,142 US9460915B2 (en) 2014-09-12 2014-09-12 Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US14/485,142 2014-09-12

Publications (2)

Publication Number Publication Date
TW201938839A TW201938839A (zh) 2019-10-01
TWI682062B true TWI682062B (zh) 2020-01-11

Family

ID=55455423

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108125499A TWI682062B (zh) 2014-09-12 2015-09-10 用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法
TW104129861A TWI675124B (zh) 2014-09-12 2015-09-10 用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW104129861A TWI675124B (zh) 2014-09-12 2015-09-10 用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法

Country Status (5)

Country Link
US (2) US9460915B2 (zh)
JP (1) JP6578163B2 (zh)
KR (2) KR102454243B1 (zh)
CN (2) CN108642474B (zh)
TW (2) TWI682062B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI795030B (zh) * 2020-10-15 2023-03-01 美商應用材料股份有限公司 用於減少斜面沉積的基板支撐組件及方法

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10094018B2 (en) * 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
TWI734770B (zh) 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
US10777386B2 (en) * 2017-10-17 2020-09-15 Lam Research Corporation Methods for controlling plasma glow discharge in a plasma chamber
JP7178177B2 (ja) * 2018-03-22 2022-11-25 東京エレクトロン株式会社 基板処理装置
KR20210111354A (ko) * 2019-01-31 2021-09-10 램 리써치 코포레이션 설정가능한 (configurable) 가스 유출구들을 갖는 샤워헤드
US11031236B2 (en) 2019-10-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving surface of semiconductor device
CN112981372B (zh) * 2019-12-12 2024-02-13 Asm Ip私人控股有限公司 衬底支撑板、包括它的衬底处理设备以及衬底处理方法
KR20220119112A (ko) * 2019-12-20 2022-08-26 램 리써치 코포레이션 에지/중심 불균일성 완화를 위한 웨이퍼의 외측 주변부 근방 리세스된 영역들을 특징으로 하는 반도체 프로세싱 척들
US11923295B2 (en) 2020-02-19 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect level with high resistance layer and method of forming the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275976A (en) * 1990-12-27 1994-01-04 Texas Instruments Incorporated Process chamber purge module for semiconductor processing equipment
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
TW466541B (en) * 1999-02-09 2001-12-01 Applied Materials Inc Wafer pedestal with a purge ring
CN101147248A (zh) * 2005-03-21 2008-03-19 东京毅力科创株式会社 等离子体增强原子层沉积系统和方法
TW201403714A (zh) * 2012-04-26 2014-01-16 Spts Technologies Ltd 沉積二氧化矽膜的方法
CN104008997A (zh) * 2014-06-04 2014-08-27 复旦大学 一种超低介电常数绝缘薄膜及其制备方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6223447B1 (en) 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
KR100682305B1 (ko) * 2005-05-24 2007-02-15 주식회사 에이디피엔지니어링 클램핑 장치
US7993465B2 (en) 2006-09-07 2011-08-09 Applied Materials, Inc. Electrostatic chuck cleaning during semiconductor substrate processing
US20080179287A1 (en) 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with wafer front side gas purge
JP2008198739A (ja) * 2007-02-09 2008-08-28 Tokyo Electron Ltd 載置台構造、これを用いた処理装置及びこの装置の使用方法
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
WO2010016499A1 (ja) * 2008-08-05 2010-02-11 東京エレクトロン株式会社 載置台構造

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US5275976A (en) * 1990-12-27 1994-01-04 Texas Instruments Incorporated Process chamber purge module for semiconductor processing equipment
TW466541B (en) * 1999-02-09 2001-12-01 Applied Materials Inc Wafer pedestal with a purge ring
CN101147248A (zh) * 2005-03-21 2008-03-19 东京毅力科创株式会社 等离子体增强原子层沉积系统和方法
TW201403714A (zh) * 2012-04-26 2014-01-16 Spts Technologies Ltd 沉積二氧化矽膜的方法
CN104008997A (zh) * 2014-06-04 2014-08-27 复旦大学 一种超低介电常数绝缘薄膜及其制备方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI795030B (zh) * 2020-10-15 2023-03-01 美商應用材料股份有限公司 用於減少斜面沉積的基板支撐組件及方法

Also Published As

Publication number Publication date
KR20220142411A (ko) 2022-10-21
CN105420685A (zh) 2016-03-23
TWI675124B (zh) 2019-10-21
US20160079057A1 (en) 2016-03-17
US20160372318A1 (en) 2016-12-22
KR20160031419A (ko) 2016-03-22
JP2016063223A (ja) 2016-04-25
TW201623684A (zh) 2016-07-01
KR102598660B1 (ko) 2023-11-06
CN108642474B (zh) 2020-09-15
CN105420685B (zh) 2018-06-15
CN108642474A (zh) 2018-10-12
KR102454243B1 (ko) 2022-10-12
TW201938839A (zh) 2019-10-01
US9460915B2 (en) 2016-10-04
JP6578163B2 (ja) 2019-09-18
US9852901B2 (en) 2017-12-26

Similar Documents

Publication Publication Date Title
TWI682062B (zh) 用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
TWI687539B (zh) 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法
TWI718120B (zh) 使用作為基板處理系統中的硬遮罩之非晶碳與矽膜的金屬摻雜
TWI695082B (zh) 無氨無氯保形氮化矽膜的沉積方法
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
TW201819664A (zh) 用於增強的填充與減小的基板攻擊之鎢的原子層沉積
JP2021503551A (ja) Pecvd金属ドープ炭素ハードマスクのための同質界面層を蒸着するためのシステムおよび方法
JP2017036493A (ja) 原子層堆積中における化学物質の制御された分離および送出により低欠陥処理を可能にするシステムおよび方法
WO2021011950A1 (en) Modulation of oxidation profile for substrate processing
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法