KR102598660B1 - 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들 - Google Patents

기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR102598660B1
KR102598660B1 KR1020220128496A KR20220128496A KR102598660B1 KR 102598660 B1 KR102598660 B1 KR 102598660B1 KR 1020220128496 A KR1020220128496 A KR 1020220128496A KR 20220128496 A KR20220128496 A KR 20220128496A KR 102598660 B1 KR102598660 B1 KR 102598660B1
Authority
KR
South Korea
Prior art keywords
substrate
gas
backside
film
processing system
Prior art date
Application number
KR1020220128496A
Other languages
English (en)
Other versions
KR20220142411A (ko
Inventor
세샤 바라다라잔
샹카 스와미나단
상러트 상플러그
프랭크 파스콸레
테드 민셜
애드리언 라보이
모하메드 사브리
코디 바네트
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220142411A publication Critical patent/KR20220142411A/ko
Application granted granted Critical
Publication of KR102598660B1 publication Critical patent/KR102598660B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

기판 상에 막을 증착하기 위한 기판 프로세싱 시스템은 반응 볼륨을 규정하고 기판을 지지하기 위한 기판 지지부를 포함하는 프로세싱 챔버를 포함한다. 가스 전달 시스템은 프로세싱 챔버의 반응 볼륨 내로 프로세스 가스를 도입하도록 구성된다. 플라즈마 생성기는 반응 볼륨 내에 RF 플라즈마를 선택적으로 생성하도록 구성된다. 클램핑 시스템은 막의 증착 동안 기판 지지부에 기판을 클램핑하도록 구성된다. 이면 퍼징 시스템은 막의 증착 동안 이면 에지를 퍼지하도록 기판의 이면 에지에 반응물질 가스를 공급하도록 구성된다.

Description

기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들{SYSTEMS AND METHODS FOR REDUCING BACKSIDE DEPOSITION AND MITIGATING THICKNESS CHANGES AT SUBSTRATE EDGES}
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 막 증착 동안 이면 막 증착을 감소시키고 기판 에지들의 두께 변화들을 완화하기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경기술 섹션에 기술되는 정도의 성과뿐만 아니라 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 기판 상에 막의 증착을 수행하도록 사용될 수도 있다. 기판 프로세싱 시스템들은 통상적으로 반응 볼륨을 규정하는 프로세싱 챔버를 포함한다. 페데스탈, 척, 플레이트 등과 같은 기판 지지부는 프로세싱 챔버 내에 배열된다. 반도체 웨이퍼와 같은 기판은 기판 지지부 상에 배열될 수도 있다. ALD (atomic layer deposition) 동안, 하나 이상의 ALD 사이클들은 기판 상에 막을 증착하도록 수행된다. 플라즈마-기반 ALD에 대해, ALD 사이클 각각은 전구체 도즈 (dose) 단계, 퍼지 단계, RF 플라즈마 도즈 단계, 및 퍼지 단계를 포함한다.
기판 상의 막의 증착 동안, 증착은 또한 목표되는 기판의 상단 부분과는 다른 위치들에서 일어날 수도 있다. 증착은 기판의 이면 에지 (backside edge) 를 따라 일어날 수도 있다 (이하에서, "이면 에지 증착"). 이면 에지 증착은 차후의 프로세싱 동안 문제들을 유발할 수도 있다. 스페이서 적용들에서, 이면 에지 증착은 차후의 리소그래피 단계들 동안 디포커싱 (defocussing) 이슈들을 유발할 수도 있다.
ALD 막들은 (표면-포화된 메커니즘에 기인하여) 본질적으로 컨포멀하기 (conformal) 때문에, 절반 (half) 반응들 둘 다는 기판의 이면 상에서 최소화되어야 한다. 즉, 전구체 도즈 동안 기판의 이면으로의 전구체의 플로우는 최소화되거나 제거되어야 한다. 게다가, 기판의 이면에 대한 플라즈마 랩 어라운드 (plasma wrap around) 가 또한 최소화되거나 제거될 필요가 있다.
통상적으로, 아르곤과 같은 퍼지 가스는 기판의 이면 에지로 지향될 수도 있다. 그러나, 퍼지 가스를 사용할 때조차도, 이면 증착이 여전히 일어날 수도 있다. 일부 예들에서, 웨이퍼 에지로부터 3 ㎜에서 250 Å 초과의 이면 증착이 일어날 수도 있다.
기판 상에 막을 증착하기 위한 기판 프로세싱 시스템은, 반응 볼륨을 규정하고 기판을 지지하기 위한 기판 지지부를 포함하는 프로세싱 챔버를 포함한다. 가스 전달 시스템은 프로세싱 챔버의 반응 볼륨 내로 프로세스 가스를 도입하도록 구성된다. 플라즈마 생성기는 반응 볼륨 내에 RF 플라즈마를 선택적으로 생성하도록 구성된다. 클램핑 시스템은 막의 증착 동안 기판 지지부에 기판을 클램핑하도록 구성된다. 이면 퍼징 시스템은 막의 증착 동안 이면 에지를 퍼지하도록 기판의 이면 에지에 반응물질 가스를 공급하도록 구성된다.
다른 특징들에서, 클램핑 시스템은 진공 압력을 사용하여 기판 지지부에 기판을 클램핑하기 위한 진공 클램핑 시스템을 포함한다. 이면 에지를 퍼지하기 위한 반응물질 가스는 분자 산소를 포함하고 막은 이산화실리콘을 포함한다. 이면 에지를 퍼지하기 위한 반응물질 가스는 아산화질소를 포함하고 막은 이산화실리콘을 포함한다. 이면 에지를 퍼지하기 위한 반응물질 가스는 분자 산소를 포함하고 막은 이산화티타늄을 포함한다. 이면 에지를 퍼지하기 위한 반응물질 가스는 아산화질소를 포함하고 막은 이산화티타늄을 포함한다. 이면 에지를 퍼지하기 위한 반응물질 가스는 분자 질소를 포함하고 막은 질화실리콘을 포함한다. 이면 에지를 퍼지하기 위한 반응물질 가스는 암모니아를 포함하고 막은 질화실리콘을 포함한다.
다른 특징들에서, 막은 원자층 증착을 사용하여 증착된다. 이면 퍼징 시스템은 진공 압력의 부재시에 기판을 이동시키는데 충분한 레이트로 반응물질 가스를 흘린다. 진공 클램핑 시스템은 밸브, 기판 지지부의 기판-대향 표면 (substrate-facing surface) 상에 배열된 캐비티로서, 밸브와 유체 연통하는, 캐비티, 및 밸브와 유체 연통하는 진공 소스를 포함한다.
다른 특징들에서, 이면 퍼징 시스템은 밸브, 기판의 에지에 인접한 기판 지지부의 기판-대향 표면 상에 배열된 캐비티로서, 밸브와 유체 연통하는, 캐비티, 및 밸브와 유체 연통하는 반응물질 가스 소스를 포함한다.
다른 특징들에서, 제어기는 하나 이상의 원자층 증착 사이클들 동안, 가스 전달 시스템, 플라즈마 생성기, 클램핑 시스템, 및 이면 퍼징 시스템을 제어하도록 구성된다.
기판 상에 막을 증착하기 위한 방법은, 프로세싱 챔버의 반응 볼륨 내에서 기판 지지부 상에 기판을 배열하는 단계; 기판 상에 막을 증착하도록 프로세싱 챔버의 반응 볼륨 내로 프로세스 가스들을 선택적으로 도입하고 RF 플라즈마를 생성하는 단계; 막의 증착 동안 기판 지지부에 기판을 클램핑하는 단계; 및 막의 증착 동안 기판 에지의 이면을 퍼지하도록 기판의 이면 에지로 반응물질 가스를 공급하는 단계를 포함한다.
다른 특징들에서, 기판 지지부에 기판을 클램핑하는 단계는 진공 압력을 사용한다. 이면 에지를 퍼지하기 위한 반응물질 가스는 분자 산소를 포함하고 막은 이산화실리콘을 포함한다. 이면 에지를 퍼지하기 위한 반응물질 가스는 아산화질소를 포함하고 막은 이산화실리콘을 포함한다. 이면 에지를 퍼지하기 위한 반응물질 가스는 분자 산소를 포함하고 막은 이산화티타늄을 포함한다. 이면 에지를 퍼지하기 위한 반응물질 가스는 아산화질소를 포함하고 막은 이산화티타늄을 포함한다. 이면 에지를 퍼지하기 위한 반응물질 가스는 분자 질소를 포함하고 막은 질화실리콘을 포함한다. 이면 에지를 퍼지하기 위한 반응물질 가스는 암모니아를 포함하고 막은 질화실리콘을 포함한다.
다른 특징들에서, 막은 원자층 증착을 사용하여 증착된다. 방법은 프로세싱 챔버를 2 Torr 내지 3 Torr의 진공 압력으로 유지시키는 단계 및 반응물질 가스를 150 sccm 내지 450 sccm의 레이트로 흘리는 단계를 더 포함한다. 클램핑하는 단계는 기판 지지부의 기판-대향 표면 상에 캐비티를 배열하는 단계로서, 캐비티는 밸브와 유체 연통하는, 캐비티를 배열하는 단계; 밸브와 유체 연통하는 진공 소스를 배열하는 단계; 및 기판 지지부에 기판을 진공 클램핑하도록 밸브를 제어하는 단계를 포함한다.
다른 특징들에서, 반응물질 가스를 공급하는 단계는 기판의 에지에 인접한 기판 지지부의 기판-대향 표면 상에 캐비티를 배열하는 단계로서, 캐비티는 밸브와 유체 연통하는, 캐비티를 배열하는 단계; 밸브와 유체 연통하는 반응물질 가스 소스를 배열하는 단계; 및 기판의 이면 에지를 퍼지하기 위해 반응물질 가스를 공급하도록 밸브를 제어하는 단계를 포함한다.
본 개시의 추가의 적용가능 영역들은 상세한 기술, 청구항들 및 도면들로부터 명백해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들로 의도되고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 다양한 가스들에 대한 압력의 함수로서 파괴 전압을 예시한 그래프이다.
도 2는 본 개시에 따른, 반응물질 가스를 사용하는 이면 퍼징 및 진공 클램핑을 가진 기판 프로세싱 시스템의 예의 기능 블록도이다.
도 3은 본 개시에 따른, 이면 퍼징 시스템 및 진공 클램핑 시스템을 포함하는 기판 지지부의 예를 예시하는 사시도이다.
도 4a는 산소를 사용하여 다양한 이면 퍼지 플로우 레이트들에 대한 (방사상의) 이면 X-선 스캔을 예시하는 그래프이다.
도 4b는 산소를 사용하여 다양한 이면 퍼지 플로우 레이트들에 대한 (방위각의) 이면 에지 링 스캔을 예시하는 그래프이다.
도 5는 250 sccm의 아르곤 이면 퍼지 가스 및 산소 이면 퍼지 가스에 대한 정면 증착 두께를 예시한다.
도 6은 본 개시에 따른, 진공 클램핑 및 이면 퍼징을 사용하여 기판을 프로세싱하기 위한 방법의 예를 예시하는 흐름도이다.
도 7은 본 개시에 따른, ALD 사이클 동안의 프로세스 가스들, 진공 클램핑 및 퍼지 가스의 타이밍의 예를 예시한 도면이다.
도면들에서, 참조 부호들은 유사하고 및/또는 동일한 엘리먼트들 (element) 을 식별하도록 재사용될 수도 있다.
본 개시에 따른 시스템들 및 방법들은 RF 플라즈마-기반 ALD 동안 증착된 막의 이면 증착을 감소시키거나 제거한다. 본 명세서에 기술된 시스템들 및 방법들은 비-반응성 가스 또는 비활성 가스 대신에 반응물질 가스를 사용하는 이면 에지 퍼징을 채용한다. 단지 예를 들면, 분자 산소 (O2) 또는 아산화질소 (N2O) 는 이산화실리콘 (SiO2) 막 또는 이산화티타늄 (TiO2) 막을 증착할 때 이면 에지 퍼지 가스로 사용될 수도 있다. 단지 예를 들면, 분자 질소 (N2) 또는 암모니아 (NH3) 는 질화실리콘 (SiN) 막들을 증착할 때 이면 에지 퍼지 가스로 사용될 수도 있다. 부가적으로 SiO2 및 TiO2가 본 명세서에 특별히 개시되지만, 본 개시는 실리콘 (Si), 하프늄 (Hf), 알루미늄 (Al), 티타늄 (Ti), 지르코늄 (Zr), 등을 포함하는 다른 ALD 산화물 막 또는 질화물 막에 관한 것이다.
일부 예들에서, 이면 에지 퍼징은 허용 가능한 레벨 미만으로 이면 증착을 감소시키거나 제거하도록 증가된 플로우 레이트를 사용하여 수행될 수도 있다. 이면 에지 퍼지 가스의 높은 플로우 레이트에 기인한 기판의 이동을 방지하도록, 기판은 클램핑될 수도 있다. 단지 예를 들면, 기판의 진공 클램핑은 기판의 에지에서의 이면 퍼지 가스에 의해 가해지는 (exert) 포지티브 압력과 대응하는데 (counter) 충분한 진공 압력을 사용할 수도 있다. 일부 예들에서, 이면 퍼지 가스는 기생 전력 손실을 완화하고 기판의 에지에서의 두께 변화들을 방지한다.
일부 예들에서, 반응물질 가스는 기판의 에지들로 지향된 에지 퍼지 슬릿들 또는 캐비티들을 포함하는 기판 지지부에 공급된다. 반응물질 가스는 이면 에지 증착을 억제하도록 기판의 이면 에지에 상대적으로 높은 플로우 레이트로 공급된다. 진공 클램핑은 증착 동안 기판을 제자리에 홀딩하도록 (hold) 기판의 중앙부에서 사용될 수도 있다. 일부 예들에서, 진공 클램핑은 기판 아래에 하나 이상의 슬릿들 또는 캐비티들을 제공함으로써 그리고 밸브를 사용하여 진공 소스에 하나 이상의 슬릿들 또는 캐비티들을 선택적으로 연결함으로써 수행될 수도 있다. 일부 예들에서, 진공 압력은 기판의 방사상으로 외측 에지 상에 가해진 상향 압력 (upward pressure) 보다 높은 하향 압력 (downward pressure) 을 기판의 일부 상에 가한다.
일부 예들에서, 산소는 이면 퍼지 가스로서 사용된다. 산소의 사용은 에지 퍼지 슬릿들 내의 라이트-업 (light-up) 및/또는 아르곤이 사용될 때 관찰되는, 연관된 HCD (hollow cathode discharge) 특징들 (signature) 을 방지하는 것을 돕는다. 아르곤은 산소보다 낮은 파괴 전압을 갖는다. 산소가 아르곤 대신에 사용될 때, 정면 에지 프로파일에 관한 두께 변화들은 (특히 노치에서) 또한 제거된다.
이제 도 1을 참조하면, 파셴 커브 (Paschen curve) 가 도시된다. 아르곤과 같은 비활성 가스들의 파괴 전압은 2 Torr 내지 10 Torr와 같은 통상적인 프로세스 압력들에서 상대적으로 낮다. 알 수 있는 바와 같이, 분자 수소 및 질소의 파괴 전압은 보다 높다. 일부 예들에서, 이면 퍼지 가스는 아르곤보다 높은 파괴 전압을 갖도록 선택된다.
이제 도 2를 참조하면, 본 개시에 따른, ALD, 반응물질 가스를 사용하는 이면 퍼징 및 진공 클램핑을 사용하여 막을 증착하기 위한 기판 프로세싱 시스템 (10) 의 예가 도시된다. 기판 프로세싱 시스템 (10) 은 프로세싱 챔버 (12) 를 포함한다. 프로세스 가스들은 샤워헤드 또는 다른 디바이스와 같은 가스 분배 디바이스 (14) 를 사용하여 프로세싱 챔버 (12) 에 공급될 수도 있다. 반도체 웨이퍼와 같은 기판 (18) 은 프로세싱 동안 기판 지지부 (16) 상에 배열될 수도 있다. 기판 지지부 (16) 는 페데스탈, 정전 척, 기계 척 또는 다른 타입의 기판 지지부를 포함할 수도 있다.
가스 전달 시스템 (20) 은 하나 이상의 가스 소스들 (22-1, 22-2, …, 및 22-N) (총체적으로 가스 소스들 (22)) (여기서 N은 1보다 큰 정수임) 을 포함할 수도 있다. 밸브들 (24-1, 24-2, …, 및 24-N) (총체적으로 밸브들 (24)), 질량 유량 제어기들 (26-1, 26-2, …, 및 26-N) (총체적으로 질량 유량 제어기들 (26)), 또는 다른 플로우 제어 디바이스들은, 전구체 도즈, 플라즈마 가스 혼합물, 비활성 가스들, 퍼지 가스들, 및 이들의 혼합물들을, 프로세싱 챔버 (12) 로 가스 혼합물을 공급하는, 매니폴드 (30) 에 제어 가능하게 공급하도록 사용될 수도 있다.
제어기 (40) 는 (센서들 (41) 을 사용하여) 온도, 압력 등과 같은 프로세스 파라미터들을 모니터링하고 프로세스 타이밍을 제어하도록 사용될 수도 있다. 제어기 (40) 는 가스 전달 시스템 (20), 기판 지지부 히터 (42), 및/또는 RF 플라즈마 생성기 (46) 와 같은 프로세스 디바이스들을 제어하도록 사용될 수도 있다. 제어기 (40) 는 밸브 (50) 및 펌프 (52) 를 사용하여 프로세싱 챔버 (12) 를 배기하도록 또한 사용될 수도 있다.
RF 플라즈마 생성기 (46) 는 프로세싱 챔버 내에서 RF 플라즈마를 생성한다. RF 플라즈마 생성기 (46) 는 유도-타입 RF 플라즈마 생성기 또는 용량-타입 RF 플라즈마 생성기일 수도 있다. 일부 예들에서, RF 플라즈마 생성기 (46) 는 RF 공급부 (60) 및 매칭 및 분배 네트워크 (64) 를 포함할 수도 있다. RF 플라즈마 생성기 (46) 가 접지되거나 부유된 (floating) 기판 지지부 (16) 에 의해 가스 분배 디바이스 (14) 에 연결되는 것으로 도시되지만, RF 플라즈마 생성기 (46) 는 기판 지지부 (16) 에 연결될 수 있고 가스 분배 디바이스 (14) 는 접지되거나 부유될 수 있다.
진공 클램핑 시스템 (68) 은 기판 지지부 상에 기판을 홀딩하도록 사용될 수도 있다. 단지 예를 들면, 진공 클램핑 시스템 (68) 은 기판 지지부 (16) 의 일부 내에 위치된 하나 이상의 슬릿들 또는 캐비티들을 진공 소스 (72) 에 선택적으로 연결하는, 밸브 (70) 를 포함할 수도 있다. 하나 이상의 슬릿들 또는 캐비티들은 규칙적인 간격 또는 불규칙적인 간격으로 이격될 수도 있다. 대안적으로, 하나 이상의 슬릿들 또는 캐비티들은 하나 이상의 환형-형상의 슬릿들 또는 캐비티들, 하나 이상의 아치형-형상의 슬릿들 또는 캐비티들, 및/또는 임의의 다른 적합한 형상들을 포함할 수도 있다. 이해될 수 있는 바와 같이, 기판 (18) 은 정전력, 기계력 등을 사용하는 것과 같은 다른 적합한 방식들로 기판 지지부 (16) 에 클램핑될 수도 있다.
이면 퍼지 시스템 (74) 은 기판의 방사상으로 외측 에지를 퍼지하기 위해 반응물질 가스를 공급하도록 사용될 수도 있다. 일부 예들에서, 이면 퍼지 시스템 (74) 은 퍼지 가스 소스 (78) (예를 들어, SiO2 막을 위한 O2 또는 N2O 또는 SiN 막을 위한 N2 또는 NH3) 를 기판 (18) 의 이면 에지와 인접하게 위치된 하나 이상의 에지 퍼지 슬릿들 또는 캐비티들에 선택적으로 연결하는, 밸브 (76) 를 포함할 수도 있다. 하나 이상의 에지 퍼지 슬릿들 또는 캐비티들은 규칙적인 간격 또는 불규칙적인 간격으로 이격될 수도 있다. 대안적으로, 하나 이상의 에지 퍼지 슬릿들 또는 캐비티들은 하나 이상의 환형-형상의 슬릿들 또는 캐비티들 (기판의 전체 에지에 인접하게 있음), 하나 이상의 아치형-형상의 슬릿들 또는 캐비티들, 및/또는 임의의 다른 적합한 형상들을 포함할 수도 있다. 일부 예들에서, 밸브 (76) 는 상이한 플로우 레이트들이 사용되게 하도록, 2개 이상의 위치들을 가진 가변적인 오리피스 밸브, 2개 이상의 위치들 또는 스테이지들 등을 가진 멀티-스테이지 밸브일 수도 있다.
이제 도 3을 참조하면, 에지 링 (104) 은 기판 지지부 (16) 의 방사상으로 외측 부분 상에 놓이고 기판 (18) 의 방사상으로 외측 에지를 수용하도록 내측 레지 (106) 를 규정한다 (define). 기판 지지부 (16) 는 또한 하나 이상의 슬릿들 또는 캐비티들 (114) 을 규정한다. 슬릿들 또는 캐비티들 (114) 은 밸브 (70) 에 의해 (도 2 참조) 진공 소스 (72) 에 선택적으로 연결된다. 예를 들어, 하나 이상의 유체 도관들 (116) 은 하나 이상의 슬릿들 또는 캐비티들 (114) 을 진공 소스 (72) 에 연결할 수도 있다. 진공 소스 (72) 는 118로 도시된 바와 같이 하나 이상의 슬릿들 또는 캐비티들 (114) 을 배기하고 기판 (18) 의 일부에 진공 클램핑력을 제공한다.
하나 이상의 에지 퍼지 슬릿들 또는 캐비티들 (130) 은 밸브 (76) (도 2 참조) 및 하나 이상의 유체 도관들 (134) 에 의해 퍼지 가스 소스 (78) 에 선택적으로 연결될 수도 있다. 반응물질 가스는 136으로 도시된 바와 같이 에지 퍼지 슬릿들 또는 캐비티들 (130) 에 공급된다. 일부 예들에서, 에지 퍼지 슬릿들 또는 캐비티들 (130) 은 이면 에지로 반응물질 가스를 지향시키도록 배열된다. 예를 들어, 에지 퍼지 슬릿들 또는 캐비티들 (130) 은 기판 (18) 에 대해 각 (θ) 으로 배열될 수도 있다. 일부 예들에서, 각 (θ) 은 0°보다 크고 90°보다 작다. 일부 예들에서, 각 (θ) 은 약 30° 내지 60° 또는 40° 내지 50°의 범위 내에 있다.
진공 클램핑 없이, 약 150 sccm의 이면 퍼지 플로우 초과의 플로우 레이트들은 통상적인 프로세스 압력들에서 기판을 시각적으로 이동시키는 것처럼 보인다. 그 결과, 보다 높은 플로우 레이트는 고장 또는 디펙트들 (defect) 을 유발할 위험이 있다. (2.2 Torr의 통상적인 프로세스 압력에서) 이면 상의 진공 클램핑이 있을 시, 플로우 레이트는 약 450 sccm으로 증가될 수 있다. 일부 예들에서, 이면 퍼지 가스에 대한 플로우 레이트는 150 sccm 내지 450 sccm이지만, 다른 값들이 다른 프로세스 압력들에 대해 사용될 수도 있다.
이제 도 4a 및 도 4b를 참조하면, (가스, Ar 또는 O2의 특성과 관계없이) 250 sccm 플로우 레이트의 최소값이 기판의 정면 상의 350 Å의 타겟된 (targeted) 막 두께를 가진, 막에 대한 3 ㎜ 에지 배제부 (exclusion) 에서 50 Å 미만으로, 이면 증착을 억제하도록 사용될 수도 있다. 이 예에서, 약 300 sccm은 일부 부가적인 개선된 성능을 보인다.
이제 도 5를 참조하면, 노치에서의 두께 불연속성 (discontinuity) 의 제거는 이면 퍼지 가스를 비활성 가스 Ar로부터 반응성 가스 O2로 전환할 때 또한 성취된다. 이것은 O2를 사용함으로써 감소된 기생 전력 손실에 기여하고, 이는 통상적인 프로세스 압력들에서 보다 높은 파괴 전압을 가진다. Ar과 비교할 때 O2를 사용하여, 감소된 HCD 및 라이트-업이 또한 있다. 도 5에 도시된 바와 같이 증착 레이트의 감소는 또한 Ar과 비교할 때 O2를 사용하여, 웨이퍼에 전달된 보다 높은 전력을 나타낸다. 보다 높은 전력은 이 플라즈마 전환 레짐 (regim) 내의 치밀화에 기인하여 보다 낮은 증착 레이트를 야기한다.
이제 도 6을 참조하면, ALD를 사용하여 막을 증착하기 위한 방법 (200) 의 예가 도시된다. 202에서, 진공 클램핑 및 반응성 가스를 사용하는 이면 퍼지가 개시된다. 204에서, 전구체 도즈가 프로세싱 챔버의 반응 볼륨 내로 도입된다. 206에서, 전구체 도즈가 사전결정된 노출 기간 후에 반응 볼륨으로부터 퍼지된다. 210에서, 플라즈마 도즈가 도입된다. 214에서, 플라즈마 도즈가 퍼지된다. 216에서, 하나 이상의 부가적인 사이클들이 수행될 수도 있다. 부가적인 사이클들이 216에서 결정되는 바와 같이 요구된다면, 제어는 204로 복귀한다. 216이 거짓이라면, 제어는 220으로 계속되고 진공 클램핑 및 이면 퍼지를 턴 오프한다 (turn off).
이제 도 7을 참조하면, ALD 사이클에 대한 다른 예시적인 타이밍이 도시된다. LCD는 라인 대전 지연 (line charge delay) 을 지칭하고, PtB는 펌프 투 베이스 압력 (pump to base pressure) 을 지칭하고, PA는 펌프 어웨이 (pump away) 를 지칭한다. LCD 기간은 실제 증착 전에 공급 라인들을 대전하도록 사용되고 PtB 기간 및 PA 기간은 주로 프로세싱 챔버를 배기하고 가스-상 입자들을 감소시키도록 사용되는 증착 후 액션들이다. 이 예에서, 이면 퍼지는 2개의 스테이지 신호이다. 보다 낮은 값은 소크 (soak) 기간 동안 사용되고 보다 높은 값은 ALD 사이클에서 제어 기간들의 나머지 동안 사용된다. 2개의 스테이지들은 진공 클램핑으로 하여금, 이면 퍼지 가스가 정상-상태 퍼지 압력에 도달하기 전에 정상-상태 진공 압력에 도달하거나 턴 온되게 하도록 사용될 수도 있다. 2개의 스테이지 턴 온은 이면 퍼지 가스가 턴 온될 때 기판 이동의 기회를 감소시킨다.
일부 예들에서, 막은 SiO2이다. SiO2 막에 대해, 전구체 도즈는 DIPAS (diisopropylaminosilane), SAM24 (Silanediamine,N,N,N',N'-tetraethyl), 3DMAS (tris[dimethylamino]silane), 또는 다른 적합한 전구체들을 포함할 수도 있고; 플라즈마 가스 혼합물은 Ar, O2, N2, N2O, 전술한 것 중 2개 이상의 조합들, 또는 다른 적합한 플라즈마 가스 혼합물들을 포함할 수도 있고; 그리고 이면 퍼지 가스는 O2 또는 N2를 포함한다.
일부 예들에서, 막은 TiO2이다. 전구체 도즈는 TDMAT (tetrakis-dimethyl-amino-titanium), TiCl4 (titanium tetrachloride), 또는 다른 적합한 전구체들을 포함할 수도 있고; 플라즈마 가스 혼합물은 Ar, O2, N2, N2O, 전술한 것 중 2개 이상의 조합들, 또는 다른 적합한 플라즈마 가스 혼합물들을 포함할 수도 있고; 그리고 이면 퍼지 가스는 O2 또는 N2를 포함한다.
일부 예들에서, 막은 SiN이다. 플라즈마 가스 혼합물은 NH3, N2 및 Ar, 전술한 것 중 2개 이상의 조합들, 또는 다른 적합한 플라즈마 가스 혼합물들을 포함할 수도 있고; 그리고 이면 퍼지 가스는 N2 또는 NH3을 포함한다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특별한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리를 변경하지 않고 다른 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.
일부 구현예들에서, 제어기는 상술한 실례들의 일부일 수 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 기판 지지부, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이러한 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치는 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 예를 들어서 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 전달 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 전달들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스를 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고 인스트럭션들을 발행하고 동작을 제어하고 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 되는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어서, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어서 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어서 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어서, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제조 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (14)

  1. 기판 상에 막을 증착하도록 구성된 기판 프로세싱 시스템에 있어서,
    기판 상에 막을 증착하도록 프로세싱 챔버의 반응 볼륨 내로 프로세스 가스를 도입하도록 구성된 가스 전달 시스템;
    상기 반응 볼륨 내에 RF 플라즈마를 선택적으로 생성하도록 구성된 플라즈마 생성기; 및
    상기 막의 증착 동안 및 상기 반응 볼륨 내에 상기 RF 플라즈마가 생성되는 동안 상기 기판의 이면 에지를 퍼지하도록 상기 기판의 상기 이면 에지에 퍼지 가스로서, 비활성 가스가 아닌, 반응물질 가스를 공급하도록 구성되는 이면 퍼징 시스템을 포함하고,
    상기 이면 퍼징 시스템은 분자 산소를 상기 퍼지 가스로서 공급하도록 구성되는, 기판 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 막은 이산화실리콘을 포함하는, 기판 프로세싱 시스템.
  3. 삭제
  4. 제 1 항에 있어서,
    상기 막은 이산화티타늄을 포함하는, 기판 프로세싱 시스템.
  5. 삭제
  6. 삭제
  7. 삭제
  8. 제 1 항에 있어서,
    상기 기판 프로세싱 시스템은 원자 층 증착을 사용하여 상기 막을 증착하도록 구성되는, 기판 프로세싱 시스템.
  9. 제 1 항에 있어서,
    진공 압력을 사용하여 상기 기판을 기판 지지부에 클램핑하도록 구성된 클램핑 시스템을 더 포함하는, 기판 프로세싱 시스템.
  10. 제 9 항에 있어서,
    상기 프로세싱 챔버 및 상기 프로세싱 챔버 내에 배열된 기판 지지부를 더 포함하고, 상기 기판은 상기 기판 지지부 상에 배열되는, 기판 프로세싱 시스템.
  11. 제 10 항에 있어서,
    상기 기판 지지부는 상기 기판 지지부의 기판-대향 표면에 규정된 캐비티를 포함하고, 상기 기판 프로세싱 시스템은 상기 캐비티와 유체 연통하는 밸브 및 상기 밸브와 유체 연통하는 진공 소스를 더 포함하는, 기판 프로세싱 시스템.
  12. 제 1 항에 있어서,
    상기 프로세싱 챔버 내에 배열된 기판 지지부를 더 포함하고, 상기 기판 지지부는 상기 기판의 에지에 인접한 상기 기판 지지부의 기판-대향 표면에 규정된 캐비티를 포함하고, 상기 기판 프로세싱 시스템은 상기 캐비티와 유체 연통하는 밸브 및 상기 밸브와 유체 연통하는 반응물질 가스 소스를 더 포함하는, 기판 프로세싱 시스템.
  13. 제 1 항에 있어서,
    상기 이면 퍼징 시스템은 상기 반응물질 가스를 150 내지 450 sccm의 레이트로 흘리도록 구성되는, 기판 프로세싱 시스템.
  14. 제 13 항에 있어서,
    상기 프로세싱 챔버는 상기 반응물질 가스가 150 내지 450 sccm의 레이트로 흐르는 동안 2 내지 3 Torr의 진공 압력으로 유지되는, 기판 프로세싱 시스템.
KR1020220128496A 2014-09-12 2022-10-07 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들 KR102598660B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/485,142 2014-09-12
US14/485,142 US9460915B2 (en) 2014-09-12 2014-09-12 Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
KR1020150126922A KR102454243B1 (ko) 2014-09-12 2015-09-08 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020150126922A Division KR102454243B1 (ko) 2014-09-12 2015-09-08 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들

Publications (2)

Publication Number Publication Date
KR20220142411A KR20220142411A (ko) 2022-10-21
KR102598660B1 true KR102598660B1 (ko) 2023-11-06

Family

ID=55455423

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150126922A KR102454243B1 (ko) 2014-09-12 2015-09-08 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들
KR1020220128496A KR102598660B1 (ko) 2014-09-12 2022-10-07 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020150126922A KR102454243B1 (ko) 2014-09-12 2015-09-08 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들

Country Status (5)

Country Link
US (2) US9460915B2 (ko)
JP (1) JP6578163B2 (ko)
KR (2) KR102454243B1 (ko)
CN (2) CN108642474B (ko)
TW (2) TWI675124B (ko)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10094018B2 (en) * 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11970772B2 (en) 2014-08-22 2024-04-30 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
TWI734770B (zh) 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
US10777386B2 (en) * 2017-10-17 2020-09-15 Lam Research Corporation Methods for controlling plasma glow discharge in a plasma chamber
JP7301279B2 (ja) * 2017-11-29 2023-07-03 東京エレクトロン株式会社 基板の裏面摩擦低減
JP7178177B2 (ja) * 2018-03-22 2022-11-25 東京エレクトロン株式会社 基板処理装置
WO2020159708A1 (en) * 2019-01-31 2020-08-06 Lam Research Corporation Showerhead with configurable gas outlets
US11031236B2 (en) 2019-10-01 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving surface of semiconductor device
CN112981372B (zh) * 2019-12-12 2024-02-13 Asm Ip私人控股有限公司 衬底支撑板、包括它的衬底处理设备以及衬底处理方法
JP2023507091A (ja) * 2019-12-20 2023-02-21 ラム リサーチ コーポレーション 端部/中央部の不均一性を軽減するためにウエハの外周近傍に凹部を備えた半導体処理チャック
US11923295B2 (en) 2020-02-19 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect level with high resistance layer and method of forming the same
US11495483B2 (en) * 2020-10-15 2022-11-08 Applied Materials, Inc. Backside gas leakby for bevel deposition reduction

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000299315A (ja) * 1999-02-09 2000-10-24 Applied Materials Inc パージリングを有するウェハペデスタル

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5275976A (en) * 1990-12-27 1994-01-04 Texas Instruments Incorporated Process chamber purge module for semiconductor processing equipment
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6223447B1 (en) 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
KR100682305B1 (ko) * 2005-05-24 2007-02-15 주식회사 에이디피엔지니어링 클램핑 장치
US7993465B2 (en) 2006-09-07 2011-08-09 Applied Materials, Inc. Electrostatic chuck cleaning during semiconductor substrate processing
US20080179287A1 (en) 2007-01-30 2008-07-31 Collins Kenneth S Process for wafer backside polymer removal with wafer front side gas purge
JP2008198739A (ja) * 2007-02-09 2008-08-28 Tokyo Electron Ltd 載置台構造、これを用いた処理装置及びこの装置の使用方法
US7699935B2 (en) * 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
CN102112649A (zh) * 2008-08-05 2011-06-29 东京毅力科创株式会社 载置台构造
GB201207448D0 (en) * 2012-04-26 2012-06-13 Spts Technologies Ltd Method of depositing silicon dioxide films
CN104008997A (zh) * 2014-06-04 2014-08-27 复旦大学 一种超低介电常数绝缘薄膜及其制备方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000299315A (ja) * 1999-02-09 2000-10-24 Applied Materials Inc パージリングを有するウェハペデスタル

Also Published As

Publication number Publication date
CN105420685B (zh) 2018-06-15
US20160372318A1 (en) 2016-12-22
CN108642474A (zh) 2018-10-12
JP2016063223A (ja) 2016-04-25
TWI675124B (zh) 2019-10-21
KR102454243B1 (ko) 2022-10-12
TW201938839A (zh) 2019-10-01
TWI682062B (zh) 2020-01-11
JP6578163B2 (ja) 2019-09-18
KR20160031419A (ko) 2016-03-22
CN105420685A (zh) 2016-03-23
US9852901B2 (en) 2017-12-26
US20160079057A1 (en) 2016-03-17
KR20220142411A (ko) 2022-10-21
CN108642474B (zh) 2020-09-15
US9460915B2 (en) 2016-10-04
TW201623684A (zh) 2016-07-01

Similar Documents

Publication Publication Date Title
KR102598660B1 (ko) 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들
KR102525777B1 (ko) 기생 플라즈마를 억제하고 웨이퍼-내 불균일성을 감소시키기 위한 시스템들 및 방법들
TWI687539B (zh) 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
US20230005740A1 (en) Modulation of oxidation profile for substrate processing
US20220136104A1 (en) Multi-station semiconductor processing with independently adjustable pedestals
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
WO2021092197A1 (en) Plasma-enhanced atomic layer deposition with radio-frequency power ramping
US20230282450A1 (en) Remote plasma source showerhead assembly with aluminum fluoride plasma exposed surface
US20220375746A1 (en) Semiconductor substrate bevel cleaning
US20200098562A1 (en) Dual frequency silane-based silicon dioxide deposition to minimize film instability

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant