KR20220002741A - 후면측 펌핑을 이용하는 열 프로세스 챔버 덮개 - Google Patents

후면측 펌핑을 이용하는 열 프로세스 챔버 덮개 Download PDF

Info

Publication number
KR20220002741A
KR20220002741A KR1020217042885A KR20217042885A KR20220002741A KR 20220002741 A KR20220002741 A KR 20220002741A KR 1020217042885 A KR1020217042885 A KR 1020217042885A KR 20217042885 A KR20217042885 A KR 20217042885A KR 20220002741 A KR20220002741 A KR 20220002741A
Authority
KR
South Korea
Prior art keywords
gas distribution
cover plate
gas
zone
undulating
Prior art date
Application number
KR1020217042885A
Other languages
English (en)
Inventor
안칭 쿠이
디엔-예 우
웨이 브이. 탕
이시옹 양
보 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220002741A publication Critical patent/KR20220002741A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45585Compression of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4871Bases, plates or heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Abstract

프로세스 챔버 덮개 조립체들 및 그를 포함하는 프로세스 챔버들이 설명된다. 덮개 조립체는, 덮개 판과 유체 연통하는 가스 분산 채널을 갖는 하우징을 갖는다. 덮개 판의 기복형 최하부 표면은 가스 분배 판의 최상부 표면에 대해 갭을 정의한다. 가스 분배 판의 상부 외측 둘레 윤곽과 덮개 판 사이에 펌핑 채널이 형성된다.

Description

후면측 펌핑을 이용하는 열 프로세스 챔버 덮개
본 개시내용의 실시예들은 전자 디바이스 제조 분야에 관한 것이다. 특히, 본 개시내용의 실시예들은, 반도체 디바이스 제조에서 반응성 가스들을 전달하기 위한 장치에 관한 것이다.
1 미크론 미만(submicron) 및 더 작은 피처들을 신뢰가능하게 생성하는 것은 반도체 디바이스들의 초대규모 집적(VLSI) 및 극초대규모 집적(ULSI)의 차세대를 위한 핵심 기술들 중 하나이다. 그러나, 회로 기술의 경계선들이 압박됨에 따라, VLSI 및 ULSI 기술의 상호연결부들의 치수들의 축소는 처리 능력들에 대해 부가적인 요구들을 제기하였다. VLSI 및 ULSI 기술의 핵심을 차지하는 다단계식 상호연결부들(multilevel interconnects)은, 높은 종횡비 피처들, 이를테면 비아들 및 다른 상호연결부들의 정밀한 처리를 사용한다. 이러한 상호연결부들의 신뢰가능한 형성은, VLSI 및 ULSI의 성공, 및 개별 기판들의 회로 밀도 및 품질을 높이려는 지속적인 노력에 매우 중요하다.
회로 밀도들이 증가함에 따라, 상호연결부들, 이를테면, 비아들, 트렌치들, 접촉부들, 및 다른 피처들뿐만 아니라 그들 사이의 유전체 물질들의 폭들이 감소하는 한편, 유전체 층들의 두께는 실질적으로 일정하게 유지되어, 피처들의 증가된 높이-대-폭 종횡비들이 초래된다. 많은 종래의 퇴적 프로세스들은, 1 미크론 미만 구조들을 채우고 표면 피처들에 대해 양호한 단차 피복성(step coverage)을 제공하는 데 어려움을 갖는다.
원자 층 퇴적(ALD)은 높은 종횡비들을 갖는 피처들에 대한 물질 층들의 퇴적을 위해 탐구되는 퇴적 기법이다. ALD 프로세스의 일 예는, 가스들의 펄스들의 순차적 도입을 포함한다. 예컨대, 가스들의 펄스들의 순차적 도입을 위한 하나의 사이클은 제1 반응물 가스의 펄스를 포함할 수 있으며, 퍼지 가스의 펄스 및/또는 펌프 진공배기(evacuation)가 후속되고, 제2 반응물 가스의 펄스가 후속되고, 퍼지 가스의 펄스 및/또는 펌프 진공배기가 후속된다. 본원에서 사용되는 바와 같은 "가스"라는 용어는 단일 가스 또는 복수의 가스들을 포함하도록 정의된다. 제1 반응물 및 제2 반응물의 별개의 펄스들의 순차적 도입은 기판의 표면 상의 반응물들의 단층(monolayer)들의 교번하는 자기 제한적 흡착을 초래할 수 있으며, 그에 따라, 각각의 사이클 동안 물질의 단층을 형성한다. 사이클은 미리 결정된 두께를 갖는 막을 형성하도록 반복될 수 있다. 제1 반응물 가스의 펄스들과 제2 반응물 가스의 펄스들 사이의 퍼지 가스의 펄스 및/또는 펌프 진공배기는, 챔버 내에 남아있는 과량의 반응물들로 인한 반응물들의 가스 상 반응들의 가능성을 감소시키는 역할을 한다.
ALD 처리를 위한 일부 챔버 설계들에서, 전구체들 및 가스들은 깔때기형 덮개를 사용하여 전달되고, 그러한 깔때기형 덮개를 통해, 전구체가 깔때기 형상 덮개 위의 다수의 주입기들을 통해 분배된다. 주입기들은, 덮개의 중앙에서 깔때기형 프로파일을 통해 분배되는 주입 가스의 원형 움직임을 생성한다. 가스/ALD 전구체 분자들의 회전 관성은 분자들을 중심으로부터 가장자리까지 분배하여, 퇴적의 균일성이 개선되게 한다.
처리 동안 반응성 가스들이 덮개 판과 샤워헤드 사이에 포획되어, 퇴적된 막들의 불균일성을 초래하는 것이 관측되었다. 그에 따라서, 퇴적된 막들의 균일성을 개선하기 위한 방법들 및 장치에 대한 관련 기술분야에서의 계속되는 요구가 존재한다.
본 개시내용의 하나 이상의 실시예는 프로세스 챔버 덮개 조립체들에 관한 것이다. 하우징은, 하우징의 중심 축을 따라 연장되는 가스 분산 채널을 에워싼다. 가스 분산 채널은 상부 부분 및 하부 부분을 갖는다. 덮개 판은 하우징에 결합되고, 가스 분산 채널의 하부 부분에 결합되는 중앙 개구로부터 덮개 판의 둘레 부분으로 하향으로 그리고 외측으로 연장되는 기복형(contoured) 최하부 표면을 갖는다. 가스 분배 판은 덮개 판 아래에 배치되고, 가스 분배 판과 덮개 판 사이에 펌핑 채널을 형성하도록 구성되는 상부 외측 둘레 윤곽을 갖는다. 가스 분배 판은 최상부 표면 및 최하부 표면을 가지며, 복수의 애퍼처들이 최상부 표면으로부터 최하부 표면까지 가스 분배 판을 통해 배치된다. 덮개 판의 기복형 최하부 표면 및 가스 분배 판의 최상부 표면은 갭을 정의한다.
본 개시내용의 상기 언급된 피처들이 상세하게 이해될 수 있는 방식으로, 위에서 간략하게 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이러한 실시예들 중 일부가 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안된다는 것이 유의되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다. 유사한 참조번호들이 유사한 요소들을 표시하는 첨부된 도면들의 도해들에서, 본원에 설명되는 바와 같은 실시예들이 제한으로서가 아니라 예로서 예시된다.
도 1은 본 개시내용의 일부 실시예들에 따른 프로세스 챔버의 개략적인 도면을 도시한다.
도 2는 본 개시내용의 일부 실시예들에 따른 프로세스 챔버의 개략적인 단면도를 도시한다.
도 3은 본 개시내용의 일부 실시예들에 따른 덮개 조립체의 개략적인 단면도를 도시한다.
도 4a 내지 도 4c는 본 개시내용의 실시예들에 따른, 가스 분배 판을 통해 배치되는 애퍼처들의 개략도들을 도시한다.
도 5는 본 개시내용의 하나 이상의 실시예에 따른 덮개 조립체의 개략적인 단면도를 도시한다.
도 6a는 본 개시내용의 하나 이상의 실시예에 따른 덮개 판의 개략적인 단면도를 도시한다.
도 6b는 본 개시내용의 하나 이상의 실시예에 따른 덮개 조립체의 개략적인 단면도를 도시한다.
도 7은 본 개시내용의 하나 이상의 실시예에 따른 덮개 조립체의 개략적인 단면도를 도시한다.
도 8은 본 개시내용의 하나 이상의 실시예에 따른 덮개 조립체의 개략적인 단면도를 도시한다.
이해를 용이하게 하기 위해서, 도면들에 공통된 동일한 요소들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 도면들은 실측으로 도시되지 않았으며, 명확성을 위해 단순화될 수 있다. 일 실시예의 요소들 및 피처들은 추가적인 언급이 없이도 다른 실시예들에 유익하게 포함될 수 있다.
본 개시내용의 몇몇 예시적인 실시예들을 설명하기 전에, 본 개시내용은 하기의 설명에서 기술되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 개시내용은 다른 실시예들이 가능하며, 다양한 방식들로 실시되거나 수행되는 것이 가능하다.
본원에서 사용되는 바와 같은 "기판"은, 제조 프로세스 동안 그 위에서 막 처리가 수행되는 임의의 기판 또는 기판 상에 형성된 물질 표면을 지칭한다. 예컨대, 처리가 수행될 수 있는 기판 표면은, 응용에 따라, 규소, 산화규소, 응력가해진 규소(strained silicon), 절연체상 규소(SOI; silicon on insulator), 탄소 도핑된 산화규소들, 비정질 규소, 도핑된 규소, 게르마늄, 갈륨 비소화물, 유리, 사파이어와 같은 물질들, 및 임의의 다른 물질들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 물질들을 포함한다. 기판들은, 비-제한적으로, 반도체 웨이퍼들을 포함한다. 기판들은, 기판 표면을 연마, 식각, 환원, 산화, 히드록실화, 어닐링, 및/또는 베이킹하기 위해 전처리 프로세스에 노출될 수 있다. 본 개시내용에서, 기판 자체의 표면 상에 직접적으로 막 처리를 하는 것에 부가하여, 개시되는 막 처리 단계들 중 임의의 막 처리 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이, 기판 상에 형성된 하부층 상에 수행될 수 있으며, "기판 표면"이라는 용어는 맥락이 나타내는 바에 따라 그러한 하부층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 퇴적된 경우, 새롭게 퇴적된 막/층의 노출된 표면이 기판 표면이 된다.
본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "전구체", "반응물", "반응성 가스" 등의 용어들은, 기판 표면과 반응할 수 있는 임의의 가스상 종을 지칭하기 위해 상호교환가능하게 사용된다.
본 개시내용의 실시예들은, 기판 처리 챔버들, 이를테면, 원자 층 퇴적(ALD) 챔버를 세정하고, 예컨대 ALD 프로세스 동안 물질들을 퇴적하는 데 사용될 수 있는 장치 및 방법들을 제공한다. 실시예들은, 원격 플라즈마 소스 및 가스 분배 판을 포함할 수 있는 기판 처리 챔버들 및 가스 전달 시스템들을 포함한다. 다음의 프로세스 챔버 설명은 맥락 및 예시적인 목적들을 위해 제공되며, 본 개시내용의 범위를 제한하는 것으로서 해석되거나 이해되어서는 안 된다.
도 1은 본 개시내용의 일부 실시예들에 따른, ALD 프로세스들에 적응된 가스 전달 시스템(130)을 포함하는 기판 처리 챔버(프로세스 챔버(100))의 개략도이다. 도 2는 프로세스 챔버(100)의 단면도이다. 프로세스 챔버(100)는, 챔버 몸체(102) 내에 그리고 챔버 덮개 조립체(132) 아래에 처리 용적을 갖는 챔버 몸체(102)를 포함한다. 프로세스 챔버(100) 내의 슬릿 밸브(108)는 로봇(도시되지 않음)이 기판(110), 이를테면, 200 mm 또는 300 mm 반도체 웨이퍼 또는 유리 기판을 프로세스 챔버(100)로 전달하고 그로부터 회수하기 위한 접근을 제공한다. 챔버 라이너(177)는, 프로세스 챔버(100)의 벽들을 따라 배치되어 처리/세정 동안 사용되는 부식성 가스들로부터 챔버를 보호한다.
기판 지지부(112)는, 프로세스 챔버(100) 내의 기판 수용 표면(111) 상에 기판(110)을 지지한다. 기판 지지부(112)는, 기판 지지부(112) 및 기판 지지부 상에 배치된 기판(110)을 상승 및 하강시키기 위해 리프트 모터(114)에 장착된다. 리프트 모터(118)에 연결된 리프트 판(116)(도 2에 도시됨)은, 기판 지지부(112)를 통해 이동가능하게 배치된 리프트 핀들(120)을 상승 및 하강시키도록 프로세스 챔버(100) 내에 장착된다. 리프트 핀들(120)은, 기판 지지부(112)의 표면 위에서 기판(110)을 상승 및 하강시킨다. 기판 지지부(112)는, 퇴적 프로세스 동안 기판(110)을 기판 지지부(112)에 고정하기 위해 진공 척(도시되지 않음), 정전 척(도시되지 않음), 또는 클램프 링(도시되지 않음)을 포함할 수 있다.
기판 지지부(112)의 온도는 기판(110)의 온도를 제어하기 위해 조정될 수 있다. 예컨대, 기판 지지부(112)는, 저항성 가열기(도시되지 않음)와 같은 매립형 가열 요소를 사용하여 가열될 수 있거나, 또는 기판 지지부(112) 위에 배치된 가열 램프들(도시되지 않음)과 같은 방사 열을 사용하여 가열될 수 있다. 퍼지 링(122)이 기판 지지부(112) 상에 배치되어 퍼지 채널(124)을 정의할 수 있으며, 이는, 기판(110)의 주변 부분에 퍼지 가스를 제공하여 기판(110)의 주변 부분 상의 퇴적을 방지한다.
가스 전달 시스템(130)은, 챔버 몸체(102)의 상부 부분에 배치되어, 가스, 이를테면 프로세스 가스 및/또는 퍼지 가스를 프로세스 챔버(100)에 제공한다. 진공 시스템(도시되지 않음)은, 프로세스 챔버(100)로부터 임의의 원하는 가스들을 진공배기하고 프로세스 챔버(100) 내부에 원하는 압력 또는 압력 범위를 유지하는 것을 돕기 위해 펌핑 채널(179)과 연통한다.
일부 실시예들에서, 챔버 덮개 조립체(132)는, 챔버 덮개 조립체(132)의 중앙 부분을 통해 연장되는 가스 분산 채널(134)을 포함한다. 도 1 및 도 2에 도시된 바와 같이, 가스 분산 채널(134)은 기판 수용 표면(111)을 향해 수직으로 연장되고, 또한, 가스 분산 채널(134)의 중심 축(133)을 따라 덮개 판(170)을 통해 최하부 표면(160)까지 연장된다. 일부 실시예들에서, 가스 분산 채널(134)의 상부 부분은 중심 축(133)을 따라 실질적으로 원통형이고, 가스 분산 채널(134)의 하부 부분은 중심 축(133)으로부터 멀어지는 쪽으로 테이퍼링된다. 최하부 표면(160)은, 기판 지지부(112)의 기판 수용 표면(111) 상에 배치된 기판(110)을 실질적으로 덮도록 크기가 정해지고 형상화된다. 최하부 표면(160)은, 덮개 판(170)의 외측 가장자리로부터 가스 분산 채널(134)을 향해 테이퍼링된다. 가스 전달 시스템(130)은, 기판(110)을 처리하기 위해 가스 분산 채널(134)에 하나 이상의 가스를 제공할 수 있다. 일부 실시예들에서, 가스 전달 시스템(130)은 하나의 가스 유입구를 통해 가스 분산 채널(134)에 결합될 수 있다. 도 3에 도시된 것과 같은 일부 실시예들에서, 가스 전달 시스템은 복수의 유입구들을 통해 가스 분산 채널(134)에 결합될 수 있다.
도 3에 도시된 바와 같이, 가스 분산 채널(134)을 통한 프로세스 가스들의 유동을 예시하는 원형 가스 유동(174)은 다양한 유형들의 유동 패턴들을 포함할 수 있다. 일부 실시예들에서, 처리 가스들은, 분산 채널을 통과하면서 가스 분산 채널(134)의 중심 축(133)을 중심으로 회전하도록 강제될 수 있다. 그러한 실시예들에서, 원형 가스 유동(174)은, 와류 패턴, 나선형(helix) 패턴, 와선형(spiral) 패턴, 또는 이들의 파생물들과 같은 다양한 유형들의 원형 유동 패턴들을 포함할 수 있다.
원형 가스 유동(174)을 제공하는 것이 많은 응용들에 대해 유익하지만, 본 발명자들은, 일부 응용들에서, 원형 가스 유동이 불균일한 처리 결과들로 이어질 수 있다는 것을 발견하였다. 본 발명자들은, 가스 유동이 처리 중인 기판(110)의 중심 근처에서의 도넛 형상 퇴적 프로파일로 이어질 수 있다는 것을 관측하였다. 도넛 형상의 프로파일은 가스 분산 채널(134)의 깔때기 형상에 의해 야기될 수 있다. 따라서, 일부 실시예들에서, 프로세스 챔버(100)는 가스 분배 판(125)을 더 포함하며, 가스 분배 판(125)은 자신을 통해 배치된 복수의 애퍼처들(126)을 갖는다. 가스 분배 판(125)은, 가스 분산 채널(134)로부터 기판으로의 경로만이 가스 분배 판(125)의 복수의 애퍼처들(126)을 통과하도록 가스 분산 채널(134)의 표면으로 연장된다. 가스 분배 판(125)은 유리하게, 가스 분배 판(125)을 통한 가스의 초크 유동(choked flow)을 생성하여, 기판(110) 상의 퇴적이 더 균일해지게 하며, 그에 따라, 가스의 회전 유동에 의해 야기되는 도넛 형상 퇴적을 실질적으로 제거한다.
일부 실시예들에서, 가스 분배 판(125)은, 예컨대, 산화알루미늄 또는 질화알루미늄과 같은 비-부식성 세라믹 물질로 형성된다. 일부 실시예들에서, 복수의 애퍼처들(126) 각각은 등가의 유체 전도도(fluid conductance)를 가질 수 있다. 일부 실시예들에서, 복수의 애퍼처들(126)의 밀도(예컨대, 단위 면적당 애퍼처들의 수 또는 애퍼처들의 개구들의 크기)는 기판(110) 상에서 원하는 퇴적 프로파일을 달성하기 위해 가스 분배 판(125)에 걸쳐 변할 수 있다. 예컨대, 퇴적 균일성을 추가로 개선하기 위해, 더 높은 밀도의 애퍼처들(126)이 가스 분배 판(125)의 중심에 배치되어 기판의 가장자리에 비해 기판의 중심에서의 퇴적률을 증가시킬 수 있다.
복수의 애퍼처들(126)이 원통형 관통 홀들로서 도시되어 있지만, 복수의 애퍼처들(126)은 상이한 프로파일들을 가질 수 있다. 도 4a 내지 도 4c는 복수의 애퍼처들(126)의 프로파일들의 상이한 비-제한적인 실시예들을 도시한다. 도 4a에 도시된 실시예에서, 애퍼처(126)는, 애퍼처를 둘러싸는 만곡된 가장자리들(curved edges)(402)을 갖는 원통형 관통 홀이다. 도 4b에 도시된 실시예에서, 애퍼처(126)는, 애퍼처의 중심을 향해 내측으로 테이퍼링되는 상부 부분(404), 가스 분배 판(125)의 상부 표면(127)에 수직으로 연장되는 원통형 중앙 부분(405), 및 애퍼처의 중심으로부터 외측으로 테이퍼링되는 하부 부분(406)을 갖는 관통 홀이다. 도 4c에 도시된 실시예에서, 애퍼처(126)는, 카운터성크(countersunk) 홀을 갖는 상부 부분(408), 가스 분배 판(125)의 상부 표면(127)에 수직으로 연장되는 원통형 중앙 부분(409), 및 애퍼처의 중심으로부터 외측으로 테이퍼링되는 하부 부분(410)을 갖는 관통 홀이다. 기판(110)의 처리 동안 최적의 퇴적 균일성을 달성하기 위해 복수의 애퍼처들(126)의 다른 프로파일들이 대안적으로 사용될 수 있다.
이론에 얽매이는 것을 원하지는 않지만, 본 발명자들은, 가스 분산 채널(134)의 상부 부분으로부터 중심 축(133)을 따른 제1 지점까지 일정하고 제1 지점으로부터 가스 분산 채널(134)의 하부 부분(135)까지 증가하는 가스 분산 채널(134)의 직경은, 가스 분산 채널(134)을 통한 가스의 단열 팽창을 더 적게 허용하고, 이는, 원형 가스 유동(174)에 포함된 프로세스 가스의 온도를 제어하는 것을 돕는다고 여긴다. 예컨대, 가스 분산 채널(134) 내로 전달되는 가스의 갑작스러운 단열 팽창은 가스의 온도의 강하를 초래할 수 있으며, 이는, 가스의 응축 및 액적들의 형성을 야기할 수 있다. 다른 한편으로는, 점진적으로 테이퍼링되는 가스 분산 채널(134)은 가스의 단열 팽창을 더 적게 제공하는 것으로 여겨진다. 따라서, 더 많은 열이 가스로 또는 가스로부터 전달될 수 있고, 그에 따라, 가스의 온도는 챔버 덮개 조립체(132)의 온도를 제어함으로써 더 쉽게 제어될 수 있다. 가스 분산 채널(134)은 점진적으로 테이퍼링되고, 하나 이상의 테이퍼링된 내측 표면, 이를테면, 테이퍼링된 직선 표면, 오목한 표면, 볼록한 표면, 또는 이들의 조합들을 포함할 수 있거나, 하나 이상의 테이퍼링된 내측 표면의 섹션들(즉, 테이퍼링된 부분 및 테이퍼링되지 않은 부분)을 포함할 수 있다.
도 3에 도시된 바와 같이, 가스 분산 채널(134)의 상부 부분은, 하우징(375)의 내측 구역에 배치된 삽입부(300)에 의해 정의된다. 삽입부(300)는, 삽입부(300)의 상부 부분에 있는 캡(302), 및 가스 분산 채널(134)을 적어도 부분적으로 정의하는 중앙 통로를 포함한다. 캡(302)은, 삽입부(300)를 제자리에 유지하도록 하우징(375) 위로 연장된다. 삽입부(300) 및 캡(302)은, 적절한 밀봉을 보장하기 위해 삽입부(300)와 하우징(375) 사이에 배치되는 복수의 o-링들(385)을 포함한다. 삽입부(300)는, 삽입부(300)가 하우징(375) 내에 삽입될 때 대응하는 복수의 원주방향 채널들(360, 365, 370)을 형성하는 복수의 원주방향 애퍼처들을 포함한다. 복수의 원주방향 채널들(360, 365, 370)은, 대응하는 복수의 홀들(340, 345, 350)을 통해 가스 분산 채널(134)에 유체유동적으로(fluidly) 결합된다. 도 3에 도시된 실시예에서, 가스 전달 시스템(130)은, 복수의 가스 공급 라인들(310, 315, 320)을 통해 가스 분산 채널(134)에 결합된다. 가스 공급 라인들(310, 315, 320)은, 하나 이상의 가스를 가스 분산 채널(134)에 제공하기 위해 복수의 원주방향 채널들(360, 365, 370)에 유체유동적으로 결합된다.
도 1 및 도 2를 다시 참조하면, 프로세스 챔버(100)는, 원격 플라즈마 소스(RPS)(190), 일 단부에서 RPS(190)에 그리고 대향하는 단부에서 캡(302)에 결합되는 격리 칼라(192), 덮개 판(170)의 상부 표면에 결합되는 가열기 판(198), 및 RPS(190)에 유체유동적으로 결합되는 세정 가스(즉, 퍼지 가스) 소스(197)를 포함하는 챔버 세정 시스템을 더 포함한다. 세정 가스 소스는, 프로세스 챔버(100)를 세정하기 위한 플라즈마를 형성하기에 적합한 임의의 가스를 포함할 수 있다. 일부 실시예들에서, 예컨대, 세정 가스는 삼플루오린화질소(NF3)일 수 있다. 격리 칼라(192)는, RPS(190)로부터 가스 분산 채널(134)을 통해 반응 구역(164) 내로 플라즈마를 유동시키기 위해, 캡(302)의 중앙 부분에 배치된 복수의 홀들(285)을 통해 가스 분산 채널(134)에 유체유동적으로 결합되는 내측 채널(193)을 포함한다. 가열기 판(198)은 스테인리스 강으로 형성될 수 있고, 판 전체에 걸쳐 분산된 복수의 저항성 가열 요소들을 포함할 수 있다.
전형적으로, 세정 가스는, 제1 가스가 가스 전달 시스템(130)에 의해 가스 분산 채널(134)에 제공된 후에 가스 분산 채널(134) 및 반응 구역(164)을 통해 유동되어 가스 분산 채널(134) 및 반응 구역(164)으로부터 제1 가스를 신속하게 퍼징한다. 후속하여, 제2 가스가 가스 전달 시스템(130)에 의해 가스 분산 채널(134)에 제공되고, 가스 분산 채널(134) 및 반응 구역(164)으로부터 제2 가스를 신속하게 퍼징하기 위해 세정 가스가 다시 가스 분산 채널(134)을 통해 반응 구역(164)으로 유동된다. 그러나, 가스 분배 판(125)의 부가는 세정 가스의 유동을 펌핑 채널(179)로 초킹하고 세정 프로세스를 연장시킨다. 그러므로, 본 발명자들은, 제1 단부(186)에서 격리 칼라(192)에 그리고 제2 단부(188)에서 펌핑 채널(179)에 결합되는 배기 도관(184)을 갖는 배기 시스템(180)을 통합하였다. 배기 도관(184)을 내측 채널(193)에 선택적으로 유체유동적으로 결합하기 위해 밸브(182)가 배기 도관(184)에 배치된다. 일부 실시예들에서, 예컨대, 밸브(182)는, 배기 도관(184)을 내측 채널(193)에 유체유동적으로 결합하기 위한 제1 위치(도 2에 도시됨)와 배기 도관(184)을 내측 채널(193)로부터 밀봉하기 위한 제2 위치 사이에서 이동가능한 플런저(202)를 갖는 플런저 유형 밸브일 수 있다. 세정 가스가 가스 분산 채널(134) 및 반응 구역(164)을 통해 유동될 때마다, 밸브(182)가 개방되고, 세정 가스는 펌핑 채널(179)로 급속하게 배기된다.
프로세스 챔버(100) 내부의 압력이 RPS(190) 내부의 압력을 초과할 때, 처리 가스들은 RPS(190)에 이르도록 유동하여 그를 손상시킬 수 있다. 복수의 홀들(285)은, 처리 가스들의 역류가 내측 채널(193)을 통해 RPS(190) 내로 상향 유동하는 것을 방지하기 위한 초크 지점의 역할을 한다. 격리 칼라(192)는, 사용되는 세정 가스와 비-반응성인 임의의 물질로 형성될 수 있다. 일부 실시예들에서, 격리 칼라(192)는, 세정 가스가 NF3일 때 알루미늄으로 형성될 수 있다. 일부 실시예들에서, 격리 칼라(192) 및 삽입부(300)는 알루미늄으로 형성될 수 있고, 사용될 때 부식성 가스들로부터의 격리 칼라(192) 및 삽입부(300)의 부식을 방지하기 위해 코팅으로 코팅될 수 있다. 예컨대, 코팅은 니켈 또는 산화알루미늄으로 형성될 수 있다.
도 3을 참조하면, RPS(190)는 약 40 ℃ 이하의 온도에서 동작한다. 유리하게, RPS(190)를 프로세스 챔버(100) 내에 생성된 열로부터 절연시키기 위해, 열 격리 링(394)이 격리 칼라(192)와 캡(302) 사이에 배치된다. 열 격리 링(394)은, 낮은 열 전도율(예컨대, 격리 칼라(192) 및 캡(302)의 열 전도율보다 낮음)을 갖는 금속으로 형성된다. 게다가, 격리 칼라(192)와 캡(302) 사이의 접촉 면적을 추가로 감소시키기 위해 격리 칼라(192)와 캡(302) 사이에 o-링(385)이 또한 배치될 수 있다. 열 격리 링(394)과 o-링(385)의 조합은, 프로세스 챔버(100) 내에 생성된 열이 RPS(190)에 악영향을 미치지 않는 것을 보장하기 위한 열 초크로서의 역할을 한다.
일부 실시예들에서, 덮개 판(170)이 100 ℃ 초과로 가열될 때, 프로세스 챔버(100)는, o-링들(385) 사이에 포획된 임의의 프로세스 가스들 또는 부산물들이 펌핑 채널(179)로 배기되는 것을 보장하기 위해 차동 펌핑 라인(250)을 포함할 수 있다. 차동 펌핑 라인(250)은 제1 단부에서 덮개 판(170)에 그리고 제1 단부에 대향하는 제2 단부에서 하우징(375)에 결합된다. 차동 펌핑 라인은, 가스 분산 채널(134)에 그리고 2개 이상의 o-링(385) 사이의 영역들에 형성된 하나 이상의 채널(260)에 유체유동적으로 결합된다. 가스 분산 채널(134)을 배기하기 위해 밸브(182)가 개방될 때, 차동 펌핑 라인은 o-링들(385) 사이에 포획된 가스들을 배기한다.
도 3을 다시 참조하면, 챔버 덮개 조립체(132)의 최하부 표면(160)의 일부분은, 기판(110)의 표면에 걸친(즉, 기판의 중심으로부터 기판의 가장자리까지의) 가스 분산 채널(134)로부터의 가스 유동의 개선된 속도 프로파일을 제공하는 것을 돕기 위해, 가스 분산 채널(134)에 결합된 중앙 개구로부터 챔버 덮개 조립체(132)의 둘레 부분으로 외측으로 그리고 하향으로 각지거나 기복을 나타낼 수 있다. 최하부 표면(160)은 하나 이상의 표면, 이를테면, 직선 표면, 오목한 표면, 볼록한 표면, 또는 이들의 조합들을 포함할 수 있다. 일 실시예에서, 최하부 표면(160)은 볼록한 깔때기 형상이다.
일 예에서, 최하부 표면(160)은, 챔버 덮개 조립체(132)의 최하부 표면(160)과 기판(110) 사이에서 이동하는 프로세스 가스들의 속도의 변동을 감소시키는 것을 돕는 한편, 반응물 가스에 대한 기판(110)의 표면의 균일한 노출을 제공하는 데 도움을 주기 위해, 기판 수용 표면(111)의 가장자리를 향해 하향으로 그리고 외측으로 경사진다. 챔버 덮개 조립체(132)의 구성요소들 및 부분들은, 스테인레스 강, 알루미늄, 니켈 도금된 알루미늄, 니켈, 이들의 합금들, 또는 다른 적합한 물질들과 같은 물질들을 포함할 수 있다. 일 실시예에서, 덮개 판(170)은, 금속, 이를테면, 알루미늄, 알루미늄 합금, 강철, 스테인레스 강, 이들의 합금들, 또는 이들의 조합물들로 독립적으로 제조되거나, 기계가공되거나, 단조되거나, 또는 다른 방식으로 만들어질 수 있다.
일부 실시예들에서, 가스 분산 채널(134)의 내측 표면(131) 및 챔버 덮개 조립체(132)의 최하부 표면(160)은, 가스 분산 채널(134) 및 챔버 덮개 조립체(132)의 최하부 표면(160)을 따른 가스의 유동을 돕기 위해 경면 연마된(mirror polished) 표면을 포함할 수 있다.
도 1 내지 도 3을 참조하면, 처리 동작에서, 기판(110)은 로봇(도시되지 않음)에 의해 슬릿 밸브(108)를 통해 프로세스 챔버(100)로 전달된다. 기판(110)은, 리프트 핀들(120)과 로봇의 협력을 통해 기판 지지부(112) 상에 위치된다. 기판 지지부(112)는, 기판(110)을 가스 분배 판(125)의 하부 표면에 가깝게 대향하도록 상승시킨다. 제1 가스 유동은, 제2 가스 유동과 함께 또는 그와 별개로(즉, 펄스들로) 가스 전달 시스템(130)에 의해 프로세스 챔버(100)의 가스 분산 채널(134) 내로 주입될 수 있다. 제1 가스 유동은, 퍼지 가스 소스로부터의 퍼지 가스의 연속적인 유동 및 반응물 가스 소스로부터의 반응물 가스의 펄스들을 포함할 수 있거나, 반응물 가스 소스로부터의 반응물 가스의 펄스들 및 퍼지 가스 소스로부터의 퍼지 가스의 펄스들을 포함할 수 있다. 제2 가스 유동은, 퍼지 가스 소스로부터의 퍼지 가스의 연속적인 유동 및 반응물 가스 소스로부터의 반응물 가스의 펄스들을 포함할 수 있거나, 반응물 가스 소스로부터의 반응물 가스의 펄스들 및 퍼지 가스 소스로부터의 퍼지 가스의 펄스들을 포함할 수 있다.
원형 가스 유동(174)은 가스 분산 채널(134)을 통해 그리고 후속하여 가스 분배 판(125)에 있는 복수의 애퍼처들(126)을 통해 이동한다. 이어서, 가스는 기판(110)의 표면 상에 퇴적된다. 하향으로 경사지는 챔버 덮개 조립체(132)의 최하부 표면(160)은, 가스 분배 판(125)의 표면에 걸친 가스 유동의 속도의 변동을 감소시키는 것을 돕는다. 과잉 가스, 부산물들 등은 펌핑 채널(179) 내로 유동하고, 이어서, 프로세스 챔버(100)로부터 배기된다. 처리 동작 전체에 걸쳐, 가열기 판(198)은, 챔버 덮개 조립체(132)를 미리 결정된 온도로 가열하여, 프로세스 챔버(100)(또는 챔버 내에 배치된 처리 키트)의 벽들 상에 축적된 임의의 고체 부산물들을 가열할 수 있다. 결과적으로, 임의의 축적된 고체 부산물들이 기화된다. 기화된 부산물들은 진공 시스템(도시되지 않음) 및 펌핑 채널(179)에 의해 진공배기된다. 일부 실시예들에서, 미리 결정된 온도는 150 ℃ 이상이다.
일부 프로세스 조건들은, 예컨대, 가스 상 반응들을 허용하는 가스 전달 시스템 내의 잔류 전구체들로 인해 단차 피복성 문제들을 야기할 수 있다. 전형적인 ALD 프로세스에서, 가스 상 반응들은 일반적으로 회피된다. 그에 따라서, 본 개시내용의 일부 실시예들은, 프로세스 챔버 덮개들 및 챔버 덮개에 대한 후면측 펌핑 능력을 갖는 처리 챔버들을 제공한다. 일부 실시예들의 장치는, 어떠한 플라즈마 소스도 그에 연결되지 않은 열 챔버 덮개이다. 일부 실시예들에서, 챔버 덮개는, 원격 플라즈마를 프로세스 챔버에 제공하기 위한 원격 플라즈마 소스를 갖도록 구성된다.
본 개시내용의 하나 이상의 실시예는 유리하게, 표면 피처들 상의 막들의 단차 피복성을 개선하기 위한 장치를 제공한다. 본 개시내용의 하나 이상의 실시예는 유리하게, 잔류 반응성 가스들을 제거하기 위해 후면측 펌핑을 부가하는 장치를 제공한다. 일부 실시예들에서, 장치는, 덮개 판과 샤워헤드 사이에 포획된 화학물질들을 더 효율적으로 펌핑하는 것을 돕는다.
도 5는 본 개시내용의 하나 이상의 실시예에 따른 프로세스 챔버 덮개 조립체(500)를 도시한다. 하우징(375)은, 하우징(375)의 중심 축(133)을 따라 연장되는 가스 분산 채널(134)을 에워싼다. 가스 분산 채널(134)은 상부 부분(134a) 및 하부 부분(134b)을 갖는다.
덮개 판(170)은 하우징(375)에 결합되고, 기복형 최하부 표면(160)을 갖는다. 기복형 최하부 표면(160)은, 가스 분산 채널(134)의 하부 부분(134b)에 결합된 중앙 개구(136)로부터 덮개 판(170)의 외측 둘레 부분(138)으로 하향으로 그리고 외측으로 연장된다. 예시된 실시예에서, 외측 둘레 부분(138)은, 외측 둘레 가장자리(137)에 인접한 기복형 최하부 표면(160)의 외측 부분을 지칭한다.
덮개 조립체(500)는, 덮개 판(170) 아래에 배치되는 가스 분배 판(125)을 포함한다. 가스 분배 판(125)은 최상부 표면(128) 및 최하부 표면(129)을 가지며, 복수의 애퍼처들(126)이 최상부 표면(128)으로부터 최하부 표면(129)까지 가스 분배 판(125)을 통해 배치된다.
가스 분배 판(125)은, 가스 분배 판(125)과 덮개 판(170) 사이에 펌핑 채널(530)을 형성하도록 구성되는 상부 외측 둘레 윤곽(520)을 갖는다. 도 5의 실시예에 도시된 펌핑 채널(530)은, 덮개 판(170)의 외측 둘레 최하부 표면(532)과 가스 분배 판(125)의 상부 외측 둘레 윤곽(520) 사이에 정의된다. 일부 실시예들에서, 덮개 판(170)의 외측 둘레 최하부 표면(532)은, 기복형 최하부 표면(160)의 외측 둘레 부분(138)보다 중심 축(133)으로부터 더 멀리 있다. 달리 언급하면, 일부 실시예들에서, 외측 둘레 최하부 표면(532)은 기복형 최하부 표면(160)을 둘러싼다.
덮개 판(170)의 기복형 최하부 표면(160) 및 가스 분배 판(125)의 최상부 표면(128)은 갭(G)을 정의한다. 최하부 표면(160)이 기복을 나타내므로, 갭(G)은 중심 축(133)으로부터의 거리의 함수로서 가변적이다. 일부 실시예들에서, 내측 구역(ZI)은 중간 구역(ZM)보다 큰 갭을 갖고, 중간 구역(ZM)은 외측 구역(ZO)보다 큰 갭을 갖는다. 도 6a는 도 5에 도시된 것과 유사한 기복형 최하부 표면(160)을 갖는 덮개 판(170)의 개략적인 단면도를 도시한다. 도 6b는, 중심 축(133)으로부터의 반경방향 거리에 대한 갭(G)의 관계를 나타내는, 도 5의 덮개 판(170) 및 가스 분배 판(125)의 개략적인 단면도를 도시한다. 도 6a에서, 덮개 판(170)의 기복형 최하부 표면(160)은 3개의 구역, 즉, 내측 구역(ZI); 중간 구역(ZM); 및 외측 구역(ZO)으로 분리된다. 이러한 실시예에서, 중간 구역(ZM)에서는, 기복형 최하부 표면(160)은 갭(GM)이 균일하도록 평평하다. 부분 단면도를 도시하는 도 6b를 참조하면, 중간 구역(ZM)에서의 갭(GM)은, 중간 구역(ZM)의 좌측 가장자리로부터 우측 가장자리까지 균일하다. 내측 구역(ZI)에서, 갭(GI)은 중심 축(133)으로부터 측정된 거리(x)의 함수이다. 도 6b는 내측 구역(ZI)에서의 갭(GIdx)에 대한 2개의 측정치를 도시한다. 외측 구역(ZO)에서, 갭(GO)은 중심 축(133)으로부터 측정된 거리(x)의 함수이다. 외측 구역(ZO)에서의 갭(GOdx)에 대해 하나의 측정치가 도 6b에 도시된다. 통상의 기술자는, 예시된 측정치들이 설명의 목적들만을 위한 것임을 인식할 것이다. 도 6b의 가스 분배 판(125)에 있는 애퍼처들(126)은 설명의 목적들을 위해 생략된다.
도 6b를 다시 참조하면, 일부 실시예들에서, 내측 구역(ZI)은, 덮개 판(170)의 중심 축(133)으로부터, 중심 축(133)으로부터의 내측 구역 반경방향 거리(RI)까지로 정의된다. 중간 구역(ZM)은, 내측 구역 반경방향 거리(RI)로부터, 중심 축(133)으로부터의 중간 구역 반경방향 거리(RM)까지로 정의된다. 외측 구역(ZO)은, 중간 구역 반경방향 거리(ZM)로부터, 기복형 최하부 표면(160)의 외측 둘레 가장자리(137)에서의 외측 구역 반경방향 거리(RO)까지로 측정된다.
중간 구역(ZM)의 크기는, 중심 축(133)으로부터 외측 둘레 가장자리(137)까지의 총 반경방향 거리에 대해 측정된 임의의 적합한 크기일 수 있다. 일부 실시예들에서, 중심 축(133)으로부터 외측 둘레 가장자리(137)까지의 거리는, 약 50 mm, 100 mm, 150 mm, 또는 200 mm 이상이다. 일부 실시예들에서, 중심 축(133)으로부터 외측 둘레 가장자리(137)까지의 거리는, 처리될 기판의 반경보다 크다. 예컨대, 300 mm 기판이 처리되는 실시예에서, 중심 축으로부터 기판의 가장자리까지의 반경방향 거리는, 기판이 중심에 놓인다고 가정하면, 150 mm이다. 이러한 예에서, 중심 축(133)으로부터 외측 둘레 가장자리(137)까지의 거리는 150 mm 이상이다.
일부 실시예들에서, 중심 축(133)으로부터 중간 구역 반경방향 거리(ZM)까지의 거리는 약 50 mm, 100 mm, 150 mm 또는 200 mm 이상이다. 일부 실시예들에서, 중심 축(133)으로부터 중간 구역 반경방향 거리(ZM)까지의 거리는 처리될 기판의 반경보다 크다. 예컨대, 300 mm 기판이 처리되는 실시예에서, 중심 축으로부터 기판의 가장자리까지의 반경방향 거리는, 기판이 중심에 놓인다고 가정하면, 150 mm이다. 이러한 예에서, 중심 축(133)으로부터 중간 구역 반경방향 거리(ZM)까지의 거리는, 예컨대, 150 mm 이상이다.
일부 실시예들에서, 덮개 판(170)의 중간 구역(ZM)의 크기는, 중심 축으로부터 외측 구역 반경방향 거리(RO)까지의 거리의 약 10 % 내지 약 90 %의 범위 내에 있다. 일부 실시예들에서, 덮개 판(170)의 중간 구역(ZM)의 크기는, 중심 축(133)으로부터 외측 구역 반경방향 거리(RO)까지의 거리의 약 20 % 내지 약 80 %의 범위, 또는 약 30 % 내지 약 70 %의 범위, 또는 약 40 % 내지 약 60 %의 범위 내에 있다.
일부 실시예들에서, 중간 구역(ZM)에서의 실질적으로 균일한 갭은 약 0.1 인치 내지 약 2 인치(약 2.5 mm 내지 약 51 mm)의 범위 내에 있다. 이러한 방식으로 사용되는 바와 같이, "실질적으로 균일한 갭"이라는 용어는, 중간 구역(ZM) 내의 임의의 반경방향 거리에서의 갭이 중간 구역(ZM)에서의 평균 갭의 5 %, 2 %, 1 % 또는 0.5 % 내에 있다는 것을 의미한다.
일부 실시예들에서, 외측 구역(ZO)은, 중간 구역(ZM)으로부터 덮개 판(170)의 전면(161)까지 경사진다. 일부 실시예들에서, 외측 구역(ZO)은, 중간 구역(ZM)으로부터 가스 분배 판(125)의 최상부 표면(128)까지 경사진다. 평평한 중간 구역(ZM)에 대한 외측 구역(ZO)의 경사는, 도 7에 도시된 바와 같이, 외측 구역 각도(
Figure pct00001
)를 형성한다. 일부 실시예들에서, 외측 구역 각도는, 약 15° 내지 약 75°의 범위, 또는 약 30° 내지 약 60°의 범위, 또는 약 40° 내지 약 50°의 범위 내에 있다.
도 5 및 도 7을 참조하면, 일부 실시예들에서, 기복형 최하부 표면(160)의 외측 구역(ZO)은 덮개 판(170)에 형성된 펌핑 홀들(525)을 통해 펌핑 채널(530)에 연결된다. 일부 실시예들에서, 펌핑 홀들(525)은 기복형 최하부 표면(160)의 외측 구역(ZO)에 형성된다. 펌핑 홀들(525)의 수는, 예컨대, 덮개 판(170)의 크기에 기반하여 변할 수 있다. 일부 실시예들에서, 약 24개 내지 약 144개의 범위 내의 펌핑 홀들(525)이 존재한다.
도 7에 도시된 바와 같이, 펌핑 홀들은, 각도
Figure pct00002
로 기복형 최하부 표면(160)의 외측 구역(ZO)과 교차한다. 일부 실시예들에서, 각도
Figure pct00003
는, 약 75° 내지 약 105°의 범위, 또는 약 80° 내지 약 100°의 범위, 또는 약 85° 내지 약 95°의 범위, 또는 약 88° 내지 약 92°의 범위 내에 있다.
일부 실시예들의 덮개 조립체(500)는, 도 5에 도시된 바와 같이, 펌핑 채널(530)과 유체 연통하는 적어도 하나의 펌프 포트(560)를 포함한다. 펌프 포트(560)는, 덮개 판(170)에 연결되는 별개의 구성요소일 수 있다. 일부 실시예들에서, 상이한 반경방향 위치들에서 펌핑 채널(530)에 연결되는 2개 이상의 펌프 포트(560)가 존재한다. 일부 실시예들에서, 펌프 포트들 각각은, 진공배기 목적들을 위해 별개의 진공 소스에 연결된다. 일부 실시예들에서, 펌프 포트들은, 단일 진공 소스와 유체 연통한다. 일부 실시예들에서, 펌프 포트들은, 펌핑 채널(179)(도 1 참조)과 유체 연통한다.
도 8은 본 개시내용의 하나 이상의 실시예에 따른 덮개 조립체(600)를 도시한다. 덮개 판(170)의 기복형 최하부 표면(160)은, 기복형 최하부 표면(160)의 내측 가장자리(610)로부터 외측 둘레 가장자리(137)까지 경사진다.
기복형 최하부 표면(160)의 경사는, 외측 둘레 가장자리(137)에서 최소로 감소되는 갭(G)을 생성한다. 일부 실시예들에서, 최소 갭(G)은, 약 0.01 인치 내지 약 1 인치(약 0.25 mm 내지 약 25.4 mm)의 범위, 또는 약 0.05 인치 내지 약 0.5 인치(약 1.25 mm 내지 약 12.7 mm)의 범위 내에 있다.
본 개시내용의 부가적인 실시예들은, 덮개 조립체(500) 또는 덮개 조립체(600)를 포함하는 처리 챔버들에 관한 것이다.
전술한 명세서에서, 본 개시내용의 실시예들은 본 개시내용의 특정 예시적인 실시예들을 참조하여 설명되었다. 다음의 청구항들에 기재된 본 개시내용의 실시예들의 더 넓은 사상 및 범위로부터 벗어나지 않으면서 그 실시예들에 대해 다양한 수정들이 이루어질 수 있다는 것이 명백할 것이다. 따라서, 명세서 및 도면들은 제한적인 의미보다는 예시적인 의미로 간주되어야 한다.

Claims (20)

  1. 프로세스 챔버 덮개 조립체로서,
    가스 분산 채널을 에워싸는 하우징 ― 상기 가스 분산 채널은 상기 하우징의 중심 축을 따라 연장되고, 상기 가스 분산 채널은 상부 부분 및 하부 부분을 가짐 ―;
    상기 하우징에 결합되는 덮개 판 ― 상기 덮개 판은, 상기 가스 분산 채널의 상기 하부 부분에 결합되는 중앙 개구로부터 상기 덮개 판의 둘레 부분으로 하향으로 그리고 외측으로 연장되는 기복형(contoured) 최하부 표면을 가짐 ―; 및
    상기 덮개 판 아래에 배치되는 가스 분배 판 ― 상기 가스 분배 판은 상기 가스 분배 판과 상기 덮개 판 사이에 펌핑 채널을 형성하도록 구성되는 상부 외측 둘레 윤곽을 갖고, 상기 가스 분배 판은 최상부 표면 및 최하부 표면을 갖고, 상기 최상부 표면으로부터 상기 최하부 표면까지 상기 가스 분배 판을 통해 복수의 애퍼처들이 배치되고, 상기 덮개 판의 상기 기복형 최하부 표면 및 상기 가스 분배 판의 상기 최상부 표면은 갭을 정의함 ―
    을 포함하는, 덮개 조립체.
  2. 제1항에 있어서,
    상기 덮개 판의 상기 기복형 최하부 표면은 내측 구역, 중간 구역, 및 외측 구역을 포함하고, 상기 내측 구역은 상기 중간 구역보다 큰 갭을 갖고, 상기 중간 구역은 상기 외측 구역보다 큰 갭을 갖는, 덮개 조립체.
  3. 제2항에 있어서,
    상기 내측 구역은 상기 덮개 판의 중심 축으로부터, 상기 중심 축으로부터의 내측 구역 반경방향 거리까지로 정의되고, 상기 중간 구역은 상기 내측 구역 반경방향 거리로부터, 상기 중심 축으로부터의 중간 구역 반경방향 거리까지로 정의되고, 상기 외측 구역은 상기 중간 구역 반경방향 거리로부터, 상기 기복형 최하부 표면의 외측 둘레 가장자리에서의 외측 구역 반경방향 거리까지로 측정되는, 덮개 조립체.
  4. 제3항에 있어서,
    상기 기복형 최하부 표면의 상기 중간 구역은 실질적으로 균일한 갭을 형성하는, 덮개 조립체.
  5. 제4항에 있어서,
    상기 실질적으로 균일한 갭은 약 0.1 인치 내지 약 2 인치의 범위 내에 있는, 덮개 조립체.
  6. 제3항에 있어서,
    상기 중간 구역은 상기 중심 축으로부터 상기 외측 구역 반경방향 거리까지의 거리의 약 10 % 내지 약 90 %의 범위를 포함하는, 덮개 조립체.
  7. 제3항에 있어서,
    상기 외측 구역은 상기 중간 구역으로부터 상기 덮개 판의 전면까지 경사져 외측 구역 각도를 형성하는, 덮개 조립체.
  8. 제7항에 있어서,
    상기 기복형 최하부 표면의 상기 외측 구역은 상기 덮개 판에 형성된 펌핑 홀들을 통해 상기 펌핑 채널에 연결되는, 덮개 조립체.
  9. 제8항에 있어서,
    상기 펌핑 홀들은 상기 기복형 최하부 표면의 상기 외측 구역에 형성되는, 덮개 조립체.
  10. 제8항에 있어서,
    상기 펌핑 홀들은 약 85° 내지 약 95°의 범위 내의 각도로 상기 기복형 최하부 표면의 상기 외측 구역과 교차하는, 덮개 조립체.
  11. 제8항에 있어서,
    상기 외측 구역에 약 24개 내지 약 144개의 범위 내의 펌핑 홀들이 존재하는, 덮개 조립체.
  12. 제8항에 있어서,
    상기 펌핑 채널과 유체 연통하는 적어도 하나의 펌프 포트를 더 포함하는, 덮개 조립체.
  13. 제1항에 있어서,
    상기 덮개 판의 상기 기복형 최하부 표면은, 상기 기복형 최하부 표면의 내측 가장자리로부터 상기 기복형 최하부 표면의 외측 둘레 가장자리까지 경사짐에 따라, 상기 덮개 판의 상기 기복형 최하부 표면과 상기 가스 분배 판의 상기 최상부 표면 사이의 갭이 상기 외측 둘레 가장자리에서 최소로 감소하는, 덮개 조립체.
  14. 제13항에 있어서,
    상기 가스 분배 판의 상기 상부 외측 둘레 윤곽 및 덮개 판 외측 둘레 표면은 펌핑 채널을 정의하는, 덮개 조립체.
  15. 제13항에 있어서,
    최소 갭은 약 0.05 인치 내지 약 0.5 인치의 범위 내에 있는, 덮개 조립체.
  16. 제13항에 있어서,
    상기 펌핑 채널과 유체 연통하는 적어도 하나의 펌프 포트를 더 포함하는, 덮개 조립체.
  17. 제1항 내지 제12항 중 어느 한 항의 덮개 조립체를 포함하는, 처리 챔버.
  18. 제17항에 있어서,
    가스 분산 채널에 유체유동적으로(fluidly) 결합되는 원격 플라즈마 소스를 더 포함하는, 처리 챔버.
  19. 제13항 내지 제16항 중 어느 한 항의 덮개 조립체를 포함하는, 처리 챔버.
  20. 제19항에 있어서,
    가스 분산 채널에 유체유동적으로 결합되는 원격 플라즈마 소스를 더 포함하는, 처리 챔버.
KR1020217042885A 2019-05-28 2020-05-28 후면측 펌핑을 이용하는 열 프로세스 챔버 덮개 KR20220002741A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962853699P 2019-05-28 2019-05-28
US62/853,699 2019-05-28
PCT/US2020/034903 WO2020243288A1 (en) 2019-05-28 2020-05-28 Thermal process chamber lid with backside pumping

Publications (1)

Publication Number Publication Date
KR20220002741A true KR20220002741A (ko) 2022-01-06

Family

ID=73549739

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217042885A KR20220002741A (ko) 2019-05-28 2020-05-28 후면측 펌핑을 이용하는 열 프로세스 챔버 덮개

Country Status (5)

Country Link
US (3) US11335591B2 (ko)
JP (2) JP7401560B2 (ko)
KR (1) KR20220002741A (ko)
TW (1) TWI803753B (ko)
WO (1) WO2020243288A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US11335591B2 (en) * 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3479833B2 (ja) * 2000-08-22 2003-12-15 日本電気株式会社 レーザ修正方法および装置
JP4236882B2 (ja) 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
EP1661161A2 (en) 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
KR101522725B1 (ko) * 2006-01-19 2015-05-26 에이에스엠 아메리카, 인코포레이티드 고온 원자층 증착용 인렛 매니폴드
JP4527670B2 (ja) * 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
JP2010212335A (ja) * 2009-03-09 2010-09-24 Hitachi Kokusai Electric Inc 基板処理装置
SG10201501824XA (en) 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
US9982340B2 (en) * 2012-04-04 2018-05-29 Taiwan Semiconductor Manufacturing Co. Ltd. Shower head apparatus and method for controlling plasma or gas distribution
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5807084B2 (ja) * 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
TWI524388B (zh) * 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
US10407771B2 (en) 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
JP6001131B1 (ja) * 2015-04-28 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
JP2017123425A (ja) * 2016-01-08 2017-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP6368732B2 (ja) * 2016-03-29 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6198086B1 (ja) 2016-03-29 2017-09-20 Necプラットフォームズ株式会社 基板ガイド部材及び筐体
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10801106B2 (en) * 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US11335591B2 (en) * 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping

Also Published As

Publication number Publication date
US11715667B2 (en) 2023-08-01
JP7401560B2 (ja) 2023-12-19
TWI803753B (zh) 2023-06-01
WO2020243288A1 (en) 2020-12-03
US11335591B2 (en) 2022-05-17
JP2024037816A (ja) 2024-03-19
US20220246471A1 (en) 2022-08-04
TW202120738A (zh) 2021-06-01
TW202336270A (zh) 2023-09-16
JP2022534893A (ja) 2022-08-04
US20200381295A1 (en) 2020-12-03
US20230335434A1 (en) 2023-10-19

Similar Documents

Publication Publication Date Title
US11932939B2 (en) Lids and lid assembly kits for atomic layer deposition chambers
US11715667B2 (en) Thermal process chamber lid with backside pumping
KR102554825B1 (ko) 열 덮개를 구비한 원자 층 증착 챔버
KR200495609Y1 (ko) 샤워헤드 및 이를 포함하는 프로세스 챔버
US11420217B2 (en) Showerhead for ALD precursor delivery
WO2021179886A1 (zh) 反应腔室
WO2017074700A1 (en) High productivity pecvd tool for wafer processing of semiconductor manufacturing
TWI700388B (zh) 用於可流動式cvd的雙遠端電漿源的集成
TWI838240B (zh) 具有背側泵送的熱處理腔室蓋
US20220199373A1 (en) Methods to eliminate of deposition on wafer bevel and backside

Legal Events

Date Code Title Description
E902 Notification of reason for refusal