JP2024037816A - 裏側ポンピングを用いた熱処理チャンバのリッド - Google Patents

裏側ポンピングを用いた熱処理チャンバのリッド Download PDF

Info

Publication number
JP2024037816A
JP2024037816A JP2023206653A JP2023206653A JP2024037816A JP 2024037816 A JP2024037816 A JP 2024037816A JP 2023206653 A JP2023206653 A JP 2023206653A JP 2023206653 A JP2023206653 A JP 2023206653A JP 2024037816 A JP2024037816 A JP 2024037816A
Authority
JP
Japan
Prior art keywords
gas distribution
lid
zone
lid assembly
plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023206653A
Other languages
English (en)
Inventor
アンチン クィ,
ディエン-イェ ウー,
ウェイ ヴィ. タン,
イーシオン ヤン,
ボー ワン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2024037816A publication Critical patent/JP2024037816A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45585Compression of gas before it reaches the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4871Bases, plates or heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers

Abstract

【課題】堆積される膜の均一性を改善する、処理チャンバのリッドアセンブリおよびそれを含む処理チャンバを提供する。【解決手段】リッドアセンブリ500は、リッドプレート170と流体連結するガス分散チャネル134を有するハウジング375を有する。リッドプレートの輪郭底面160は、ガス分配プレート125の上面に対する間隙を画定する。ガス分配プレートの上部外周輪郭520とリッドプレートとの間にポンピングチャンネル530が形成される。【選択図】図5

Description

[0001]本開示の実施態様は、電子デバイス製造の分野に関する。特に、本開示の実施態様は、半導体デバイス製造において反応ガスを送達するための装置を対象とする。
[0002]サブミクロン以下のフィーチャを確実に生産することは、半導体デバイスの次世代の超大規模集積(VLSI)および極超大規模集積回路(ULSI)のための鍵となる技術の1つである。しかしながら、回路技術の限界が追及されるにつれて、VLSIおよびULSI技術におけるインターコネクトの寸法の縮小により、処理能力に対する要求が高まった。VLSIおよびULSI技術の心臓部に位置するマルチレベルインターコネクトは、ビアおよび他のインターコネクトといった高アスペクト比フィーチャの精密処理を使用する。これらのインターコネクトの確実な形成は、VLSIおよびULSIの成功と、個々の基板の回路密度と品質とを高めるための継続的な努力にとって極めて重要である。
[0003]回路密度が増加すると、ビア、トレンチ、コンタクト、および他のフィーチャといったインターコネクトの幅、ならびにそれらの間の誘電体材料が減少する一方で、誘電体層の厚さは実質的に一定のままであり、その結果、フィーチャの高さ対幅のアスペクト比が増加する。多くの伝統的な堆積プロセスは、サブミクロン構造を充填することおよび表面フィーチャのための良好なステップカバレッジを提供することが困難である。
[0004]原子層堆積(ALD)は、高いアスペクト比を有するフィーチャ上の材料層の堆積のために探求されている堆積技術である。ALDプロセスの一例は、ガスのパルスの連続的な導入を含む。例えば、ガスのパルスの連続的導入のための1サイクルは、第1の反応ガスのパルスと、それに続くパージガスおよび/またはポンプ排気のパルスと、それに続く第2の反応ガスのパルスと、それに続くパージガスおよび/またはポンプ排気のパルスとを含むことができる。本明細書で使用される「ガス」という用語は、単一のガスまたは複数のガスを含むと定義される。第1の反応物および第2の反応物の別々のパルスの連続的な導入は、基板の表面上の反応物の単層の交互の自己制御的吸着をもたらすことができ、したがって、各サイクルについて材料の単層を形成する。このサイクルを繰り返して、所定の厚さの膜を形成することができる。第1の反応ガスのパルスと第2の反応ガスのパルスとの間のパージガスおよび/またはポンプ排気のパルスは、チャンバ内に残る過剰量の反応物に起因する反応物の気相反応の可能性を低減するように働く。
[0005]ALD処理のためのいくつかのチャンバ設計では、前駆体およびガスは、漏斗蓋を使用して送達され、前駆体は、この蓋を通して、漏斗状の蓋の上の複数のインジェクタか分配される。インジェクタは噴射ガスの円運動を発生させ、ガスは蓋の中心にある漏斗形状を通して分散される。ガス/ALD前駆体分子の回転慣性は、分子を中心からエッジに分散するので均一堆積を改善する。
[0006]反応ガスが処理中にリッドプレートとシャワーヘッドとの間に捕捉されることにより、堆積される膜が不均一になることが観察された。したがって、当技術分野において、堆積される膜の均一性を改善するための方法および装置が継続的に必要とされている。
[0007]本開示の1つまたは複数の実施態様は、処理チャンバのリッドアセンブリを対象とする。ハウジングは、ハウジングの中心軸に沿って延びるガス分散チャネルを包囲する。ガス分散チャネルは、上部および下部を有する。リッドプレートは、ハウジングに連結され、ガス分散チャンネルの下部に連結された中央開口部からリッドプレートの周辺部分まで下方および外方に延びる輪郭底面を有する。ガス分配プレートは、リッドプレートの下方に配置され、ガス分配プレートとリッドプレートとの間にポンピングチャネルを形成するように構成された上部外周輪郭を有する。ガス分配プレートは、上面および底面を有し、複数の開孔が上面から底面までガス分配プレートを貫通して配置される。リッドプレートの輪郭底面とガス分配プレートの上面とは、間隙を画定する。
[0008]本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより詳細な説明が実施態様を参照することによって得られ、それら実施態様のいくつかが添付図面に例示される。しかしながら、本開示は他の等しく有効な実施態様を許容しうるので、添付図面は、本開示の典型的な実施態様のみを例示しており、したがって、本開示の範囲を限定すると見なすべきではないことに留意されたい。本明細書に記載される実施態様は、添付図面では、例示として示されているのであって限定ではなく、図面において類似の参照符号は同様の要素を示す。
[0009]本開示のいくつかの実施態様による処理チャンバの概略図である。 [0010]本開示のいくつかの実施態様による処理チャンバの概略断面図である。 [0011]本開示のいくつかの実施態様によるリッドアセンブリの概略断面図である。 [0012]A-Cは、本開示の実施態様による、ガス分配プレートを貫通する開孔の概略図である。 [0013]本開示の1つまたは複数の実施態様によるリッドアセンブリの概略断面図である。 [0014]Aは、本開示の1つまたは複数の実施態様によるリッドプレートの概略断面図である。[0015]Bは、本開示の1つまたは複数の実施態様によるリッドアセンブリの概略断面図である。 [0016]本開示の1つまたは複数の実施態様によるリッドアセンブリの概略断面図である。 [0017]本開示の1つまたは複数の実施態様によるリッドアセンブリの概略断面図である。
[0018]理解を容易にするために、可能な場合には、図に共通する同一の要素を指し示すために同一の参照番号を使用した。図は縮尺どおりには描かれておらず、分かり易くするために簡略化されることがある。一実施態様の要素および特徴は、さらなる記載がなくとも、他の実施態様に有益に組み込まれうる。
[0019]本開示のいくつかの例示的な実施態様を記載する前に、本開示が、以下の説明において提示される構成または処理ステップの詳細に限定されないということを理解されたい。本開示は、他の実施態様が可能であり、様々な方法で実施または実行することができる。
[0020]本明細書で使用される「基板」は、製造プロセス中に膜処理が実施される任意の基板または基板上に形成された材料表面を指す。例えば、処理が実施されうる基板表面は、用途に応じて、ケイ素、酸化ケイ素、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、アモルファスシリコン、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアといった材料、ならびに金属、金属窒化物、金属合金、および他の導電性材料といった他の任意の材料を含む。基板は半導体ウエハを含むが、これに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、および/またはベークするために、前処理プロセスに曝露されうる。本開示では、基板自体の表面に対する直接的な膜処理に加えて、開示される膜処理ステップのうちのいずれをも、後述でより詳細に開示される基板上に形成された下部層に対して実施することができ、「基板表面」という用語は、文脈が示すように、このような下部層を含むことを意図している。したがって、例えば、膜/層または部分的な膜/層が基板表面上に堆積されている場合、新たに堆積された膜/層の露出表面が基板表面となる。
[0021]この明細書および特許請求の範囲において使用される「前駆体」、「反応物」、「反応ガス」などの用語は、交換可能に使用され、基板表面と反応することのできるいずれかのガス種を指す。
[0022]本開示の実施態様は、原子層堆積(ALD)チャンバといった基板処理チャンバを洗浄し、例えばALDプロセス中に、材料を堆積させるために使用されうる装置および方法を提供する。実施態様は、基板処理チャンバと、遠隔プラズマ源およびガス分配プレートを含みうるガス供給システムとを含む。以下の処理チャンバの記載は、文脈上のおよび例示的な目的のために提供されており、本開示の範囲を限定するものとして解釈または理解するべきではない。
[0023]図1は、本開示のいくつかの実施態様による、ALDプロセスに適合されたガス供給システム130を含む基板処理チャンバ(処理チャンバ100)の概略図である。図2は、処理チャンバ100の断面図である。処理チャンバ100はチャンバ本体102を含み、チャンバ本体102は内部のチャンバリッドアセンブリ132の下に処理容積を有する。処理チャンバ100のスリットバルブ108は、ロボット(図示せず)が、処理チャンバ100との間で200mmまたは300mmの半導体ウエハまたはガラス基板といった基板110を送達したり取り出したりするためのアクセスを提供する。チャンバライナ177は、処理/洗浄中に使用される腐食性ガスからチャンバを保護するために、処理チャンバ100の壁に沿って配置されている。
[0024]基板支持体112は、処理チャンバ100の基板受け面111上に基板110を支持する。基板支持体112は、基板支持体112と基板支持体上に配置された基板110とを昇降させるためのリフトモータ114に取り付けられている。リフトプレート116(図2に示す)は、リフトモータ118に接続されており、処理チャンバ100に取り付けられて、基板支持体112を通して移動可能に配置されたリフトピン120を上下させる。リフトピン120は、基板支持体112の表面の上方で基板110を上下させる。基板支持体112は、堆積プロセス中に基板110を基板支持体112に固定するための真空チャック(図示せず)、静電チャック(図示せず)、またはクランプリング(図示せず)を含みうる。
[0025]基板支持体112の温度は、基板110の温度を制御するように調節されうる。例えば、基板支持体112は、抵抗ヒータ(図示せず)といった埋め込まれた加熱要素を使用して加熱されてもよく、または基板支持体112の上方に配置された加熱ランプ(図示せず)といった放射熱を使用して加熱されてもよい。パージリング122を基板支持体112上に配置し、基板110の周辺部分にパージガスを提供して基板110の周辺部分への堆積を防止するパージチャネル124を画定することができる。
[0026]ガス供給システム130は、処理ガスおよび/またはパージガスといったガスをチャンバ100に提供するために、チャンバ本体102の上部に配置されている。真空システム(図示せず)は、処理チャンバ100から任意の所望のガスを排気し、処理チャンバ100内部に所望の圧力または圧力範囲を維持するのを助けるために、ポンピングチャネル179と連絡している。
[0027]いくつかの実施態様では、チャンバリッドアセンブリ132は、チャンバリッドアセンブリ132の中央部分を通って延びるガス分散チャネル134を含む。図1および図2に示すように、ガス分散チャネル134は、基板受け面111に向かって垂直に延び、また、ガス分散チャネル134の中心軸133に沿って、リッドプレート170を通って底面160まで延びている。いくつかの実施態様では、ガス分散チャネル134の上部は、中心軸133に沿って実質的に円筒状であり、ガス分散チャネル134の下部は、中心軸133から離れる方向にテーパ付けされている。底面160は、基板支持体112の基板受け面111上に配置された基板110を実質的に覆うような大きさおよび形状である。底面160は、リッドプレート170の外側エッジからガス分散チャネル134に向かってテーパ付けされている。ガス供給システム130は、基板110を処理するために、1つまたは複数のガスをガス分散チャネル134に提供することができる。いくつかの実施態様では、ガス供給システム130は、1つのガス入口を介してガス分散チャネル134に連結されてもよい。いくつかの実施態様では、例えば図3に示すように、ガス供給システムは、複数の入口を介してガス分散チャネル134に連結されてもよい。
[0028]図3に示すように、ガス分散チャネル134を通る処理ガスの流れを示す円形ガス流174は、様々なタイプの流れパターンを含みうる。いくつかの実施態様では、分散チャネルを通過する間に、処理ガスを、ガス分散チャネル134の中心軸133の周りで回転させることができる。このような実施態様では、円形のガス流174は、渦パターン、3次元螺旋パターン、螺旋パターン、またはそれらの派生物といった様々なタイプの円形流れパターンを含むことができる。
[0029]円形のガス流174を提供することは多くの用途にとって有益であるが、本発明者らは、いくつかの用途において、円形のガス流が不均一な処理結果を招きうることを発見した。本発明者らは、ガス流が、処理されている基板110の中心付近にドーナツ状の堆積プロファイルをもたらしうることを観察した。ドーナツ状のプロファイルは、ガス分散チャネル134の漏斗形状によって引き起こされうる。したがって、いくつかの実施態様では、処理チャンバ100は、貫通する複数の開孔126を有するガス分配プレート125をさらに含む。ガス分配プレート125は、ガス分散チャネル134から基板への唯一の経路がガス分配プレート125の複数の開孔126を通るように、ガス分散チャネル134の表面まで延びる。ガス分配プレート125は、有利には、ガス分配プレート125を通るガスのチョーク流を作り出し、その結果、基板110上へのより均一な堆積が得られ、したがって、ガスの回転流によって引き起こされるドーナツ状の堆積が実質的に排除される。
[0030]いくつかの実施態様では、ガス分配プレート125は、例えば酸化アルミニウムまたは窒化アルミニウムといった、非腐食性セラミック材料で形成される。いくつかの実施態様では、複数の開孔126の各々は、同等の流体コンダクタンスを有しうる。いくつかの実施態様では、複数の開孔126の密度(例えば、単位面積あたりの開孔の数または開孔の開口部のサイズ)は、基板110上に所望の堆積プロファイルを達成するために、ガス分配プレート125全体で変化してもよい。例えば、より高密度の開孔126をガス分配プレート125の中心に配置し、基板のエッジに対して基板の中心での堆積速度を上昇させることで、堆積の均一性をさらに改善することができる。
[0031]複数の開孔126は、円筒状の貫通孔として示されているが、異なるプロファイルを有してもよい。図4A~Cは、複数の開孔126のプロファイルの異なる非限定的実施態様を示す。図4Aに示される実施態様では、開孔126は、開孔を囲む湾曲したエッジ402を有する円筒状の貫通孔である。図4Bに示される実施態様では、開孔126は、開孔の中心に向かって内側にテーパ付けされている上部404と、ガス分配プレート125の上面127に対して垂直に延びる円筒状の中心部405と、開孔の中心から外側に向かってテーパ付けされている下部406とを有する貫通孔である。図4Cに示す実施態様では、開孔126は、皿穴を有する上部408と、ガス分配プレート125の上面127に対して垂直に延びる円筒状の中心部409と、開孔の中心から外側に向かってテーパ付けされている下部410とを有する貫通孔である。代替的に、複数の開孔126の他のプロファイルを使用して、基板110の処理中に最適な堆積均一性を達成してもよい。
[0032]理論によって束縛されることを望まないが、本発明者らは、ガス分散チャネル134の直径が、ガス分散チャネル134の上部から中心軸133に沿った第1の点まで一定であり、ガス分散チャネル134の第1の点から下部135まで増加することにより、ガス分散チャネル134を通るガスの断熱膨張を小さくすることができ、これが円形ガス流174に含まれる処理ガスの温度を制御するために役立ちうると考える。例えば、ガス分散チャネル134内に送達されるガスの急激な断熱膨張は、ガスの温度の低下をもたらし、ガスの凝縮および液滴の形成を引き起こしうる。一方、テーパ付けされているガス分散チャネル134は、より小さなガスの断熱膨張を提供すると考えられる。したがって、より多くの熱をガスに、またはガスから伝達することができ、このようにすることで、チャンバリッドアセンブリ132の温度を制御することによって、ガスの温度をより容易に制御することができる。ガス分散チャネル134にはテーパ付けすることができ、ガス分散チャネル134は、テーパ付けされた平面、凹面、凸面、またはそれらの組合せといった1つまたは複数のテーパ付けされた内面を含むことができるか、あるいは1つまたは複数のテーパ付けされた内面のセクション(すなわち、テーパ付けされた部分およびテーパ付けされていない部分)を含むことができる。
[0033]図3に示すように、ガス分散チャネル134の上部は、ハウジング375の内部領域に配置されたインサート300によって画定される。インサート300は、インサート300の上部のキャップ302と、ガス分散チャネル134を少なくとも部分的に画定する中央通路とを含む。キャップ302は、ハウジング375の上に延びて、インサート300を所定の位置に保持する。インサート300およびキャップ302は、インサート300とハウジング375との間に配置された複数のOリング385を含み、適切なシールを確実にする。インサート300は、複数の円周方向開孔を含み、これら円周方向開孔は、インサート300がハウジング375に挿入されると、対応する複数の円周方向チャネル360、365、370を形成する。複数の円周チャネル360、365、370は、対応する複数の孔340、345、350を介してガス分散チャネル134に流体連結される。図3に示される実施態様では、ガス供給システム130は、複数のガス供給ライン310、315、320を介してガス分散チャネル134に連結されている。ガス供給ライン310、315、320は、複数の円周方向チャネル360、365、370に流体連結されて、1つまたは複数のガスをガス分散チャネル134に提供する。
[0034]図1および図2に戻ると、処理チャンバ100は、遠隔プラズマ源(RPS)190と、一端がRPS190に、反対端がキャップ302に連結された分離カラー192と、リッドプレート170の上面に連結されたヒータプレート198と、RPS190に流体連結された洗浄ガス(すなわち、パージガス)源197とを含むチャンバ洗浄システムをさらに含む。洗浄ガス源は、処理チャンバ100を洗浄するためのプラズマを形成するのに適した任意のガスを含むことができる。いくつかの実施態様では、例えば、洗浄ガスは三フッ化窒素(NF)であってもよい。分離カラー192は、キャップ302の中央部分に配置された複数の孔285を通してガス分散チャネル134に流体連結された内側チャネル193を含み、プラズマをRPS190からガス分散チャネル134を通して反応ゾーン164内に流す。ヒータプレート198は、ステンレス鋼で形成され、プレート全体に分散した複数の抵抗加熱要素を含むことができる。
[0035]典型的には、ガス分散チャネル134および反応ゾーン164から第1のガスを迅速にパージするために、第1のガスがガス供給システム130によってガス分散チャネル134に供給された後、洗浄ガスがガス分散チャネル134および反応ゾーン164を通して流される。続いて、第2のガスがガス供給システム130によってガス分散チャネル134に提供され、洗浄ガスが再びガス分散チャネル134を通して反応ゾーン164に流され、ガス分散チャネル134および反応ゾーン164から第2のガスを迅速にパージする。しかしながら、ガス分配プレート125の追加は、ポンピングチャネル179への洗浄ガスの流れをチョークさせ、洗浄プロセスを延長させる。そのため、本発明者らは、第1の端部186が分離カラー192に、第2の端部188がポンピングチャネル179にそれぞれ連結された排気導管184を有する排気システム180を組み込んだ。排気導管184を内部チャネル193に選択的に流体連結させるために、排気導管184にはバルブ182が配置される。いくつかの実施態様では、例えば、バルブ182は、排気導管184を内部チャネル193に流体連結する第1の位置(図2に示される)と、排気導管184を内部チャネル193からシールする第2の位置との間で移動可能なプランジャ202を有するプランジャ型バルブであってもよい。洗浄ガスがガス分散チャネル134および反応ゾーン164を通って流れるたびに、バルブ182が開き、洗浄ガスがポンピングチャネル179に迅速に排出される。
[0036]処理チャンバ100内部の圧力がRPS190内部の圧力を超えると、処理ガスがRPS190まで流れ、RPS190に損傷を与える可能性がある。複数の孔285は、処理ガスの逆流が内側チャネル193を通ってRPS190内へと上方へ流れるのを防止するためのチョークポイントとして機能する。分離カラー192は、使用されている洗浄ガスと反応しない任意の材料で形成することができる。いくつかの実施態様では、分離カラー192は、洗浄ガスがNFであるときはアルミニウムで形成されてもよい。いくつかの実施態様では、分離カラー192およびインサート300は、アルミニウムで形成され、使用時に腐食性ガスによる分離カラー192およびインサート300の腐食を防止するためのコーティングでコーティングされてもよい。例えば、コーティングは、ニッケルまたは酸化アルミニウムで形成されてもよい。
図3に示されるように、RPS190は約40℃以下の温度で動作する。RPS190を処理チャンバ100内で発生した熱から有利に絶縁するために、熱的分離リング394が分離カラー192とキャップ302との間に配置されている。熱的分離リング394は、熱伝導率が低い(例えば、分離カラー192およびキャップ302の熱伝導率よりも低い)金属で形成される。加えて、分離カラー192とキャップ302との間の接触面積をさらに減少させるために、分離カラー192とキャップ302との間にOリング385を配置することもできる。熱的分離リング394とOリング385との組み合わせは、処理チャンバ100内で発生する熱がRPS190に悪影響を及ぼさないことを確実にするための熱チョークとして作用する。
[0038]いくつかの実施態様では、リッドプレート170が100℃を超えて加熱されると、処理チャンバ100は、Oリング385間に捕捉された処理ガスまたは副生成物がポンピングチャンネル179に排出されることを確実にするために、差動ポンピングライン250を含んでもよい。差動ポンピングライン250は、第1の端部でリッドプレート170に連結され、第1の端部の反対側の第2の端部でハウジング375に連結される。差動ポンピングラインは、ガス分散チャネル134と、2つ以上のOリング385間の領域に形成された1つまたは複数のチャネル260とに流体連結される。ガス分散チャネル134を排気するためにバルブ182が開くと、差動ポンピングラインは、Oリング385間に捕捉されたガスを排気する。
[0039]図3に戻ると、チャンバリッドアセンブリ132の底面160の一部分は、ガス分散チャネル134に連結された中央開口部からチャンバリッドアセンブリ132の周辺部分まで、下方および外方に向かう輪郭を有するかまたは下方および外方に角度付けされており、基板110の表面を横切る(すなわち、基板の中心から基板のエッジまでの)ガス分散チャネル134からのガス流の速度プロファイルを改善するのを助けることができる。底面160は、平面、凹面、凸面、またはそれらの組み合わせといった1つまたは複数の表面を含むことができる。一実施態様では、底面160は凸状の漏斗形状である。
[0040]一実施例では、底面160は、基板受け面111のエッジに向かって下方および外方に傾斜しており、チャンバリッドアセンブリ132の底面160と基板110との間を移動する処理ガスの速度の変動を低減するのに役立つと共に、基板110の表面の反応ガスへの均一な露出を提供することを助ける。チャンバリッドアセンブリ132の構成要素および部品は、ステンレス鋼、アルミニウム、ニッケルめっきアルミニウム、ニッケル、それらの合金、または他の適切な材料といった材料を含みうる。一実施態様では、リッドプレート170は、アルミニウム、アルミニウム合金、鋼、ステンレス鋼、それらの合金、またはそれらの組み合わせといった金属から、製造、機械加工、鍛造、または他の方法で独立して作製されうる。
[0041]いくつかの実施態様では、ガス分散チャネル134の内面131およびチャンバリッドアセンブリ132の底面160は、ガス分散チャネル134およびチャンバリッドアセンブリ132の底面160に沿ったガスの流れを助ける鏡面研磨面を含みうる。
[0042]図1~図3に示されるように、処理動作中に、基板110は、ロボット(図示せず)によってスリットバルブ108を通して処理チャンバ100に送達される。基板110は、リフトピン120とロボットとの協働により、基板支持体112上に位置決めされる。基板支持体112は、基板110を上昇させて、ガス分配プレート125の下面に密接に対向させる。第1のガス流は、第2のガス流と一緒に、または別々に(すなわち、パルス)、ガス供給システム130によって処理チャンバ100のガス分散チャネル134に注入されうる。第1のガス流は、パージガス源からのパージガスの連続流と、反応ガス源からの反応ガスのパルスとを含むことができるか、または反応ガス源からの反応ガスのパルスと、パージガス源からのパージガスのパルスとを含むことができる。第2のガス流は、パージガス源からのパージガスの連続流と、反応ガス源からの反応ガスのパルスとを含むことができるか、または反応ガス源からの反応ガスのパルスと、パージガス源からのパージガスのパルスとを含むことができる。
[0043]円形ガス流174は、ガス分散チャネル134を通って、続いてガス分配プレート125の複数の開孔126を通って進む。次いで、ガスが基板110の表面上に堆積される。下方に傾斜しているチャンバリッドアセンブリ132の底面160は、ガス分配プレート125の表面を横切るガス流の速度の変動を減少させるために役立つ。余剰ガス、副生成物などは、ポンピングチャネル179に流入し、次いで処理チャンバ100から排気される。処理動作を通して、ヒータプレート198は、チャンバリッドアセンブリ132を所定の温度に加熱して、処理チャンバ100(またはチャンバ内に配置された処理キット)の壁に蓄積した固体副生成物を加熱することができる。結果として、蓄積された固体副生成物が気化する。気化した副生成物は、真空システム(図示せず)およびポンピングチャネル179によって排気される。いくつかの実施態様では、所定の気温は150℃以上である。
[0044]いくつかのプロセス条件は、例えば、気相反応を可能にするガス供給システム内の残留前駆体に起因して、ステップカバレッジ問題を引き起こしうる。典型的なALDプロセスでは、通常気相反応は回避される。したがって、本開示のいくつかの実施態様は、処理チャンバリッドおよび処理チャンバにチャンバリッドへの裏側ポンピング能力を提供する。いくつかの実施態様の装置は、プラズマ源が接続されていないサーマルチャンバリッドである。いくつかの実施態様では、チャンバリッドは、リモートプラズマを処理チャンバに提供する遠隔プラズマ源を有するように構成される。
[0045]本開示の1つまたは複数の実施態様は、有利には、表面フィーチャ上の膜のステップカバレッジを改善する装置を提供する。本開示の1つまたは複数の実施態様は、有利には、残留反応ガスを除去する裏側ポンピングを追加する装置を提供する。いくつかの実施態様では、装置は、リッドプレートとシャワーヘッドとの間に捕捉された化学薬品をより効率的にポンピングするために役立つ。
[0046]図5は、本開示の1つまたは複数の実施態様による処理チャンバのリッドアセンブリ500を示す。ハウジング375は、ハウジング375の中心軸133に沿って延びるガス分散チャネル134を包囲する。ガス分散チャネル134は、上部134aおよび下部134bを有する。
[0047]リッドプレート170は、ハウジング375に連結され、輪郭底面160を有する。輪郭底面160は、ガス分散チャネル134の下部134bに連結された中央開口部136からリッドプレート170の外周部138まで、下方および外方に延びている。図示の実施態様では、外周部138は、外周エッジ137に隣接する輪郭底面160の外側部分を指す。
[0048]リッドアセンブリ500は、リッドプレート170の下方に配置されたガス分配プレート125を含む。ガス分配プレート125は、上面128と底面129とを有し、複数の開孔126が上面128から底面129までガス分配プレート125を貫通している。
[0049]ガス分配プレート125は、ガス分配プレート125とリッドプレート170との間にポンピングチャネル530を形成するように構成された上部外周輪郭520を有する。図5の実施態様に示されるポンピングチャネル530は、リッドプレート170の外周底面532とガス分配プレート125の上部外周輪郭520との間に画定されている。いくつかの実施態様では、リッドプレート170の外周底面532は、輪郭底面160の外周部138よりも中心軸133から遠い。別の言い方をすれば、いくつかの実施態様では、外周底面532は、輪郭底面160を囲んでいる。
[0050]リッドプレート170の輪郭底面160とガス分配プレート125の上面128とは、間隙Gを画定する。底面160は輪郭形成されるため、間隙Gは、中心軸133からの距離の関数として可変である。いくつかの実施態様では、内側ゾーンZは、中間ゾーンZよりも大きな間隙を有し、中間ゾーンZは、外側ゾーンZよりも大きな間隙を有する。図6Aは、図5に示されるものと同様の輪郭底面160を有するリッドプレート170の概略断面図を示す。図6Bは、図5のリッドプレート170およびガス分配プレート125の概略断面図であり、中心軸133からの半径方向距離に対する空隙Gの関係を示している。図6Aでは、リッドプレート170の輪郭底面160は、内側ゾーンZ、中間ゾーンZ、および外側ゾーンZの3つのゾーンに分離されている。この実施態様では、中間ゾーンZにおいて、輪郭底面160は、間隙Gが均一になるように平坦である。図6Bの部分断面図では、中間ゾーンZの間隙Gは、中間ゾーンZの左エッジから右エッジまで均一である。内側ゾーンZにおいて、間隙Gは、中心軸133から測定される距離xの関数である。図6Bには、内側ゾーンZにおける間隙Gdxの2つの測定値が示されている。外側ゾーンZでは、間隙Gは、中心軸133から測定される距離xの関数である。図6Bには、外側ゾーンZの間隙Gdxの1つの測定値が示されている。当業者であれば、図示の測定値が説明のみを目的としていることが分かるであろう。図6Bでは、ガス分配プレート125の開孔126が説明上省略されている。
[0051]再び図6Bを参照すると、いくつかの実施態様では、内側ゾーンZは、リッドプレート170の中心軸133から、中心軸133から内側ゾーン半径方向距離RIまで画定されている。中間ゾーンZは、内側ゾーン半径方向距離Rから、中心軸133から中間ゾーン半径方向距離Rまで画定されている。外側ゾーンZは、中間ゾーン半径方向距離Zから、輪郭底面160の外周エッジ137にある外側ゾーン半径方向距離Rまで画定される。
[0052]中間ゾーンZのサイズは、中心軸133から外周エッジ137までの全半径方向距離に対して測定される任意の適切なサイズとすることができる。いくつかの実施態様では、中心軸133から外周エッジ137までの距離は、約50mm、100mm、150mm、または200mm以上である。いくつかの実施態様では、中心軸133から外周エッジ137までの距離は、処理される基板の半径よりも大きい。例えば、300mmの基板が処理されている実施態様では、中心軸から基板のエッジまでの半径方向距離は、基板が中心であると仮定すると、150mmである。この実施例では、中心軸133から外周エッジ137までの距離は150mm以上である。
[0053]いくつかの実施態様では、中心軸133から中間ゾーン半径方向距離Zまでの距離は、約50mm、100mm、150mm、または200mm以上である。いくつかの実施態様では、中心軸133から中間ゾーン半径方向距離Zまでの距離は、処理される基板の半径よりも大きい。例えば、300mmの基板が処理されている実施態様では、中心軸から基板のエッジまでの半径方向距離は、基板が中心であると仮定すると、150mmである。この実施例では、中心軸133から中間ゾーン半径方向距離Zまでの距離は、例えば150mm以上である。
[0054]いくつかの実施態様では、リッドプレート170の中間ゾーンZのサイズは、中心軸から外側ゾーン半径方向距離Rまでの距離の約10%から約90%の範囲である。いくつかの実施態様では、リッドプレート170の中間ゾーンZのサイズは、中心軸133から外側ゾーン半径方向距離Rまでの距離の約20%から約80%の範囲、または約30%から約70%の範囲、または約40%から約60%の範囲である。
[0055]いくつかの実施態様では、中間ゾーンZにおける実質的に均一な間隙は、約0.1インチから約2インチ(約2.5mmから約51mm)の範囲である。このように使用される場合、「実質的に均一な間隙」という用語は、中間ゾーンZ内の任意の半径方向距離にある間隙が、中間ゾーンZにおける平均間隙の5%、2%、1%または0.5%以内であることを意味する。
[0056]いくつかの実施態様では、外側ゾーンZは、中間ゾーンZからリッドプレート170の前面161まで傾斜している。いくつかの実施態様では、外側ゾーンZは、中間ゾーンZからガス分配プレート125の上面128まで傾斜している。平坦な中間ゾーンZに対する外側ゾーンZの傾斜は、図7に示すように外側ゾーン角θを形成する。いくつかの実施態様では、外側ゾーン角は、約15°から約75°の範囲、または約30°から約60°の範囲、または約40°から約50°の範囲である。
[0057]図5および図7に示されるように、いくつかの実施態様では、輪郭底面160の外側ゾーンZは、リッドプレート170に形成されたポンピング孔525を通してポンピングチャネル530に接続される。いくつかの実施態様では、ポンピング孔525は、輪郭底面160の外側ゾーンZに形成される。ポンピング孔525の数は、例えば、リッドプレート170のサイズに基づいて変化させることができる。いくつかの実施態様では、約24から約144個のポンピング孔525が存在する。
[0058]図7に示すように、ポンピング孔は、輪郭底面160の外側ゾーンZと角度φで交差する。いくつかの実施態様では、角度φは、約75°から約105°の範囲、または約80°から約100°の範囲、または約85°から約95°の範囲、または約88°から約92°の範囲である。
[0059]いくつかの実施態様のリッドアセンブリ500は、図5に示されるように、ポンピングチャネル530と流体連結する少なくとも1つのポンプポート560を含む。ポンプポート560は、リッドプレート170に接続された別個の構成要素とすることができる。いくつかの実施態様では、異なる半径方向位置でポンピングチャネル530に接続された2つ以上のポンプポート560が存在する。いくつかの実施態様では、ポンプポートの各々は、排気目的のために別個の真空源に接続される。いくつかの実施態様では、ポンプポートは、単一の真空源と流体連結している。いくつかの実施態様では、ポンプポートは、ポンピングチャネル179と流体連結している(図1参照)。
[0060]図8は、本開示の1つまたは複数の実施態様によるリッドアセンブリ600を示している。リッドプレート170の輪郭底面160は、輪郭底面160の内側エッジ610から外周エッジ137まで傾斜している。
[0061]輪郭底面160の傾斜は、外周エッジ137で最小となるまで減少する間隙Gを作り出す。いくつかの実施態様では、最小間隙Gは、約0.01インチから約1インチ(約0.25mmから約25.4mm)の範囲、または約0.05インチから約0.5インチ(約1.25mmから約12.7mm)の範囲である。
[0062]本開示のさらなる実施態様は、リッドアセンブリ500またはリッドアセンブリ600を組み込んだ処理チャンバを対象とする。
[0063]上述の明細書では、本開示の実施態様が、その具体的な例示的実施態様を参照して説明された。特許請求の範囲に記載されている本開示の実施態様のより広い主旨および範囲から逸脱することなく、様々な修正を行うことができることが明らかだろう。したがって、本明細書および図面は、限定ではなく例示を意味すると見なされるべきである。

Claims (20)

  1. 中心軸に沿って延びるガス分散チャネルを包囲するハウジングであって、前記ガス分散チャネルが上部および下部を有する、前記ハウジング;
    前記ハウジングに連結されたリッドプレートであって、前記ガス分散チャンネルの前記下部に連結された中央開口部から前記リッドプレートの周辺部分まで下方および外方に延びる輪郭底面を有する前記リッドプレート;および
    前記リッドプレートの下方に配置されたガス分配プレートであって、前記ガス分配プレートと前記リッドプレートとの間にポンピングチャネルを形成するように構成された上部外周輪郭を有し、かつ、上面と、底面であって、前記上面から前記底面まで前記ガス分配プレートを貫通する複数の開孔を有する前記底面とを有しており、前記リッドプレートの前記輪郭底面と前記ガス分配プレートの上面とが間隙を画定している、前記ガス分配プレート
    を備える処理チャンバのリッドアセンブリ。
  2. 前記リッドプレートの前記輪郭底面が、内側ゾーン、中間ゾーンおよび外側ゾーンを含み、前記内側ゾーンは前記中間ゾーンよりも大きな間隙を有し、前記中間ゾーンは前記外側ゾーンよりも大きな間隙を有する、請求項1に記載のリッドアセンブリ。
  3. 前記内側ゾーンが、前記リッドプレートの中心軸から、前記中心軸から内側ゾーン半径方向距離まで画定されており、前記中間ゾーンが、前記内側ゾーン半径方向距離から、前記中心軸から中間ゾーン半径方向距離まで画定されており、前記外側ゾーンが、前記中間ゾーン半径方向距離から、前記輪郭底面の外周エッジにおける外側ゾーン半径方向距離まで測定される、請求項2に記載のリッドアセンブリ。
  4. 前記輪郭底面の前記中間ゾーンが、実質的に均一な間隙を形成している、請求項3に記載のリッドアセンブリ。
  5. 前記実質的に均一な間隙が、約0.1インチから約2インチの範囲である、請求項4に記載のリッドアセンブリ。
  6. 前記中間ゾーンが、前記中心軸から前記外側ゾーン半径方向距離までの距離の約10%から約90%の範囲を占める、請求項3に記載のリッドアセンブリ。
  7. 前記外側ゾーンが、前記中間ゾーンから前記リッドプレートの前面へと傾斜して外側ゾーン角を形成している、請求項3に記載のリッドアセンブリ。
  8. 前記輪郭底面の前記外側ゾーンが、前記リッドプレートに形成されたポンピング孔を通して前記ポンピングチャネルに接続されている、請求項7に記載のリッドアセンブリ。
  9. 前記ポンピング孔が、前記輪郭底面の前記外側ゾーンに形成されている、請求項8に記載のリッドアセンブリ。
  10. 前記ポンピング孔が、約85°から約95°の範囲の角度で前記輪郭底面の前記外側ゾーンと交差する、請求項8に記載のリッドアセンブリ。
  11. 前記外側ゾーンに、約24から約144個の範囲のポンピング孔が存在する、請求項8に記載のリッドアセンブリ。
  12. 前記ポンピングチャネルと流体連結する少なくとも1つのポンプポートをさらに備える、請求項8に記載のリッドアセンブリ。
  13. 前記リッドプレートの前記輪郭底面が、前記リッドプレートの前記輪郭底面と前記ガス分配プレートの上面との間の間隙が外周エッジで最小となるまで減少するように、前記輪郭底面の内側エッジから前記輪郭底面の外周エッジまで傾斜している、請求項1に記載のリッドアセンブリ。
  14. 前記リッドプレートの外周面および前記ガス分配プレートの上部外周輪郭がポンピングチャネルを画定している、請求項13に記載のリッドアセンブリ。
  15. 最小の前記間隙が、約0.05インチから約0.5インチの範囲である、請求項13に記載のリッドアセンブリ。
  16. 前記ポンピングチャネルと流体連結する少なくとも1つのポンプポートをさらに備える、請求項13に記載のリッドアセンブリ。
  17. 請求項1から12のいずれか一項に記載の前記リッドアセンブリを備える処理チャンバ。
  18. 前記ガス分散チャネルに流体連結された遠隔プラズマ源をさらに備える、請求項17に記載の処理チャンバ。
  19. 請求項13から16のいずれか一項に記載の前記リッドアセンブリを備える処理チャンバ。
  20. 前記ガス分散チャネルに流体連結された遠隔プラズマ源をさらに備える、請求項19に記載の処理チャンバ。
JP2023206653A 2019-05-28 2023-12-07 裏側ポンピングを用いた熱処理チャンバのリッド Pending JP2024037816A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962853699P 2019-05-28 2019-05-28
US62/853,699 2019-05-28
PCT/US2020/034903 WO2020243288A1 (en) 2019-05-28 2020-05-28 Thermal process chamber lid with backside pumping
JP2021569867A JP7401560B2 (ja) 2019-05-28 2020-05-28 裏側ポンピングを用いた熱処理チャンバのリッド

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021569867A Division JP7401560B2 (ja) 2019-05-28 2020-05-28 裏側ポンピングを用いた熱処理チャンバのリッド

Publications (1)

Publication Number Publication Date
JP2024037816A true JP2024037816A (ja) 2024-03-19

Family

ID=73549739

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021569867A Active JP7401560B2 (ja) 2019-05-28 2020-05-28 裏側ポンピングを用いた熱処理チャンバのリッド
JP2023206653A Pending JP2024037816A (ja) 2019-05-28 2023-12-07 裏側ポンピングを用いた熱処理チャンバのリッド

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2021569867A Active JP7401560B2 (ja) 2019-05-28 2020-05-28 裏側ポンピングを用いた熱処理チャンバのリッド

Country Status (5)

Country Link
US (3) US11335591B2 (ja)
JP (2) JP7401560B2 (ja)
KR (1) KR20220002741A (ja)
TW (1) TWI803753B (ja)
WO (1) WO2020243288A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
WO2020243288A1 (en) * 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3479833B2 (ja) * 2000-08-22 2003-12-15 日本電気株式会社 レーザ修正方法および装置
TWI224815B (en) 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US7682454B2 (en) 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
JP4527670B2 (ja) * 2006-01-25 2010-08-18 東京エレクトロン株式会社 加熱処理装置、加熱処理方法、制御プログラムおよびコンピュータ読取可能な記憶媒体
US7740705B2 (en) 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
JP2010212335A (ja) * 2009-03-09 2010-09-24 Hitachi Kokusai Electric Inc 基板処理装置
SG10201501824XA (en) 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
US9982340B2 (en) * 2012-04-04 2018-05-29 Taiwan Semiconductor Manufacturing Co. Ltd. Shower head apparatus and method for controlling plasma or gas distribution
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5807084B2 (ja) * 2013-09-30 2015-11-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
TWI524388B (zh) * 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
US10407771B2 (en) 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
JP6001131B1 (ja) * 2015-04-28 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
JP2017123425A (ja) * 2016-01-08 2017-07-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP6368732B2 (ja) * 2016-03-29 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6198086B1 (ja) 2016-03-29 2017-09-20 Necプラットフォームズ株式会社 基板ガイド部材及び筐体
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10801106B2 (en) * 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
WO2020243288A1 (en) * 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping

Also Published As

Publication number Publication date
TWI803753B (zh) 2023-06-01
US20220246471A1 (en) 2022-08-04
US11335591B2 (en) 2022-05-17
US20230335434A1 (en) 2023-10-19
TW202336270A (zh) 2023-09-16
TW202120738A (zh) 2021-06-01
JP7401560B2 (ja) 2023-12-19
US20200381295A1 (en) 2020-12-03
US11715667B2 (en) 2023-08-01
JP2022534893A (ja) 2022-08-04
WO2020243288A1 (en) 2020-12-03
KR20220002741A (ko) 2022-01-06

Similar Documents

Publication Publication Date Title
US11932939B2 (en) Lids and lid assembly kits for atomic layer deposition chambers
JP7401560B2 (ja) 裏側ポンピングを用いた熱処理チャンバのリッド
US11101136B2 (en) Process window widening using coated parts in plasma etch processes
US10407771B2 (en) Atomic layer deposition chamber with thermal lid
KR200495609Y1 (ko) 샤워헤드 및 이를 포함하는 프로세스 챔버
JP2023509386A (ja) Ald前駆体送達用シャワーヘッド
TWI838240B (zh) 具有背側泵送的熱處理腔室蓋
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231220

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231220