TW201626483A - 用以抑制寄生電漿及減少晶圓內非均勻性的系統及方法 - Google Patents

用以抑制寄生電漿及減少晶圓內非均勻性的系統及方法 Download PDF

Info

Publication number
TW201626483A
TW201626483A TW104129857A TW104129857A TW201626483A TW 201626483 A TW201626483 A TW 201626483A TW 104129857 A TW104129857 A TW 104129857A TW 104129857 A TW104129857 A TW 104129857A TW 201626483 A TW201626483 A TW 201626483A
Authority
TW
Taiwan
Prior art keywords
film
depositing
processing system
ring member
substrate
Prior art date
Application number
TW104129857A
Other languages
English (en)
Other versions
TWI671842B (zh
Inventor
康虎
艾里恩 拉芙依
珊卡 史旺明內森
錢駿
克洛伊 巴爾達塞羅尼
法蘭克 帕斯果
安祖 杜瓦爾
泰德 明歇爾
珍妮佛 派翠利亞
卡爾 里瑟
大衛 史密斯
瑟沙 凡拉德拉真
愛德華 奧古斯丁尼亞克
道格拉斯 凱爾
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201626483A publication Critical patent/TW201626483A/zh
Application granted granted Critical
Publication of TWI671842B publication Critical patent/TWI671842B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

用以在基板上沉積膜的基板處理系統包含定義反應容積的處理腔室。噴淋頭包含桿部,該桿部具有鄰近處理腔室之上表面而連接的末端。基部係連接至桿部之相對的末端,並且自桿部在徑向上向外延伸。噴淋頭係用以引入處理氣體與沖洗氣體其中至少一者至反應容積中。電漿產生器係用以在反應容積中選擇性地產生RF電漿。邊緣調諧系統包含環件、以及位於環件與噴淋頭之上表面之間之桿部周圍的寄生電漿減少元件。寄生電漿減少元件係用以減少噴淋頭與處理腔室之上表面之間的寄生電漿。

Description

用以抑制寄生電漿及減少晶圓內非均勻性的系統及方法
本揭示內容相關於基板處理系統,且更特別相關於用以抑制寄生電漿及減少晶圓內非均勻性的系統及方法。  [相關申請案之交互參考]
本申請案主張於2014年9月12日申請之美國臨時申請案第62/049,767號之權利。以上所參照申請案的整體揭露內容係併入於此以供參考。
此處所提供之背景描述係為了概括性地呈現本揭示內容之脈絡的目的。目前所列名之發明人的工作成果(就本先前技術部分中所描述之範圍而言)、以及可能未以其他方式視為申請時之先前技術的描述內容之實施態樣並非明示或暗示地被認為是相對本揭露內容的先前技術。
基板處理系統可用以在基板上執行膜的沉積。基板處理系統典型地包含具有反應容積的處理腔室。基板支撐件(例如基座、卡盤、板件等)係配置在處理腔室中。如半導體晶圓之基板可配置在基板支撐件上。
在一些應用中,膜係使用電漿加強化學氣相沉積(PECVD,plasma-enhanced atomic layer deposition)或電漿加強原子層沉積(PEALD,plasma-enhanced atomic layer deposition)而沉積。在PEALD期間,執行一或更多PEALD循環,以在基板上沉積膜。每一PEALD循環典型地包含前驅物注入步驟、注入沖洗步驟、RF電漿注入步驟、及RF沖洗步驟。
在沉積期間,處理氣體可使用噴淋頭而被傳送至處理腔室。在RF電漿注入期間,RF功率係供應至噴淋頭,且基板支撐件係接地的(或者反之亦然)。在PEALD期間,前驅物之電漿加強轉化發生於基板上。
在注入沖洗及RF沖洗步驟期間,如氬之惰性氣體係通過噴淋頭而供應。另外,二次沖洗可於PEALD步驟之一些或全部者期間在噴淋頭之上執行,以預防如噴淋頭之背側、處理腔室之頂部板件、及/或處理腔室之壁的遠距離區域中之不期望的沉積。
當使用氬作為如雙圖案化之一些無氮應用的二次沖洗氣體時,寄生電漿可能發生於噴淋頭之後方。由寄生電漿所消耗之功率可多達傳送至處理腔室之總電漿功率的40%。基板上所傳送功率的損失通常導致具有高蝕刻率之較疏鬆膜。寄生電漿所誘發之功率損失在噴淋頭之範圍通常係不均勻的。更特別地,相較於噴淋頭之中心部,較高的功率損失發生於噴淋頭之邊緣部,這是由於RF功率供應於噴淋頭之桿部。
沉積於基板之中心的膜係較基板之邊緣的膜更緻密。結果,膜具有邊緣厚的輪廓、及高的基板內非均勻性,這對於雙圖案化應用中臨界尺寸(CD,critical dimension)均勻性控制係不利的。此外,操作具有高寄生電漿的基板處理系統傾向於在晶圓至晶圓可重複性、工具的漂移、製程的缺陷性能、及噴淋頭元件的侵蝕方面導致長期的問題。
用以在基板上沉積膜的基板處理系統包含定義反應容積的處理腔室。噴淋頭包含桿部,該桿部具有鄰近處理腔室之上表面而連接的末端。基部係連接至桿部之相對的末端,並且自桿部在徑向上向外延伸。噴淋頭係用以引入處理氣體與沖洗氣體其中至少一者至反應容積中。電漿產生器係用以在反應容積中選擇性地產生RF電漿。邊緣調諧系統包含配置在噴淋頭之基部與處理腔室之上表面之間的噴淋頭之桿部周圍的環件。該環件包含用以自環件之內孔穴供應沖洗氣體至噴淋頭之基部與處理腔室之上表面之間之區域的一或更多的洞。沖洗氣體係反應物氣體。寄生電漿減少元件係位於環件與噴淋頭之上表面之間之桿部的周圍,並且係用以減少噴淋頭與處理腔室之上表面之間的寄生電漿。
在其他特徵中,環件具有大致上為「T」形的橫剖面。一或更多的洞係垂直於噴淋頭之桿部而配置。該環件包含一或更多凸部,以在環件之內孔穴與桿部之外表面之間提供均勻的間距。寄生電漿減少元件包含由陶瓷材料製成的噴淋頭蓋。噴淋頭蓋具有覆蓋噴淋頭之上表面與噴淋頭之側邊的大致上為「C」形的橫剖面。噴淋頭蓋具有3/8英吋與1英吋之間的厚度。分隔件係配置於噴淋頭蓋與噴淋頭的上表面之間。分隔件具有1/4英吋與1/2英吋之間的厚度。
在其他特徵中,噴淋頭蓋包含覆蓋噴淋頭之上表面與噴淋頭之側邊的、具有大致上為「C」形之橫剖面的第一部分,以及在平行於基板的平面內自第一部分之相對的末端在徑向上向外延伸的第二部分。噴淋頭蓋具有1/16英吋與1/4英吋之間的厚度。分隔件係配置於噴淋頭蓋與噴淋頭之上表面之間。分隔件具有1/4英吋與3/4英吋之間的厚度。寄生電漿減少元件包含在噴淋頭之上表面與環件之間以隔開的關係而配置的複數板件。
在其他特徵中,寄生電漿減少元件更包含配置於複數板件之鄰近者之間的分隔件。複數板件的每一者包含大於桿部之外徑的中心開口,以容許沖洗氣體自環件流動通過板件的中心開口,且流動於板件之間。插件配置於複數板件與桿部之間。該插件係由聚醯亞胺(polyimide)製成。
在其他特徵中,插件包含桿部及環形基部。桿部係鄰近噴淋頭之桿部而配置,並與之接觸。環形基部自插件之噴淋頭側的部分向外延伸。
在其他特徵中,環件包含:鄰近桿部而配置的內環件、配置在內環件之上部周圍的上部外環件、以及配置在內環件之下部周圍的下部外環件。寄生電漿減少元件包含在噴淋頭之上表面與環件之間以隔開的關係而配置的複數板件。複數板件包含攻螺紋的中心開口。下部外環件包含攻螺紋的徑向外表面,並且其中複數板件鎖付至下部外環件上。
在其他特徵中,內環件包含複數洞,其係與上部外環件與下部外環件之間的間隔對準,並且其中沖洗氣體流過內環件的複數洞。複數板件包含沿中心開口方向的切口,以容許沖洗氣體在複數板件之間流動。
在其他特徵中,內環件包含沿內環間之噴淋頭側末端方向的開口,以容許沖洗氣體在板件與噴淋頭之間流動。
在其他特徵中,反應物氣體係選自含有以下者的群組:分子氧、分子氫、分子氮、一氧化二氮、及氨。反應物氣體包含分子氧,且膜包含二氧化矽。反應物氣體包含氧化氮,且膜包含二氧化矽。反應物氣體包含分子氧,且膜包含二氧化鈦。反應物氣體包含氧化氮,且膜包含二氧化鈦。反應物氣體包含分子氮,且膜包含氮化矽。反應物氣體包含氨,且膜包含氮化矽。
用以在基板上沉積膜的基板處理系統包含定義反應容積的處理腔室。噴淋頭包含桿部,該桿部具有鄰近處理腔室之上表面而連接的末端。基部係連接至桿部之相對的末端,並且自桿部在徑向上向外延伸。噴淋頭係用以引入處理氣體與沖洗氣體其中至少一者至反應容積中。電漿產生器係用以在反應容積中選擇性地產生RF電漿。邊緣調諧系統包含配置在噴淋頭之基部與處理腔室之上表面之間的噴淋頭之桿部周圍的環件。該環件包含用以自環件之內孔穴供應沖洗氣體至噴淋頭之基部與處理腔室之上表面之間之區域的一或更多的洞。寄生電漿減少元件係位於環件與噴淋頭之上表面之間之桿部的周圍,並且係用以減少噴淋頭與處理腔室之上表面之間的寄生電漿。寄生電漿減少元件包含在噴淋頭之上表面與環件之間以隔開的關係而配置的複數板件。
本揭露內容之可應用性的進一步範圍將自實施方式、申請專利範圍、及圖式而變明顯。實施方式及具體範例係僅意在說明之目的,而並非意圖限制本揭露內容的範疇。
本揭露內容相關於具有邊緣調諧系統之電漿加強化學氣相沉積(PECVD,plasma-enhanced atomic layer deposition)和電漿加強原子層沉積(PEALD,plasma-enhanced atomic layer deposition)的基板處理系統,以抑制噴淋頭後方的寄生電漿、且減少基板內非均勻性。舉例而言,在典型的PEALD製程中,流至噴淋頭之背側的沖洗氣體係用以減少噴淋頭之背側、及處理腔室中其他遠距離區域處的不期望的膜沉積。當將氬用於如雙圖案化的一些應用時,寄生電漿激發於噴淋頭之頂部表面上,這導致起因於由寄生電漿所誘發的基板上所傳送功率的損失之高的晶圓內非均勻性和厚的邊緣輪廓。此處所描述之系統和方法採用配置在噴淋頭之頂部表面上的邊緣調諧系統來抑制寄生電漿,並且減少基板內非均勻性。
在一些範例中,邊緣調諧系統包含以下者之組合:配置在桿部周圍的環件、以及配置於環件與噴淋頭之上表面之間的寄生電漿減少元件。在一些範例中,寄生電漿減少元件包含噴淋頭蓋。在一些範例中,寄生電漿減少元件包含平行板件。
現在參考圖1,基板處理系統10之範例包含具有反應容積的處理腔室12。處理氣體可使用噴淋頭14而被供應至處理腔室12。在一些範例中,噴淋頭14係枝形吊燈型噴淋頭。邊緣調諧系統15係配置於噴淋頭14之上表面與處理腔室12之頂部表面之間,以減少如以下將描述之寄生電漿。如以下將進一步描述,邊緣調諧系統15包含配置在桿部周圍的環件、及配置於環件與噴淋頭之上表面之間的寄生電漿減少元件。
如半導體晶圓之基板18可於處理期間配置在基板支撐件16上。基板支撐件16可包含基座、靜電卡盤、機械卡盤、或其他類型之基板支撐件。
氣體傳送系統20可包含一或更多的氣體源22-1、22-2、……、以及22-N(統稱氣體源22),其中N係大於1的整數。閥24-1、24-2、……、以及24-N(統稱閥24)、質流控制器26-1、26-2、……、以及26-N(統稱質流控制器26)、或其他流動控制裝置可用以可控制地供應一或更多氣體至歧管30,該歧管30供應氣體混合物至處理腔室12。
控制器40可用以監控如溫度、壓力等的製程參數(使用一或更多感測器41),並且可用以控制製程時間。控制器40可用以控制如氣體傳送系統20、基板支撐件加熱器42、及/或RF電漿產生器46的製程裝置。控制器40也可用以使用閥50和泵52將處理腔室12抽真空。
RF電漿產生器46在處理腔室中產生RF電漿。RF電漿產生器46可係電感式或電容式RF 電漿產生器。在一些範例中,RF電漿產生器46可包含RF供應器60和匹配分佈網路64。儘管RF電漿產生器46係顯示為連接至噴淋頭14,且基板支撐件係接地或浮接,但RF電漿產生器46可連接至基板支撐件16,且噴淋頭14可接地或浮接。在一些範例中,沖洗氣體80可藉由閥82而被選擇性地供應至邊緣調諧系統15。
現在參考圖2-4B,顯示的是包含第一邊緣調諧系統152之基板處理系統150的範例。在圖2、3A及3B中,噴淋頭14係額外詳細地顯示,並且包含桿部190,該桿部190含有用以自歧管30接收氣體混合物的中心孔穴192。噴淋頭14更包含基部193,其包含底部表面或面對基板表面194、以及頂部表面195。面對基板表面194包含複數的隔開的洞196。流過桿部190之孔穴192的處理氣體在進入孔穴199之前可撞擊在分散板198上。處理氣體通過複數的洞196而離開孔穴199。
第一邊緣調諧系統152更包含配置在桿部190之外徑周圍的內環件212。內環件212包含穿其而過的一或更多的洞213。桿部190穿過內環件212之內孔穴215。上部外環件216可具有大致上為「T」形的橫剖面,且係配置在下部外環件218之上。上部外環件216之上部220幫助安裝至處理腔室之頂部表面222。上部外環件216之內孔穴223容納內環件212和噴淋頭14之桿部190。下部外環件218之內孔穴227也容納內環件212和噴淋頭14之桿部190。
在一些範例中,上部外環件216之下部可定義第一配合表面230,該第一配合表面230係鄰近定義於下部外環件218之上部上的第二配合表面232而配置。內環件212中複數的洞213可與第一及第二配合表面230與232之間所形成之間隙233對準。在操作期間,沖洗氣體可通過洞213及間隙233而供應。內環件212之下部可包含開口252(圖3A),以容許沖洗氣體在內環件212、下部外環件218、及板件236的下方流動。
下部外環件218之徑向外表面可包含螺紋234。邊緣調諧系統152更包含含有複數板件236-1、236-2、……、及236-T(統稱板件236)的寄生電漿減少元件。板件236可包含攻螺紋的內開口237。板件236可鎖付至下部外環件218之螺紋234上。板件236可以均勻的或不均勻的間距而隔開。在一些範例中,板件236係以遞增的間距而隔開,然而亦可使用其他的間距。在其他實施例中,板件236係以遞減的間距而隔開,然而亦可使用其他的間距。在一些範例中,板件236包含形成於攻螺紋內開口237上之一或更多切口239。切口239容許沖洗氣體在板件236之間流動。
在操作期間,處理氣體或沖洗氣體流過桿部190,並且至孔穴199內。處理氣體或沖洗氣體係藉由複數的洞196而分佈於基板18之範圍。二次沖洗氣體係供應於內環件212與桿部190之間。沖洗氣體流過洞213,並且至間隙233中。沖洗氣體也流過開口252和板件236之間的切口239。邊緣調諧系統152幫助減少包含電漿之使用的步驟期間的寄生電漿。。
在一些範例中,板件236係由鋁製成,然而可使用其他的材料。在一些範例中,板件236係由Al7075鋁製成,然而可使用其他的材料。在一些範例中,板件236具有0.070英吋至0.110英吋之厚度。在一些範例中,板件236具有0.090英吋之厚度。在一些範例中,板件236之頂端者具有圍繞內開口237而隔開的九個切口239(每一者為0.125英吋),並且配置在板件之頂端者之下的板件具有圍繞內開口237而隔開的15個切口239(每一者為0.125英吋),然而可使用額外的或較少的切口。切口239可呈均勻的或不均勻的圖案而隔開。
在圖4A和4B中,內環件212係更詳細地顯示。內環件212一般係柱狀,且包含內孔穴215、及在內環件212之相對的末端的第一與第二開口260與261。內環件212之內表面264可包含一或更多凸部280,該凸部280在徑向上向內凸起,且可沿內環件212之長度或內環件212之部分長度而延伸。一或更多凸部280在內環件212與噴淋頭14之桿部190之徑向外表面之間維持預定的間距。
圖4A及4B中內環件212包含洞213,該洞213自內表面264延伸至內環件212之外表面282。凹口290可鄰近內環件212之上部而形成於內環件212之徑向外表面282中。開口252可藉由一或更多的扇形的或弓形的剖面262而定義。舉例而言,在圖4中有四個扇形的或弓形的剖面262-1、262-2、262-3、262-4。在一些範例中,弓形剖面262-1與262-2之間的連接部263係位於較弓形剖面262之其他者之間的其他連接部低的位置。內環件212可位於連接部263上。
現在參考圖5,顯示的是包含第二邊緣調諧系統306的基板處理系統300的範例。第二邊緣調諧系統306包含配置在桿部190周圍的環件308、以及含有噴淋頭蓋320的寄生電漿減少元件,該噴淋頭蓋320係配置在噴淋頭14之基部193的周圍。
環件308可包含類似於圖2中所顯示內容之上部「T」形剖面,以附裝環件308及噴淋頭14至處理腔室之上表面。環件308包含容納噴淋頭14之桿部190的中心孔穴309。環件308更包含延伸通過環件308的複數洞314。在一些範例中,複數洞314係垂直於桿部190而配置。在操作期間,沖洗氣體流過複數的洞314,且在環件308之下部之下流動,直至噴淋頭蓋320、噴淋頭14、及處理腔室之上表面之間的沖洗區域。
噴淋頭蓋320具有大致上為「C」形的橫剖面,且包含上表面322、下表面324及用以容納桿部190的中心開口326。中心開口326可提供與桿部190之間的額外空隙,以容許沖洗氣體在噴淋頭14與噴淋頭蓋320之間流動。噴淋頭蓋320之徑向末端部330延伸通過噴淋頭14之基部193之徑向外邊緣,繼而延伸向下。噴淋頭蓋320之下部332可延伸至噴淋頭14之基部193之下表面194、或者延伸至噴淋頭14之基部193之下表面194稍微往上或稍微往下。可設置一或更多的分隔件338,以維持噴淋頭蓋320與噴淋頭14之間的間距。在一些範例中,噴淋頭蓋320係由陶瓷製成,然而可使用其他的材料。
在一些範例中,噴淋頭蓋320具有3/8英吋與1英吋之間的厚度。在一些範例中,噴淋頭14之徑向外末端係自噴淋頭蓋320之內表面而隔開0.120英吋。在一些範例中,分隔件338具有1/4英吋至1/2英吋之厚度。在一些範例中,分隔件338具有3/8英吋之厚度。
現在參考圖6,顯示的是包含第三邊緣調諧系統406的基板處理系統400的範例。第三邊緣調諧系統406包含以上描述的環件308、以及包含噴淋頭蓋420的寄生電漿減少元件。噴淋頭蓋420包含具有大致上為「C」形之橫剖面的第一部分,並且包含上表面422、下表面424、以及用以容納桿部190的中心開口426。噴淋頭蓋420之徑向外末端部430延伸超過噴淋頭14之基部193的徑向外邊緣。噴淋頭蓋420之下部432可延伸至噴淋頭14之基部193之下表面194、或者延伸至噴淋頭14之基部193之下表面194稍微往上或稍微往下,然後在如433之處所示之與基板18大致上平行的平面內在徑向上向外延伸。可設置一或更多的分隔件438,以維持噴淋頭蓋420與噴淋頭14之間的間距。
在一些範例中,噴淋頭蓋420具有1/16英吋與1/4英吋之間的厚度。在一些範例中,噴淋頭14之徑向外末端係自噴淋頭蓋420之內表面450而隔開(例如,0.03125英吋),並且表面452係在噴淋頭14之底部表面194之上而隔開(例如0.03125英吋)。在一些範例中,分隔件438具有1/4英吋與3/4英吋之間的厚度。在一些範例中,分隔件438具有1/2英吋之厚度。
現在參考圖7A和7B,顯示的是包含第四邊緣調諧系統506的基板處理系統500的範例。第四邊緣調諧系統506包含以上描述的環件308、以及包含複數板件514-1、514-2、……、及514-R(統稱板件514)的寄生電漿減少元件。板件514可使用分隔件516或其他機構而分離隔開。
在一些範例中,分隔件516可由陶瓷而製成。在一些範例中,板件514係由如AI7075鋁之鋁而製成,然而可使用其他材料。在一些範例中,板件514具有0.070英吋至0.110英吋之厚度。在一些範例中,板件具有0.090英吋之厚度。
插件530可配置於噴淋頭14之桿部190、及板件514之徑向內邊緣、及環件308之孔穴309之間。在一些範例中,插件530係由如聚醯亞胺基塑膠(例如,Vespel® )之塑膠而製成,然而可使用其他材料。在一些範例中,插件530可包含環形體部532與桿部534。環形體部532自桿部534之下部而在徑向上向外延伸。在圖7B中,板件514係顯示成包含大於桿部190之開口515。結果,沖洗氣體流動於板件514之間。
在一些範例中,此處所描述之系統和方法利用反應物氣體代替非反應性氣體或惰性氣體來執行二次沖洗。僅舉例而言,當沉積二氧化矽(SiO2 )或二氧化鈦 (TiO2 )膜時,分子氧(O2 )或氧化氮(N2 O)可用以二次沖洗。僅舉例而言,當沉積氮化矽(SiN)膜時,分子氮(N2 )或氨(NH3 )可用以二次沖洗。額外地,儘管此處特別揭露SiO2 和TiO2 ,但本揭露內容相關於包含以下者的其他ALD氧化物或氮化物膜:矽 (Si)、 鉿(Hf)、 鋁(Al)、鈦 (Ti)、 鋯(Zr)等。
在一些範例中,分子氧或分子氫係用作二次沖洗氣體。如分子氧之反應物氣體的使用幫助預防使用氬時所觀察到之點火及/或相關的中空陰極放電(HCD ,hollow cathode discharge )訊號。典型的製程壓力下,氬具有較分子氧低的崩潰電壓。當使用如分子氧之反應物氣體代替氬時,前側邊緣輪廓上之厚度變異即被消除(尤其是凹口處)。
現在參考圖8,顯示的是帕邢曲線(Paschen curve)。如氬之惰性氣體的崩潰電壓在如2-10Torr之典型的製程壓力下係相對低的。如可見,分子氫和分子氮的崩潰電壓對於一些製程壓力來說係較高的。在一些範例中,二次沖洗氣體係選擇成在所選擇之製程壓力下具有較氬高的崩潰電壓。僅舉例而言,分子氫可在處理腔室運行於自2至3Torr之製程壓力下時使用,卻不能在氬具有較高崩潰電壓的較高製程壓力下使用。
在其他特徵中,膜係使用原子層沉積而沉積。該方法更包含維持處理腔室於2至3Torr之真空壓。
前述內容本質上僅係說明性的,且絕不意圖限制本揭露內容、其應用、或使用。本揭露內容之廣義教示可以各種形式實施。因此,儘管本揭露內容包含特定的範例,但本揭露內容之真正的範疇不應該被如此所限制,因為其他修正將在圖式、說明書、及隨後之申請專利範圍的學習上而變得明顯。如此處所使用,詞組「A、B、及C之至少一者」應該被解釋成意指使用非排除性邏輯「或」的邏輯(A或B或C),並且不應被解釋成意指「A之至少一者、B之至少一者、及C之至少一者」。應該理解的是,方法內一或更多的步驟可在不改變本揭露內容之原理的情況下以不同的順序(或同時地)執行。
在一些實施例中,控制器係系統的一部分,而系統可為上述範例的一部分。如此系統可包含半導體處理裝備,該半導體處理裝備包含(複數)處理工具、(複數)腔室、(複數)處理平臺、及/或特定的處理元件(晶圓基板支撐件、氣體流動系統等)。該等系統可與電子設備整合,以在半導體晶圓或基板的處理之前、期間、以及之後,控制系統的運作。該等電子設備可稱為「控制器」,其可控制系統的各種元件或子部件,或者複數的系統。取決於處理需求及/或系統類型,控制器可程式設計成控制此處所揭露製程的任何者,包含處理氣體的傳送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF, radio frequency )產生器設定、RF匹配電路設定、頻率設定、流速設定、流體傳送設定、位置和操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSPs,digital signal processors)、定義為特殊用途積體電路(ASICs,application specific integrated circuits )的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。程式指令可為以各種單獨設定(或程式檔案)之形式而傳達至控制器或系統的指令,該單獨設定(或程式檔案)為實行特定的製程(在半導體晶圓上,或針對半導體晶圓)而定義操作參數。在一些實施例中,操作參數可以是由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:疊層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、以及/或者晶圓的晶粒。
在一些實施例中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合的方式而接至系統。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含局域網路或網際網路。遠端電腦可包含使得可以進入參數及/或設定、或對參數及/或設定進行程式設計的使用者介面,然後該參數及/或設定自遠端電腦而傳達至系統。在一些範例中,控制器以資料的形式接收指令,該指令為即將於一或更多操作期間進行執行之處理步驟的每一者而指定參數。應該理解,參數可特定地針對待執行之製程的類型、以及控制器與之接合或加以控制之工具的類型。因此如上所述,控制器可為分散式,例如藉由包含以網路的方式接在一起、且朝向共同之目的(例如,此處所描述之處理、及控制)而運作的一或更多的分離的控制器。用於如此目的之分散式控制器的範例將是腔室上與位於遠端的一或更多積體電路(例如,在作業平臺位準處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上之製程。
例示性系統可包含但不限於以下者:電漿蝕刻腔室或模組、沉積腔室或模組、旋轉淋洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD,physical vapor deposition)腔室或模組、化學氣相沉積(CVD ,chemical vapor deposition )腔室或模組、原子層沉積(ALD ,atomic layer deposition )腔室或模組、原子層蝕刻(ALE ,atomic layer etch)腔室或模組、離子植入腔室或模組、徑跡腔室(track chamber)或模組、以及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如以上所提及,取決於即將藉由工具而執行之(複數)製程步驟,控制器可與半導體加工工廠中之一或更多的以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具(cluster tools)、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具將晶圓容器帶至工具位置及/或裝載埠,或自工具位置及/或裝載埠帶來晶圓容器。
10‧‧‧基板處理系統
12‧‧‧處理腔室
14‧‧‧噴淋頭
15‧‧‧邊緣調諧系統
16‧‧‧基板支撐件
18‧‧‧基板
20‧‧‧氣體傳送系統
22‧‧‧氣體源
22-1‧‧‧氣體源
22-2‧‧‧氣體源
22-N‧‧‧氣體源
24‧‧‧閥
24-1‧‧‧閥
24-2‧‧‧閥
24-N‧‧‧閥
26‧‧‧質流控制器
26-1‧‧‧質流控制器
26-2‧‧‧質流控制器
26-N‧‧‧質流控制器
30‧‧‧歧管
40‧‧‧控制器
41‧‧‧感測器
42‧‧‧加熱器
46‧‧‧RF電漿產生器
50‧‧‧閥
52‧‧‧泵
60‧‧‧RF供應器
64‧‧‧匹配分佈網路
80‧‧‧沖洗氣體
82‧‧‧閥
150‧‧‧基板處理系統
152‧‧‧邊緣調諧系統
190‧‧‧桿部
192‧‧‧孔穴
193‧‧‧基部
194‧‧‧表面
195‧‧‧表面
196‧‧‧洞
198‧‧‧分散板
199‧‧‧孔穴
212‧‧‧內環件
213‧‧‧洞
215‧‧‧內孔穴
216‧‧‧上部外環件
218‧‧‧下部外環件
220‧‧‧上部
222‧‧‧表面
223‧‧‧內孔穴
227‧‧‧內孔穴
230‧‧‧第一配合表面
232‧‧‧第二配合表面
233‧‧‧間隙
234‧‧‧螺紋
236‧‧‧板件
236-1‧‧‧板件
236-2‧‧‧板件
236-T‧‧‧板件
237‧‧‧內開口
239‧‧‧切口
252‧‧‧開口
260‧‧‧開口
262‧‧‧開口/剖面
262-1‧‧‧剖面
262-2‧‧‧剖面
262-3‧‧‧剖面
262-4‧‧‧剖面
263‧‧‧連接部
264‧‧‧內表面
280‧‧‧凸部
282‧‧‧外表面
290‧‧‧凹口
300‧‧‧基板處理系統
306‧‧‧第二邊緣調諧系統
308‧‧‧環件
309‧‧‧孔穴
314‧‧‧洞
314-1‧‧‧洞
314-2‧‧‧洞
314-G‧‧‧洞
320‧‧‧噴淋頭蓋
322‧‧‧上表面
324‧‧‧下表面
326‧‧‧中心開口
330‧‧‧徑向末端部
332‧‧‧下部
338‧‧‧分隔件
400‧‧‧基板處理系統
406‧‧‧第三邊緣調諧系統
420‧‧‧噴淋頭蓋
422‧‧‧上表面
424‧‧‧下表面
426‧‧‧中心開口
430‧‧‧徑向外末端部
432‧‧‧下部
433‧‧‧平面
438‧‧‧分隔件
450‧‧‧內表面
452‧‧‧表面
500‧‧‧基板處理系統
506‧‧‧第四邊緣調諧系統
514‧‧‧板件
514-1‧‧‧板件
514-2‧‧‧板件
514-R‧‧‧板件
515‧‧‧開口
516‧‧‧分隔件
530‧‧‧插件
532‧‧‧環形體部
534‧‧‧桿部
本揭露內容將自實施方式及隨附圖式而變得更充分地受到理解,其中:
圖1係根據本揭露內容、具有邊緣調諧系統以減少寄生電漿之基板處理系統的範例的功能方塊圖;
圖2係根據本揭露內容之第一邊緣調諧系統之範例的橫剖面視圖;
圖3A係第一邊緣調諧系統之放大的橫剖面視圖;
圖3B係板件之範例的俯視圖;
圖4A和4B係內環件之範例的立體圖;
圖5係根據本揭露內容之第二邊緣調諧系統之範例的橫剖面視圖;
圖6係根據本揭露內容之第三邊緣調諧系統之範例的橫剖面視圖;
圖7A和7B係根據本揭露內容之第四邊緣調諧系統之範例的橫剖面視圖;以及
圖8係說明對於各種氣體作為壓力之函數的崩潰電壓的圖式;
在圖式中,參考數字可重複使用,以識別相似的及/或相同的元件。
14‧‧‧噴淋頭
16‧‧‧基板支撐件
18‧‧‧基板
150‧‧‧基板處理系統
152‧‧‧邊緣調諧系統
190‧‧‧桿/桿部
192‧‧‧孔穴
193‧‧‧基部
194‧‧‧表面
195‧‧‧表面
196‧‧‧洞
198‧‧‧分散板
199‧‧‧孔穴
212‧‧‧內環件
213‧‧‧洞
215‧‧‧內孔穴
216‧‧‧上部外環件
218‧‧‧下部外環件
220‧‧‧上部
222‧‧‧表面
223‧‧‧內孔穴
227‧‧‧內孔穴
230‧‧‧第一配合表面
232‧‧‧第二配合表面
233‧‧‧間隙
234‧‧‧螺紋
236-1‧‧‧板件
236-2‧‧‧板件
236-T‧‧‧板件
239‧‧‧切口

Claims (31)

  1. 一種在基板上沉積膜的基板處理系統,包含: 一處理腔室,其定義一反應容積; 一噴淋頭,包含:      一桿部,其具有鄰近該處理腔室之一上表面而連接的一末端;以及      一基部,其係連接至該桿部之一相對的末端,並且自該桿部在徑向上向外延伸,其中該噴淋頭係用以引入處理氣體與沖洗氣體其中至少一者至該反應容積中; 一電漿產生器,其係用以在該反應容積中選擇性地產生RF電漿;以及 一邊緣調諧系統,包含:       一環件,其係配置在該噴淋頭之該基部與該處理腔室之該上表面之間的該噴淋頭之該桿部周圍,其中該環件包含一或更多的洞,用以自該環件之一內孔穴供應沖洗氣體至該噴淋頭之該基部與該處理腔室之該上表面之間之一區域,其中該沖洗氣體係一反應物氣體;以及       一寄生電漿減少元件,其係位於該環件與該噴淋頭之一上表面之間之該桿部周圍,且其係用以減少該噴淋頭與該處理腔室之該上表面之間的寄生電漿。
  2. 如申請專利範圍第1項之在基板上沉積膜的基板處理系統,其中該環件具有大致上為「T」形的一橫剖面,並且其中該一或更多的洞係垂直於該噴淋頭之該桿部而配置。
  3. 如申請專利範圍第1項之在基板上沉積膜的基板處理系統,其中該環件包含一或更多凸部,以在該環件之該內孔穴與該桿部之一外表面之間提供均勻的間距。
  4. 如申請專利範圍第1項之在基板上沉積膜的基板處理系統,其中該寄生電漿減少元件包含由一陶瓷材料製成的一噴淋頭蓋。
  5. 如申請專利範圍第4項之在基板上沉積膜的基板處理系統,其中該噴淋頭蓋具有覆蓋該噴淋頭之該上表面與該噴淋頭之側邊的大致上為「C」形的一橫剖面,並且其中該噴淋頭蓋具有3/8英吋與1英吋之間的一厚度。
  6. 如申請專利範圍第5項之在基板上沉積膜的基板處理系統,更包含配置於該噴淋頭蓋與該噴淋頭之一上表面之間的一分隔件。
  7. 如申請專利範圍第6項之在基板上沉積膜的基板處理系統,其中該分隔件具有1/4英吋與1/2英吋之間的一厚度。
  8. 如申請專利範圍第4項之在基板上沉積膜的基板處理系統,其中該噴淋頭蓋包含具有覆蓋該噴淋頭之該上表面與該噴淋頭之側邊的大致上為「C」形的一橫剖面的一第一部分,以及在平行於該基板的一平面內自該第一部分之相對的末端在徑向上向外延伸的第二部分。
  9. 如申請專利範圍第8項之在基板上沉積膜的基板處理系統,其中該噴淋頭蓋具有1/16英吋與1/4英吋之間的一厚度。
  10. 如申請專利範圍第8項之在基板上沉積膜的基板處理系統,更包含配置於該噴淋頭蓋與該噴淋頭之一上表面之間的一分隔件。
  11. 如申請專利範圍第10項之在基板上沉積膜的基板處理系統,其中該分隔件具有1/4英吋與3/4英吋之間的一厚度。
  12. 如申請專利範圍第1項之在基板上沉積膜的基板處理系統,其中該寄生電漿減少元件包含在該噴淋頭之該上表面與該環件之間以一隔開的關係而配置的複數板件。
  13. 如申請專利範圍第12項之在基板上沉積膜的基板處理系統,其中該寄生電漿減少元件更包含配置於該複數板件之鄰近者之間的分隔件。
  14. 如申請專利範圍第12項之在基板上沉積膜的基板處理系統,其中該複數板件的每一者包含大於該桿部之一外徑的一中心開口,以容許沖洗氣體自該環件流動通過該板件的中心開口及板件之間。
  15. 如申請專利範圍第12項之在基板上沉積膜的基板處理系統,更包含配置於該複數板件與該桿部之間的一插件。
  16. 如申請專利範圍第15項之在基板上沉積膜的基板處理系統,其中該插件係由聚醯亞胺(polyimide)製成。
  17. 如申請專利範圍第15項之在基板上沉積膜的基板處理系統,其中該插件包含一桿部及一環形基部,其中該桿部係鄰近該噴淋頭之該桿部而配置,並與之接觸,並且其中該環形基部自該插件之一噴淋頭側的部分向外延伸。
  18. 如申請專利範圍第1項之在基板上沉積膜的基板處理系統,其中該環件包含: 一內環件,其係鄰近該桿部而配置; 一上部外環件,其係配置在該內環件之一上部周圍;以及 一下部外環件,其係配置在該內環件之一下部周圍。
  19. 如申請專利範圍第18項之在基板上沉積膜的基板處理系統,其中該寄生電漿減少元件包含在該噴淋頭之該上表面與該環件之間以一隔開的關係而配置的複數板件。
  20. 如申請專利範圍第19項之在基板上沉積膜的基板處理系統,其中該複數板件包含一攻螺紋的中心開口,並且其中該下部外環件包含一攻螺紋的徑向外表面,並且其中該複數板件係鎖付至該下部外環件上。
  21. 如申請專利範圍第20項之在基板上沉積膜的基板處理系統,其中該內環件包含與該上部外環件與該下部外環件之間的一間隔對準的複數洞,並且其中沖洗氣體流過該內環件之該複數洞。
  22. 如申請專利範圍第21項之在基板上沉積膜的基板處理系統,其中該複數板件包含沿該中心開口方向的切口,以容許沖洗氣體在該複數板件之間流動。
  23. 如申請專利範圍第21項之在基板上沉積膜的基板處理系統,其中該內環件包含沿該內環間之一噴淋頭側末端方向的開口,以容許沖洗氣體在該板件與該噴淋頭之間流動。
  24. 如申請專利範圍第1項之在基板上沉積膜的基板處理系統,其中該反應物氣體係選自包含分子氧、分子氫、分子氮、一氧化二氮、及氨的一群組。
  25. 如申請專利範圍第1項之在基板上沉積膜的基板處理系統,其中該反應物氣體包含分子氧,且該膜包含二氧化矽。
  26. 如申請專利範圍第1項之在基板上沉積膜的基板處理系統,其中該反應物氣體包含氧化氮,且該膜包含二氧化矽。
  27. 如申請專利範圍第1項之在基板上沉積膜的基板處理系統,其中該反應物氣體包含分子氧,且該膜包含二氧化鈦。
  28. 如申請專利範圍第1項之在基板上沉積膜的基板處理系統,其中該反應物氣體包含氧化氮,且該膜包含二氧化鈦。
  29. 如申請專利範圍第1項之在基板上沉積膜的基板處理系統,其中該反應物氣體包含分子氮,且該膜包含氮化矽。
  30. 如申請專利範圍第1項之在基板上沉積膜的基板處理系統,其中該反應物氣體包含氨,且該膜包含氮化矽。
  31. 一種在基板上沉積膜的基板處理系統,包含: 一處理腔室,其定義一反應容積; 一噴淋頭,包含:      一桿部,其具有鄰近該處理腔室之一上表面而連接的一末端;以及      一基部,其係連接至該桿部之一相對的末端,並且自該桿部在徑向上向外延伸,其中該噴淋頭係用以引入處理氣體與沖洗氣體其中至少一者至該反應容積中; 一電漿產生器,其係用以在該反應容積中選擇性地產生RF電漿;以及 一邊緣調諧系統,包含:       一環件,其係配置在該噴淋頭之該基部與該處理腔室之該上表面之間的該噴淋頭之該桿部周圍,其中該環件包含用以自該環件之一內孔穴供應沖洗氣體至該噴淋頭之該基部與該處理腔室之該上表面之間之一區域的一或更多的洞,其中該沖洗氣體係一反應物氣體;以及       一寄生電漿減少元件,其係位於該環件與該噴淋頭之一上表面之間之該桿部周圍,且其係用以減少該噴淋頭與該處理腔室之該上表面之間的寄生電漿,其中該寄生電漿減少元件包含在該噴淋頭之該上表面與該環件之間以一隔開的關係而配置的複數板件。
TW104129857A 2014-09-12 2015-09-10 用以抑制寄生電漿及減少晶圓內非均勻性的系統及方法 TWI671842B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462049767P 2014-09-12 2014-09-12
US62/049,767 2014-09-12
US14/668,174 US9793096B2 (en) 2014-09-12 2015-03-25 Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US14/668,174 2015-03-25

Publications (2)

Publication Number Publication Date
TW201626483A true TW201626483A (zh) 2016-07-16
TWI671842B TWI671842B (zh) 2019-09-11

Family

ID=55455414

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104129857A TWI671842B (zh) 2014-09-12 2015-09-10 用以抑制寄生電漿及減少晶圓內非均勻性的系統及方法

Country Status (6)

Country Link
US (3) US9793096B2 (zh)
JP (3) JP6580426B2 (zh)
KR (2) KR102333806B1 (zh)
CN (1) CN105428194B (zh)
SG (1) SG10201507194VA (zh)
TW (1) TWI671842B (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
JP6794184B2 (ja) * 2016-08-31 2020-12-02 株式会社日本製鋼所 プラズマ原子層成長装置
US10622243B2 (en) 2016-10-28 2020-04-14 Lam Research Corporation Planar substrate edge contact with open volume equalization pathways and side containment
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR101850895B1 (ko) * 2017-01-03 2018-04-20 한국표준과학연구원 플라즈마 발생 장치
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
US10851457B2 (en) * 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
CN113597479A (zh) * 2019-03-11 2021-11-02 朗姆研究公司 用于清洁等离子体室的设备
JP2022534564A (ja) * 2019-05-29 2022-08-02 ラム リサーチ コーポレーション 均一性調整のためのシャワーヘッドインサート
WO2021011950A1 (en) * 2019-07-17 2021-01-21 Lam Research Corporation Modulation of oxidation profile for substrate processing
JP2023518718A (ja) * 2020-03-19 2023-05-08 ラム リサーチ コーポレーション シャワーヘッドパージカラー
KR102500678B1 (ko) * 2021-08-25 2023-02-16 주식회사 아이에스티이 기생 플라즈마 방지를 위한 샤워헤드 가스 공급장치

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL287968A (zh) * 1962-03-15
JPS63227011A (ja) * 1987-03-17 1988-09-21 Fujitsu Ltd 化学気相成長装置
JP2725081B2 (ja) * 1990-07-05 1998-03-09 富士通株式会社 半導体装置製造用熱処理装置
US5446824A (en) * 1991-10-11 1995-08-29 Texas Instruments Lamp-heated chuck for uniform wafer processing
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
JP3295336B2 (ja) * 1996-03-01 2002-06-24 キヤノン株式会社 マイクロ波プラズマ処理装置およびプラズマ処理方法
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US7004107B1 (en) 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6474258B2 (en) 1999-03-26 2002-11-05 Tokyo Electron Limited Apparatus and method for improving plasma distribution and performance in an inductively coupled plasma
KR100722592B1 (ko) * 1999-12-22 2007-05-28 아익스트론 아게 화학 기상 증착 반응기
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US20070187363A1 (en) * 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
KR101020160B1 (ko) 2006-03-03 2011-03-09 엘아이지에이디피 주식회사 플라즈마 처리장치
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
TWI498988B (zh) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
KR101632271B1 (ko) 2008-04-12 2016-06-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 처리 장치 및 방법
US9728429B2 (en) 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
WO2012122054A2 (en) * 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US20130071581A1 (en) * 2011-09-20 2013-03-21 Jonghoon Baek Plasma monitoring and minimizing stray capacitance
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) * 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
TWI654333B (zh) * 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
CN104409309B (zh) * 2014-12-01 2016-09-21 逢甲大学 大面积等离子体处理装置与均匀等离子体生成方法
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US10157755B2 (en) * 2015-10-01 2018-12-18 Lam Research Corporation Purge and pumping structures arranged beneath substrate plane to reduce defects
US9758868B1 (en) * 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US9738977B1 (en) * 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US11335591B2 (en) * 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping

Also Published As

Publication number Publication date
JP2021119626A (ja) 2021-08-12
JP7232864B2 (ja) 2023-03-03
KR20210150330A (ko) 2021-12-10
US20200335304A1 (en) 2020-10-22
US10665429B2 (en) 2020-05-26
JP6580426B2 (ja) 2019-09-25
US20180068833A1 (en) 2018-03-08
KR20160031420A (ko) 2016-03-22
JP6878527B2 (ja) 2021-05-26
US20160079036A1 (en) 2016-03-17
JP2020025100A (ja) 2020-02-13
TWI671842B (zh) 2019-09-11
KR102333806B1 (ko) 2021-12-01
SG10201507194VA (en) 2016-04-28
KR102525777B1 (ko) 2023-04-25
JP2016063221A (ja) 2016-04-25
US11127567B2 (en) 2021-09-21
US9793096B2 (en) 2017-10-17
CN105428194A (zh) 2016-03-23
CN105428194B (zh) 2018-06-01

Similar Documents

Publication Publication Date Title
TWI671842B (zh) 用以抑制寄生電漿及減少晶圓內非均勻性的系統及方法
TWI687539B (zh) 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法
TWI752051B (zh) 用以防止電性發弧與點火並改善製程均勻性之具有特徵部的靜電夾頭
KR102556603B1 (ko) 플라즈마 프로세싱 시스템들을 위한 고순도 sp3 결합들을 가진 화학적 기상 증착 (cvd) 다이아몬드 코팅을 포함한 에지 링들과 같은 컴포넌트들
TWI675124B (zh) 用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法
JP2016219803A (ja) 流れ均一性を改善させるためのフェースプレート穴を有する低容積シャワーヘッド
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
JP2018011056A (ja) 基板処理システムにおける再循環を低減するためのカラー、円錐形シャワーヘッド、および/または、トッププレート
CN105938792B (zh) 最小化teos氧化物膜沉积期间接缝效应的方法和装置
TWI827654B (zh) 用於基板處理系統之侷限環與在基板處理系統中使用侷限環的方法
TW202204686A (zh) 用於調節氣體之局部輸送的邊緣環
KR102510611B1 (ko) 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법
TW202102715A (zh) 在原子層沉積(ald)基板處理腔室中調變膜性質用之支座
TW202114051A (zh) 基板處理系統用的縮小直徑承載環硬件