TWI498988B - A gas supply device, a film forming apparatus, and a film forming method - Google Patents

A gas supply device, a film forming apparatus, and a film forming method Download PDF

Info

Publication number
TWI498988B
TWI498988B TW098105597A TW98105597A TWI498988B TW I498988 B TWI498988 B TW I498988B TW 098105597 A TW098105597 A TW 098105597A TW 98105597 A TW98105597 A TW 98105597A TW I498988 B TWI498988 B TW I498988B
Authority
TW
Taiwan
Prior art keywords
gas supply
gas
processing
substrate
mounting table
Prior art date
Application number
TW098105597A
Other languages
English (en)
Other versions
TW200952109A (en
Inventor
Einosuke Tsuda
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200952109A publication Critical patent/TW200952109A/zh
Application granted granted Critical
Publication of TWI498988B publication Critical patent/TWI498988B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

氣體供應裝置、成膜裝置、及成膜方法
本發明是關於將在處理容器內對於基板進行處理所用的處理氣體供應於該處理容器內的技術。
在半導體製造裝置中,有將氣體供應裝置與載置台相對向於處理容器內,而將處理氣體從氣體供應裝置供應於被載置於載置台上的基板的例如半導體晶圓(以下,僅稱為晶圓)而進行處理基板的裝置,例如成膜裝置或蝕刻裝置等。
其中作為成膜裝置,有加熱處理氣體而使之反應的熱CVD裝置等。又,也眾知將供應複數種類的處理氣體,例如分成兩個步驟(階段),在第1步驟進行供應第1處理氣體,而在第2步驟作成進行供應第2處理氣體,並交互地進行此些各步驟,而依次積層各處理氣體的反應生成物的被稱為所謂ALD(Atomic Layer Deposition)或是MLD(Molecular Layer Deposition)等的製程(例如日本特開2004-91874號公報:尤其是0002段落)。針對於ALD,眾知由晶圓的側面流著處理氣體的側流方式。然而,本案發明人等也相信在ALD中,從與晶圓相對向的方向供應氣體的方式者較有利。
在氣體供應裝置,有被稱為氣體蓮蓬頭等的型式者。在具有氣體導入口的氣體蓮蓬頭的最下部,設有被稱為設有多數氣體供應孔的蓮蓬板等的氣體供應板。又,氣體蓮蓬頭是具備連通氣體導入口與對應於此的氣體供應孔所用的氣體流路,而在氣體流路的途中,形成有朝側面方向擴散氣體所用的擴散空間。
在此,在ALD中,在切換處理氣體的種類之際,開始供應下一處理氣體之前,供應沖洗氣體。藉此,完全地排除被留在處理氣氛內的處理氣體。該沖洗手續是負責防止發生粒子的重要作用。若沖洗不充分,則例如有兩種處理氣體流通共通的氣體流路或擴散空間時,殘存在處理氣氛或氣體供應裝置內的處理氣體與新供應的處理氣體會反應,而會把此些反應生成物附著於壁部。此為成為發生粒子的主要原因。又,即使各處理氣體經氣體蓮蓬頭內的各個流路而被供應時,藉由對氣體供應孔內的逆擴散,也把一方的處理氣體進入到另一方的流路內而會與未完全沖洗的另一方的處理氣體反應,而有附著反應生成物的可能性。另一方面,沖洗手續是在成膜上直接未有幫助的附帶性手續。所以,作為氣體供應裝置的構造,儘可能縮短沖洗手續的時間而提昇生產量,且在短時間內完全比可沖洗處理氣體較佳。
在此點上,氣體蓮蓬頭是為了將處理氣體均勻地供應於設在蓮蓬板全面的多數各個氣體供應孔,而需要較大的擴散空間之故,因而需要以沖洗氣體一直到置換該擴散空間內為止的時間。又,在此種擴散空間的角隅部,沖洗手續時容易形成有氣體積存部。此為,成為完全地排除處理氣體時的障礙。
又,由氣體供應裝置的製造上的觀點來看,氣體蓮蓬頭是例如在複數板形成多數的微細貫通孔,重疊此些板而形成氣體流路成為必須,並被要求精密地加工。所以,在製造上不能說容易,且製作費用也較昂貴。
本案發明人等,經檢討,將具有與被使用在日本特開2007-243138號公報(尤其是,申請專利範圍第1項,003段落,第1圖)所述的蝕刻裝置的氣體供應噴嘴同樣的外觀形狀的氣體供應噴嘴同樣的外觀形狀的氣體供應噴嘴,採用作為ALD的氣體供應裝置。
例如如第22A圖的成膜裝置100所示地,此種氣體供應噴嘴41是設成突出於處理空間內,而在其表面設有複數氣體供應孔。此種氣體供應噴嘴41是極小型又單純的構成,而以短時間可進行沖洗。又,與氣體蓮蓬頭相比較,製作也較簡單。
然而,將此種氣體供應噴嘴41從處理容器2的頂部突出時,即使儘可能地將晶圓W接近於氣體供應噴嘴41,也必須將頂部距晶圓W最低也必須隔著氣體供應噴嘴41的突出高度分量。藉此,處理氣氛10的容積較大,而沖洗處理氣氛10上有費時的顧慮上。又若處理氣氛10的容積大,則為了將該氣氛10保持在成膜所必需的氣體濃度,而在所必需的處理氣體供應量也變多之故,因而也有上昇成膜成本有關的顧慮。
如第22B圖所示地,本案發明人等,開發了從處理氣氛10區劃載置台3下方的空間,藉由將處理氣體朝側方排氣俾將沖洗所必需的空間作成更小的成膜裝置101。然而,這種裝置時被排氣的處理氣體的氣流相撞於處理容器2的側壁部而打著漩渦,容易形成氣體積存部,而有妨礙處理氣體的完全排除的問題。
在日本特表2005-507030號公報(尤其是,0020段落,0021段落,第3圖),記載著在處理容器的頂部設有推拔面的ALD型的成膜裝置。在該裝置中,將基板表面的處理氣體的供應速度作成均勻作為目的而設有推拔面。又,處理氣體是經由開口於處理容器的頂部的氣體流路成為供應於處理容器內。然而,該裝置的構造,是從處理容器的頂面突出氣體供應噴嘴的上述構造是基本上不相同者。
本發明是著眼於如以上的問題點,並將此有效地須解決而創案者。本發明的目的是在於提供構造單純而製作容易的氣體供應裝置。又,本發明的其他目的是在於提供例如適用ALD等的製程時的氣體置換性良好,而有助於提昇生產量的成膜裝置或成膜方法。
本發明是氣體供應裝置,是屬於相對向地配置在被載置於處理容器內的載置台的基板,對於上述基板供應用於進行處理的處理氣體的氣體供應裝置,其特徵為:具備:在與上述載置台上的基板相對向的位置,為了構成氣體的擴散空間,具有朝上述載置台扇形展開的形狀地形成的凹部的頂板構件;及從上述凹部的頂部突出於該凹部內,沿著該凹部的周方向具有複數氣體供應孔的氣體供應噴嘴。
依照本發明,使用小型構造單純的氣體供應噴嘴而供應處理氣體之故,因而與例如重疊複數板的構造的氣體蓮蓬頭等相比較,製作容易而可減低製造成本。
又,氣體供應噴嘴是從朝頂板構件的下方側扇形展開的形狀所形成的凹部頂部突出於該凹部內,同時該噴嘴的全體或一部分被容納於該凹部內之故,因而可減小被形成在與載置台之間的氣體的擴散空間。藉此,與例如氣體蓮蓬頭型氣體供應裝置相比較,可抑制處理氣體的供應量或供應時間。又,也可抑制作為沖洗步驟所需要的時間。藉此,可有助於減低變動成本或是提昇處理的生產量。
例如,上述凹部是藉由朝上述載置台扇形展開的推拔面所形成。
又,較佳為上述氣體供應噴嘴是設於貫通上述頂板構件的氣體供應管的前端部,上述氣體供應噴嘴及上述氣體供應管,是對於複數處理氣體為共通。
又,較佳為上述氣體供應管是對於上述頂板構件裝卸自如地構成。
又,較佳為上述氣體供應孔是對於上述凹部的中心軸,至少具有10度的傾斜進行開口。
又,較佳為上述氣體供應噴嘴是隨著從前端部側朝基端部側,該氣體供應噴嘴的表面的每一單位面積的氣體供應孔的開口數變多。
又,本發明的成膜裝置,是在被載置於處理容器內的載置台上的基板,供應互相不相同的複數處理氣體而反應此些處理氣體,並將薄膜成膜於上述基板的表面的成膜裝置,其特徵為:具備:具有載置有基板的載置台的處理容器;及具有任一上述特徵的氣體供應裝置。
成膜裝置是具備:交互地進行作為第1處理氣體供應原料氣體而吸附於基板的階段,及作為第2處理氣體供應與上述原料氣體反應的反應氣體而將反應生成物生成在基板上的階段,同時在上述兩階段之期間,將處理氣氛藉由沖洗氣體進行沖洗的階段的方式將控制訊號輸出至裝置各部的控制部較佳。
又,該成膜裝置,設有連通於上述氣體供應裝置的上述擴散空間,從側方排氣該擴散空間內的氣氛所用的真空排氣路較佳。
又上述凹部的上述載置台側的開口部是該開口部的投影面成為覆蓋被載置於上述載置台上的基板的面積的30%以上的領域較佳。
又,本發明的成膜方法,是在被載置於處理容器內的載置台上的基板,供應互相不相同的複數處理氣體而反應此些處理氣體,並將薄膜成膜於上述基板的表面的成膜方法,其特徵為:具備:將基板載置於處理容器內的載置台上的載置步驟;及利用具有上述特徵的任一特徵的氣體供應裝置而供應處理氣體的處理氣體供應步驟。
較佳為,上述處理氣體供應步驟是成為交互地進行作為第1處理氣體供應原料氣體而吸附於基板的階段,及作為第2處理氣體供應與上述原料氣體反應的反應氣體而將反應生成物生成在基板上的階段,又,在上述兩階段之期間,將處理氣氛藉由沖洗氣體進行沖洗的階段的方式。
又,該成膜方法,較佳為又具備從側方真空排氣上述氣體供應裝置的上述擴散空間內的氣氛的步驟。
以下,參照第1圖至第6圖針對本發明一實施形態之成膜裝置1的構成進行說明。成膜裝置1係作為第1處理氣體使用含有鍶(Sr)的原料氣體(以下稱為Sr原料氣體),作為第2處理氣體使用含有鈦(Ti)的原料氣體(以下,稱為Ti原料氣體)。將此些處理氣體,利用與作為第3處理氣體的氧化氣體的臭氧氣體進行反應,藉由ALD製程,在基板的例如直徑300mm的晶圓表面,被成膜有高介質材料的鈦酸鍶(SrTiO3 ,以下簡稱為STO)的薄膜。
如第1圖的縱斷面圖所示地,該成膜裝置1是具備:形成真空容器的處理容器2,及被設置於該處理容器2內且用於基板的晶圓W的載置台3,及用於將處理氣體供應於與該載置台3相對般地設於處理容器2上部的晶圓W表面的氣體供應裝置4。
載置台3是由相當於支撐晶圓W載置台本體的平台31,及覆蓋該平台31的平台蓋32所構成。平台31是例如以氮化鋁或石英等作為材料,例如被形成扁平圓板狀。在平台31內部,埋設有藉由將載置台3的載置面予以加熱而用於將晶圓W昇溫至成膜溫度的平台加熱器33。該平台加熱器33是例如由片狀電阻發熱體所構成,藉由從電源部68供應電力,可將被載置於載置台3上的晶圓W加熱成如280℃。又,在平台31內設有未圖示的靜電吸盤,靜電吸附被載置於載置台3上的晶圓W成為可予以固定。
一方面,與平台31一起構成載置台3的平台蓋32,是覆蓋平台31的上面及側面,發揮可防止所謂反應生成物或反應副生成物的反應物堆積至平台31表面的作用。平台蓋32是例如構成作為石英製裝卸自如的蓋構件(被稱為護蓋保護體等,在其上面中央領域,形成具有比晶圓W稍大直徑的圓形凹部。藉由此種凹部,可定位被載置於平台蓋32上的載置面的晶圓W。
載置台3是藉由柱狀支撐構件34,例如支撐著平台31的下面側中央部。該支撐構件34是構成藉由昇降機構69被昇降。藉由支撐構件34被昇降,載置台3是成為在與外部的搬運機構之間例如最長80mm左右可昇降可進行晶圓W的交接的交接位置,及可進行晶圓W的處理位置之間。
如第1圖所示地,支撐構件34是貫通處理容器2的底面部,詳細如下述的下側容器22的底面部,被連接於藉由昇降機構69被昇降的昇降板23。在此,昇降板23與下側容器22之間,是藉由波紋管24被氣密地接合。
又,載置台3是具有支撐晶圓W的背面而對於載置台3的載置面進行昇降該晶圓W所用的例如3支昇降銷35。如第1圖所示地,這些昇降銷35是在載置台3被移動到晶圓W的處理位置的狀態下,各昇降銷35的扁平頭部被卡止在平台31的上面,同時其下端部從平台31的底面鼓出的方式,朝上下方向貫穿平台31的狀態下被安裝。
在朝上下方向貫通平台31的各昇降銷35的下方側設有環狀昇降構件36。在載置台3下降至晶圓W的交接位置之狀態下,藉由將昇降構件36予以昇降。就可昇降各昇降銷35。藉此,以各昇降銷35被支撐背面的晶圓W,對於載置台3的載置面可進行昇降。
在此,在貫通有平台蓋32的上面側的已說明的昇降銷35的位置,設有用於容納昇降銷35的頭部的開口部(擴徑凹部)。藉此,如第1圖所示地,在載置台3被移動至晶圓W的處理位置的狀態下,平台蓋32上面與各昇降銷35的頭部上面成為大約面一,而成為在載置台3的上面形成平坦的晶圓W載置面。又,平台蓋32的側壁部是被延伸至平台31的下方側,而形成從側面圍繞平台31的下方領域的裙部321。
下面,針對於處理容器2的構成加以說明。處理容器2是在扁平碗形的下側容器22上面,重疊環狀地形成的排氣導管21。下側容器22是藉由如鋁等所構成,在其底面,設有貫通孔221,而被貫通於已說明的平台31的支撐構件34。
又,在該貫通孔221的周圍的例如4部位,設有沖洗氣體供應路222。將從沖洗氣體供應源66所供應的氮氣體等的沖洗氣體經由該沖洗氣體供應路222,可送進下側容器22內。
又,第1圖中,以虛線所示的搬運口28,是藉由外部搬運機構進行晶圓W搬出,搬入所用的開口部。該搬運口28是藉由設於處理容器2的側壁部223的未予圖示的閘閥,成為可開閉。
排氣導管21是例如鋁製,斷面四方形狀的導管構成作為彎曲形成的環狀體。該環狀體的內徑及外徑,是構成與下側容器22的側壁部223的內徑及外徑大約相同的尺寸。在此,將靠近排氣導管21的擴散空間40側的壁面稱為內壁面,而將距擴散空間40較遠的一側的壁面稱為外壁面。在排氣導管21的內壁面的上端部,隔著間隔,周圍方向地設有複數個朝橫方向(周圍方向)延伸的開縫狀真空排氣口211。又,排氣管29被連接於排氣導管21的外壁面的例如一部位。例如,利用被連接於該排氣管29的真空泵67,可進行經由各真空排氣口211的真空排氣。又,如第1圖所示地,在排氣導管21,覆蓋從其上面側一直到外壁面及下面側的外周部的方式設有隔熱構件212。
此種排氣導管21為經由隔熱構件212被重疊於下側容器22上面,以互相被隔熱的狀態下成為一體,而構成處理容器2。又,被設於排氣導管21內壁面的複數真空排氣口211,為朝向包含被形成於氣體供應裝置4與載置台3之間的擴散空間的處理氣氛開口。因此,經由此些真空排氣口211可進行處理氣氛的真空排氣。將該處理氣氛導向真空泵67的空間,相當於成膜裝置1的真空排氣路。
又,如第1圖所示地,在處理容器2的內部,為了從比包含處理氣氛的載置台3還要上部的上部空間區劃下側容器22內的空間的下部空間,設有內凸塊26。該內凸塊26是例如由鋁所形成的環狀構件,被形成可裝填於下側容器22的側壁部223的內壁面,及載置台3的側周面(裙部321的側周面)之間的空間的尺寸。
在內凸塊26朝外側更擴展的突起緣262設於其上面外周部,內凸塊26是藉由上述突起緣262卡止於被插入在下側容器22的側壁部223與排氣導管21的內壁面側的下端部之間的中間環體252,以從下側容器22的內壁面環狀地突出般地態勢,被固定於處理容器2內。
再如第1圖所示地,從內凸塊26上面一直到內周面的領域,為以石英製的凸塊蓋261所覆蓋。藉此,成為可抑制反應物堆積在其表面。具體來說,當載置台3位於處理位置時,凸塊蓋261為經由如2mm的間隙圍繞著平台蓋32的側面(裙部321的側面)。藉此,處理氣氛的氣體是被形成不容易擴散在下部空間的狀態。
又,在排氣導管21的內壁面與下述的頂板構件42之間的環狀空間,配設有斷面形成倒L字形狀的環構件的緩衝環27,藉由減小對該空間內的流通電導,以提昇來自該空間的真空排氣的周方向的均勻性。
下面,針對於氣體供應裝置4的構成加以說明。如第1圖所示地,氣體供應裝置4是具備:與載置台3之間形成氣體的擴散空間40所用的頂板構件42,及朝該擴散空間40供應處理氣體或沖洗氣體的氣體供應噴嘴41。
如第2圖所示地,頂板構件42是如鋁所構成的大約圓板構件,構成堵住處理容器2上面側的開口部,而構成氣密的真空容器。如第1圖及第2圖所示地,在頂板構件42的上緣部設有凸緣部421。該凸緣部421是經由具有與該凸緣部421嵌合的台階的環狀支撐構件25,被載置,固定在排氣導管21的上面例。這時候,頂板構件42的側周面,是成為密接於上述支撐構件25及上述緩衝環27的內周面的狀態。又,凸緣部421是藉由未圖示的如螺栓等,裝卸自如地被鎖緊在支撐構件25。
如第1圖及第4圖所示地,在頂板構件42的下面側中央部,設有朝向載置台3側形成扇形擴展的形狀的凹部422。如第2圖所示地,凹部422是與頂板構件42的底面同心圓狀地開口,而該開口部是以相對於載置台3上的晶圓W的狀態下,開口成其投影面覆蓋晶圓W的面積的30%以上,例如72%的領域。在本實施形態中,開口部的真徑是例如255mm。又,被形成於凹部422與載置台3之間的空間,為朝晶圓W表面擴散被供應於處理容器2內的各種氣體的擴散空間40。
從凹部422的頂部有半球狀的氣體供應噴嘴41朝載置台3突出於凹部422內。該氣體供應噴嘴41,設有多數個氣體供應孔411。如第1圖,第3圖,第4圖所示地,氣體供應噴嘴41是被安裝於氣體供應管43的前端部。氣體供應管43是貫通頂板構件42的大約中央部,藉此,朝凹部422內突出氣體供應噴嘴41。又,在氣體供應管43下方側的側周部,設有凸緣部432。該凸緣部432為如以螺栓等被固定於頂板構件42上面,惟對於頂板構件42,氣體供應管43是裝卸自如者。
如第4圖所示地,氣體供應噴嘴41及氣體供應管43的內部是成為空洞。該空洞部為發揮氣體的流通空間430的作用。又,在氣體供應管43側面的基端側,固定著岐管431。藉此,經所謂岐管431→流通空間430→氣體供應孔411的路徑,成為各種氣體供應於擴散空間40內。詳細為,成為從岐管431供應Sr原料氣體,Ti原料氣體及臭氧氣體的三種類的處理氣體,還有發揮各種處理氣體的運載氣體的作用,同時供應也利用作為沖洗氣體的氣體,例如氬氣體(Ar)。
設於氣體供應噴嘴41的多數氣體供應孔411是例如在從該半徑球狀的氣體供應噴嘴41的中心位置朝徑方向延伸的直線上,沿著圍繞該氣體供應噴嘴41的凹部422的周方向進行排列並進行開口。
在此,ALD是將1層或少數層的原子層或分子層吸附於晶圓W表面並使之反應而重複形成薄膜,藉由積層該薄膜,俾將均勻的膜予以成膜的製程。因此,若原料氣體到達至晶圓W表面之際的氣體氣勢過強,則預定量以上的氣體會吸附,附著於該領域,有該領域的膜厚變厚的問題。如此,如第4圖所示地,本實施形態的氣體供應噴嘴41是在氣體供應噴嘴41的前端部未設有氣體供應孔411,而僅在比前端部還要外側的領域形成有氣體供應孔411。藉此,各氣體供應孔411是氣體供應噴嘴41對於中心軸所成的角度「θ」成為至少10°以上例如25°以上。藉此,減弱原料氣體到達至晶圓W表面之際的氣勢(針對於詳細作用效果將予下述。
又,如第3圖所示地,氣體供應噴嘴41是每一單位面積的開口數為愈在氣體供應噴嘴41前端側的愈少,而愈往基端側愈多的方式,配置氣體供應孔411。如第5圖所示地,該理由是互相地比較將位於氣體供應噴嘴41的前端側的圓形領域「S1」及具有與該領域相等面積的基端側的圓形領域「S2」投射於晶圓W面所得到的投影面「P1,P2」的面積,則基端側的圓形領域「S2」的投影面「P2」的面積比前端側的圓形領域「S1」的投影面「P1」的面積還要大。亦即,將氣體供應孔411的開口面積在前端側與基端側作成相同時,此些投影面內的每一單位面積的氣體供應孔411的開口數作成大約一致的方式,來調整被配置於各領域「S1,S2」內的氣體供應孔411的數量。或是,在前端側與基端側的氣體供應孔411的開口面積不相同時,則前端側的開口面積與基端側的開口面積之比是作成為P1:P2。亦即,成為在前端側與基端側具有比例於投影面積P1:P2的氣體供應孔411的開口面積。藉此,儘可能將到達至晶圓W表面時的氣體濃度在晶圓W面作成均勻。
如第6圖所示地,在岐管431,連接有供應各種氣體所用的氣體供應管線610、620、630。此些氣體供應管線610~630是在上游側,分別連接於各種氣體供應源61~64。
詳細地說明,Sr原料氣體供應管線610為與Sr原料供應源61連接。在該供應源61,儲藏有如Sr(THD)2 (雙四甲基庚烷二酮鍶)或Sr(Me5Cp)2 (雙五甲基環戊二烯鍶)等的液體Sr原料。當此些Sr原料被擠出至供應管線,則利用氣化器611被氣化而成為Sr原料氣體,經由該氣體的供應線610而被供應到岐管431。
又,Ti原料氣體供應管線620是與Tr原料供應源62相連接。在該供應源62,儲藏有如Ti(OiPr)2 (THD)2 (雙異丙氧雙四甲基庚烷二酮鈦)或是Ti(OiPr)2 (四異丙氧基鈦)等的液體Ti原料。與Sr原料的場合同樣地,藉由氣化器621被氣化的Ti原料氣體,成為經由該氣體的供應管線620而被供應到岐管431。
又,臭氧氣體供應路線630是與例如利用周知的臭氧發生器等所構成的臭氧氣體供應源63相連接。
Sr原料氣體供應管線610,Ti原料氣體供應管線620,及臭氧氣體供應管線630是在路經途中分別分岐,也被連接於利用氬氣體鋼瓶等所構成沖洗氣體供應源64。藉此,作為各原料氣體的運載氣體,或是作為各該處理氣體的沖洗氣體,可將氬氣體供應於各氣體供應管線610~630。
在氣體供應管線610~630與氣體供應源61~64之間,介裝有閥及流量計等所成的流量控制機器群65。由此,依據從下述的控制部7的指示,各種氣體的供應時刻及供應量成為被控制的情形。
回到成膜裝置1的裝置構成的說明,如第1圖所示地,在頂板構件42上面或排氣導管21外壁面的下面側及上面側等,設有片狀電阻發熱體等所成的加熱器44、213。此些加熱器是藉由從電源部68所供應的電力來加熱頂板構件42或排氣導管21整體,由此,成為可防止反應物對於氣體供應噴嘴41的下面或排氣導管21內面的附著。又,為了圖示的方便上,除了第1圖以外的圖式的加熱器44、213的記載是被省略。又,除了上述的加熱器44、213以外,用於防止反應物的附著的加熱器,例如也被埋設在如內凸塊26內,惟為了說明的方便上被省略了圖示。
成膜裝置1是具備:控制來自已說明的氣體供應源61~64的氣體供應動作,載置台3的昇降動作。利用真空泵67的處理容器2內的排氣動作,利用平台加熱器33及各加熱器44、213的加熱動作等的控制部7。控制部7是例如具備未圖示的CPU及記憶部的電腦所構成。在該記憶部,記錄著藉由成膜裝置1對晶圓W進行成膜處理所必需的控制,例如組裝有針對於來自氣體供應源61~64的各種氣體供應的供應切斷時刻或有關於調整供應量的控制,調整處理容器2內的真空度的控制,載置台3的昇降動作控制,各加熱器33、44、213的溫度控制等的步驟(命令)群的程式。此些用程式是被儲存於例如硬碟,小型碟,磁光碟,記憶卡等的記憶媒體,一般為由該記憶媒體裝設在記憶部。
以下,針對於採用上述氣體供應裝置4的成膜裝置1的動作加以說明。
首先,如第7圖所示地,打開搬運口28,使得外部搬運機構從搬運口28進入,把晶圓W搬入到處理容器2內。然後,經由昇降銷35,把晶圓W載置於位於交接位置的載置台3上。利用未圖示的靜電吸盤,晶圓W是被吸附固定在載置台3上。此時,利用加熱器213等,排氣導管21及內凸塊26的表面,例如分別加熱至230℃。又,利用加熱器44,處理容器2內的頂板構件42表面如被加熱至250℃。然後,搬運口28被關閉,把處理容器2內作成氣密狀態之後,利用真空泵67經由排氣導管21而將處理容器2內作成引進斷開的狀態。
如已述所示地,此時,內凸塊26是被固定在比晶圓W的交接位置(設有搬運口28的位置)還要高的位置。所以,如第7圖所示地,在將載置台3下降到晶圓W的交接位置的狀態下,下側容器22內的空間是成為與處理空間相連通(未被區劃)的狀態。所以,在真空排氣步驟中,包含下側容器22內的處理容器2內整體被真空排氣。
當處理容器2內被真空排氣一直到所定的壓力,仍繼續真空排氣之狀態下,載置晶圓W的載置台3,上昇到因應於規定對於晶圓W的處理條件的程式所選擇的處理位置。有關於本實施形態的成膜裝置1中,針對於處理位置,可變更從晶圓W表面直到頂板構件42下面的距離「h」(以下,稱為間隙)。具體來說,如第8A圖所示地從「h=40mm」的處理位置,如第8B圖所示地直到「h=8mm」的處理位置,朝上下方向自如者。當將載置台3一直上昇到所定的處理位置,則如第8A圖或第8B圖所示地,平台蓋32的側周面或從該側周面所延伸的裙部321,成為圍繞於內凸塊26的狀態,使得載置台3上方的上部空間與位於下側容器22內的空間的下部空間,藉由載置台3及內凸塊26成為互相地被區劃的狀態。
如此地,當上部空間與下部空間被區劃,則由沖洗氣體供應路222,沖洗氣體開始被導入至下側容器22內。又,藉由平台加熱器33,晶圓W的溫度被加熱到如280℃。之後,開始STO的成膜處理。又,在第7圖,第8A圖,第8B圓的各圖中,為了圖示的方便,省略記載了平台加熱器33。又,在以下,晶圓W的處理位置是作為表示於第8B圖的位置,進行說明。
利用ALD製程的STO的成膜處理,是依據表示於第9圖的氣體供應順序所實行。表示於第9圖的(a)至(d)的去白的欄是表示來自各氣體供應源61~64的各種氣體(Sr原料氣體,Ti原料氣體,臭氧氣體,沖洗氣體)的供應量。
又,第10圖是模式地表示該順序的實行中的處理容器2內的氣體流動。
如第9(a)圖所示地,依照該氣體供應順序,首先進行Sr原料氣體的供應(Sr原料氣體供應步驟)。這時候,Sr原料氣體是經氣體供應管43內的流通空間430而到達至氣體供應噴嘴41,而經氣體供應孔411被供應至擴散空間40。如第10圖所示地,噴出氣體供應噴嘴41的Sr原料氣體是放射狀地擴展至擴散空間40內而朝向載置台3上的晶圓W流動。
這時候,如第1圖所示地,在處理容器2中,圍繞擴散空間40般地配置有設於排氣導管21的真空排氣口211。所以,到達至晶圓W的原料氣體是朝向此些的真空排氣口211,流向晶圓W的徑方向。藉此,未相對於凹部422的開口部的晶圓W的周緣領域,當氣體朝向真空排氣口211流動之際,也可吸附原料氣體。亦即,除了相對於凹部422的晶圓W的領域。該周緣領域也可設想作為晶圓W的擴散空間40(處理氣氛)。如此地,藉由原料氣體朝晶圓W的徑方向流動,與側流方式相比較,原料氣體的移動距離變短。藉此,朝晶圓W的徑方向均勻地可吸附各原料氣體的分子。
在此,本實施形態的氣體供應裝置4是氣體供應孔41被容納凹部422內。藉此,例如與作為先前技術所說明的表示於第18B圖的成膜裝置101相比較,使得擴散空間40(相對於凹部422的空間及晶圓W的周緣領域上方的空間)的容積變小。該結果,以更少量的氣體供應量,可將原料氣體均勻地吸附於晶圓W的全面,也可縮短原料氣體的供應時間。
一方面,例如凹部422的開口部面積過小時,則未相對於該開口部的晶圓W的周緣領域面積變大,即,在晶圓W與頂板構件42底面之中與水平部分之間的窄小流路內,氣體被吸附於晶圓W表面的面積比率變大。若此種面積的比率變大,則將氣體供應於晶圓W全面所需時間變久。又,在氣體的流動發生偏差,而使得被供應於上述周緣領域內的氣體濃度成為不均勻的情形。
為了對應於此種顧慮,在本實施形態的處理容器2中,凹部422的開口部為構成能覆蓋晶圓W的面積的30%以上,例如70%左右的領域。由此,對於晶圓W的大部分領域,從凹部422直接供應氣體。由此,在短時間內供應均勻的氣體被保證。凹部422的開口部,是開口成能覆蓋晶圓W整體也可以。尤其是,若增加該開口部的面積,則為了增加擴散空間40的容積,也有缺點。亦即,會發生增加氣體供應量或增加氣體供應時間。與該缺點兼顧,應決定凹部422的開口部的適當大小或形狀。
又,如已說明地,本實施形態的氣體供應噴嘴41,是在除了前端部以外的領域(比前端部還要外側的領域)設有氣體供應孔411。又,如第4圖所示地,氣體供應孔411是對於氣體供應噴嘴41的中心軸(凹部422的中心軸)的角度「θ」成為10°以上,例如成為25°以上。藉由此種構造,流下流通空間430的原料氣體的流動方向會被氣體供應噴嘴41的前端部所堵住而變化。這時候,壓力損失變大,使得氣體供應噴嘴41內的壓力提昇之故,因而從前端側的氣體供應孔411朝向晶圓W的原料氣體的氣勢會減弱,而從基端側的氣體供應孔411朝向晶圓W的原料氣體的氣勢會增強。由此,可將氣體從氣體供應孔41的所有氣體供應噴嘴411均勻地噴出。又,藉由氣體供應孔411具有角度「θ」(傾斜)而開口,使得從沿著氣體噴出方向的氣體供應孔411直到晶圓W表面的距離變長。在此點上也可減弱到達晶圓W表面時的原料氣體的氣勢。利用此些作用,被抑制對於晶圓W表面的過剩量的原料氣體的吸附或附著,尤其是,抑制發生氣體供應噴嘴41正下方的領域的膜厚變厚的不方便。
又,在氣體供應噴嘴41前端部未設有氣體供應孔411。惟在該前端部正下方的晶圓W表面,藉由原料氣體的擴散(尤其是,原料氣體到達至晶圓W表面之際的流動變化)從周圍被供應原料氣體之故,因而被確認不會發生成膜上的問題。
又,如使用第3圖及第5圖所說明,在本實施形態的氣體供應噴嘴41中,氣體供應孔411的開口數是愈前端側的領域愈少而愈基端側的領域變愈多。由此,有關於從氣體供應噴嘴41按照對於晶圓W的氣體供應方向的投影面,每一單位面積的氣體供應孔411的配置個數成為大約均勻。由此,如模式地表示於第10圖,被供應於晶圓W表面的每一單位面積的氣體流線的支數變成大約相等。因此,在晶圓W面內可將原料氣體的供應濃度作成更均勻。
如此,經過所定時間,在晶圓W上形成有Sr原料氣體的吸附層之後,停止供應Sr原料氣體,如第9(d)圖所示地,從沖洗氣體供應源64供應著沖洗氣體。由此,處理氣氛,與殘留在氣體供應孔41以及氣體供應管43內的Sr原料氣體,被沖洗(Sr原料沖洗步驟)。被導進至氣體供應管43的沖洗氣體,是經由與已說明的Sr原料氣體共通的路徑,朝向真空排氣口211流動。這時候,如已說明地,除了擴散空間40的容積較小以外,與氣體蓮蓬頭相比較,氣體供應噴嘴41及氣體供應管43的尺寸也變成小型之故,因而被沖洗的Sr原料氣體的殘留量變少,亦即可減少昂貴的原料氣體的消耗量,而且該沖洗步驟所需時間也可縮短時間。
如第9圖(b)圖所示地,當結束沖洗Sr原料氣體,則供應Ti原料氣體(Ti原料氣體供應步驟)。Ti原料氣體是經與Sr原料氣體或沖洗氣體共通的路徑,被供應至擴散空間40內。又,在從相對於凹部422開口部的領域朝周緣領域流動之期間,該原料氣體均勻地被吸附在晶圓W表面。
經過所定時間,當形成有Ti原料氣體的吸附層,則停止供應Ti原料氣體,以Sr原料氣體的沖洗同樣的手法進行所殘留的Ti原料氣體的沖洗[第9(d)圖,Ti原料氣體沖洗步驟]。
之後,臭氧氣體經與各原料氣體或沖洗氣體共通的路徑被供應[第9圖(c)圖,臭氧氣體供應步驟]。在該步驟,當到達至晶圓W表面的臭氧是藉由來自平台蓋32的熱能,與已被吸附在晶圓W表面的原料氣體進行反應,而形成STO的分子層。
如此地,當所定時間供應臭氧氣體,則停止供應臭氧氣體,而以與已說明的各沖洗步驟同樣的手法,臭氧氣體被沖洗[第9(d)圖,臭氧氣體沖洗步驟]。
為了避免重複記載在各步驟的說明中並未加以說明,惟在Ti原料氣體供應步驟及臭氧氣體供應步驟中,與Sr原料氣體供應步驟同樣,在各處理氣體被供應至晶圓W表面之際,也以少供應量在短時間內實行面內均勻地供應處理氣體。又,各沖洗步驟之際被沖洗的處理氣體的殘留量也少,而在短時間內就可結束沖洗。
又,如第9圖所示地,將以上所說明的6個步驟作為1周期,而重複事先決定的該周期的次數,例如重複100次,使得STO的分子層被多層化。由此,可將具有所定膜厚的STO膜予以成膜。當結束所期望的成膜,則停止供應各種氣體,把載置有晶圓W的載置台3下降至搬運口28,使得處理容器2內的壓力回復到真空排氣前的狀態。之後,與搬入時相反的路徑,藉由外部搬運機構把晶圓W搬出。由此,結束一連串的成膜動作。
依照本實施形態的氣體供應裝置4,具有以下的效果。亦即,該氣體供應裝置4是使用小型又構造簡單的氣體供應噴嘴41來供應處理氣體之故,因而與例如重疊複數板的構造的氣體蓮蓬頭等相比較容易製作,有助於減低氣體供應裝置4及成膜裝置1的製造成本。
又,該氣體供應噴嘴41是從扇形展開的形狀地所形成的凹部422頂部突出地設於頂板構件42的下方側,而且例如該噴嘴41整體被容納於該凹部422內之故,因而可減小被形成於與載置台3之間的擴散空間40的容積。由此,與例如氣體蓮蓬頭型的氣體供應裝置相比較,可抑制處理氣體的供應量或供應時間。又,也可抑制沖洗步驟所需的時間。因此,有助於減低變動成本或是提昇處理的生產量。
又,設於氣體供應裝置4的凹部422的形狀,並不被限定在例示於上述的實施形態中的成為推拔面的情形。例如,如第11A或第11B圖所示地,凹部422的斷面形狀以曲線所規定者也可以。又,如第11C圖所示地,凹部422的斷面形狀成為台階狀地可以。
又,如第11D圖所示地,氣體供應噴嘴41整體未被容納於凹部422內,例如為該前端部從凹部422鼓出也可以。若僅氣體供應噴嘴41的一部分被容納於凹部422內,與表示於第18B圖的情形相比較,處理氣氛的容積變小,而可得到刪減處理氣體供應量或是縮短沖洗時間等的效果。
又,氣體供應噴嘴41,及/或氣體供應孔411的形狀,也並不被限定於例示在上述實施形態中者。例如如第12A圖所示地,採用著水平方向的斷面形狀為多角形的氣體供應噴嘴41a也可以。
又,如第12B圖所示地,採用著從側方觀看的形狀為長方形(例如整體形狀為圓柱或長方體)的氣體供應噴嘴41b也可以,或是如第12C圖,第12D圖,第12E圖所示地,採用著從側方觀看的形狀為大約圓錐形狀的氣體供應噴嘴41c,41d,41e也可以。
又,如第12F圖所示地,設有被穿設成開縫狀的氣體供應孔411a也可以,或是設有因應於位置會使開口徑變更的氣體供應孔411b也可以。
又,如第12G圖所示地,處理氣體一面打著漩渦一面供應的方式,對於氣體供應噴嘴41g壁面的法線方向歪斜地設有氣體供應孔411c也可以。又,氣體從各供應孔一面擴展一面供應的方式,設有推拔狀的氣體供應孔411d也可以。
如以上的各種凹部422的形狀及氣體供應噴嘴41、41a~41g的態樣,是例如按照處理氣體的種類或製程條件,適當地被選擇而被組裝於氣體供應裝置4。
如使用第1圖加以說明地,頂板構件42是對於處理容器2裝卸自如地所構成。又,如第4圖所示地,氣體供應管43也對於頂板構件42成為裝卸自如的情形。所以,例如處理氣體的種類或製程條件被變更,而產生必須將凹部42或氣體供應噴嘴41變更成適合於新製程者的情形,則僅更換必須變更的部分就可以。由此,可減低氣體供應裝置4的改造成本。
尤其是,如第4圖所示地,氣體供應噴嘴41是比頂板構件42還要小型,且與氣體供應管43一起簡單地可作成裝卸。所以,例如即使在定期性地清掃附著於內部的粒子的情形,也不必拆下頂板構件而開啟處理容器2,維修性上優異。又在氣體供應噴嘴41與氣體供應管43上刻上螺紋而作成互相地螺合等,將氣體供應噴嘴41構成可從氣體供應管43裝卸自如者,則更提昇維修性。又,如第13圖,第14圖所示地,例如氣體供應裝置4是將氣體供應噴嘴41h與氣體供應管43a製作成為獨立的構件也可以。這時候,例如經由穿設頂板構件42的流通空間430a,也可連接著氣體供應噴嘴41h與氣體供應管43a。在這時候,氣體供應噴嘴41h是例如經由設於該基端部的凸緣部412,藉由螺栓413等可被固定在頂板構件42的底面。又,視需要當然也可採用被固定於頂板構件42的型式的氣體供應噴嘴41。
下面,針對於第2實施形態的氣體供應裝置4a,一面參照第15圖至第17圖一面加以說明。在第15圖至第17圖的各圖中,具有與表示於第1圖至第10圖等的第1實施形態的氣體供應裝置4相同功能的構成要素,賦予與第1實施形態的氣體供應裝置4同様的符號。
如第15圖至第17圖所示地,第2實施形態的氣體供應裝置4a是氣體供應噴嘴41i的噴嘴本體形狀成為扁平的圓板形狀之處,與氣體供應噴嘴41的形狀成為第1實施形態的氣體供應裝置4不相同。
詳細地說明,在第2實施形態的氣體供應裝置4a中,例如被形成直徑D為100mm,高度h'為10mm的圓板形狀的氣體供應噴嘴41i從設於頂板42下面側的凹部422的頂部朝向載置台3側突出。又,在該圓形狀的氣體供應噴嘴41i的底面及側面,設有多數個氣體供應孔411,而從氣體供應管43所供應的處理氣體是如第17圖所示地,經由此些的氣體供應孔411被供應於擴散空間40內。
如此地藉由將氣體供應噴嘴41i作成扁平形狀,例如即使減小處理空間40的容積,也可將氣體供應噴嘴41i整體容易容納於凹部422內,可得到供應處理氣體或縮短沖洗時間。例舉具體例,則如第11D圖所示的氣體供應裝置4,減小處理空間40的容積的結果,與半球形狀的氣體供應噴嘴41從凹部422鼓出的狀態的氣體供應裝置4相比較,如第17圖所示地,成為可滅小從凹部422的頂部直到氣體供應噴嘴41i的底面的高度(圖中記載為「h'」)與從氣體供應噴嘴41i的底面直到晶圓W的距離(圖中記載為「h」的合計「h'+h」,而可更減小處理空間40的容積。又,如第11D圖所示地,氣體供應噴嘴41從凹部422鼓出的情形,間隙是以氣體供應噴嘴41的下端作為基準,直到晶圓W表面的距離被調整成為事先所設定的範圍內的數值。
又,氣體供應噴嘴41i成為扁平形狀的情形,藉此,一面抑制增大氣體供應噴嘴41i內的空間的容積之下,可增大同一噴嘴41i的外表面積。該結果,例如與氣體蓮蓬頭相比較,氣體供應噴嘴41i成為氣體供應管43的尺寸變小,使得內部的氣體置換成為容易。另一方面,與半球形狀的氣體供應噴嘴41相比較,從更廣範圍朝晶圓W可供應處理氣體。尤其是,在本實施形態的氣體供應噴嘴41i,不僅在底面而且在側面也形成有氣體供應孔411之故,因而處理氣體的供應面更擴大,沿著凹部422的扇形展開的面,可將處理氣體擴散至更廣的領域。換言之,扁平形狀地所形成的氣體供應噴嘴41i是兼備所謂內部容積較小的噴嘴的優點,與所謂處理氣體的供應面較廣的氣體蓮蓬頭的優點,以更短時間朝可實行處理氣體的供應或沖洗。
又,如第17圖所示地,此些多數的氣體供應孔411是與第1實施形態的氣體供應噴嘴41同樣地,並未設置在氣體供應噴嘴41i底面的中心部,而僅僅配置於外側領域。藉此,各氣體供應孔411是對於經圓板底面的中心的中心軸所成的角度「θ」至少成為10°以上的例如35°上。藉由此種構成,在氣體供應噴嘴41i底面的中心領域(位於氣體供應管43正下方),處理氣體的流動被堵住而減弱從氣體供應孔411所噴出的處理氣體的氣勢,一方面,在氣體供應噴嘴41i的周緣領域中,處理氣體的流動在上述中心領域被堵住的結果,會提高該噴嘴41i內部的壓力之故,因而會強化從氣體供應孔411所噴出的處理氣體的氣勢會增強。此些結果,從整體的氣體供應孔411可均勻地噴出處理氣體。
又,此些的氣體供應孔411是與第1實施形態的氣體供應噴嘴41同樣地,愈氣體供應噴嘴41i的前端側(在本例中噴嘴41i底面的中央領域側),愈每一單位面積的開口數愈少,配置成愈接近基端側(在本例中從噴嘴41i底面的周緣直到側面的領域側)愈多。由此,如使用第5圖已說明地,可將處理氣體到達至晶圓W表面時的處理氣體濃度,在晶圓W面內儘可能作成均勻。在此,在各氣體供應孔411的開口面積可不相同的情形,在前端側與基端側,將該開口面積的比率,按照表示於第5圖的投影面積的比率P1:P2就可以。針對於此點,與第1實施形態的氣體供應噴嘴41同樣。
又,扁平形狀地所形成的氣體供應噴嘴41i,是並不被限定於如第15圖所示地從底面側觀看的平面形狀為圓形的圓板形狀。例如氣體供應噴嘴41i的平面形狀是多角形或橢圓形等也可以。
還有,氣體供應孔411是並不被限定於設在扁平的氣體供應噴嘴41i的底面及側面雙方的情形,例如僅在噴嘴本體底面設有氣體供應孔411的情形也包含於本發明的技術性範圍。此時,從噴嘴本體底面稍突出設於底面的氣體供應孔411的前端部,而朝扁形展開形狀的凹部422的底,藉此噴出氣體等,也可與將氣體供應孔411設於噴嘴本體的側面的情形大約同樣地供應氣體。
在上述的成膜裝置1中,針對於將Sr原料與Ti原料及臭氧氣體作為處理氣體而將STO的薄膜予以成膜的情形加以說明,惟在該成膜裝置1,可成膜的薄膜的種類並不被限定於此者。例如將TEMAZ與臭氧氣體或水蒸汽作為處理氣體,而適用於成膜氧化鋯的薄膜的製程等也可以。又,可適用於該成膜裝置1的製程種類,也並不被限定於ALD或MLD者,而是連續供應原料氣體與反應氣體的一般型式的CVD製程也可以。
又,在上述實施形態中,作為被處理體以半導體晶圓採用作為例子加以說明,但不限於此,在玻璃基板,LCD基板,陶瓷基板等也可適用本發明。
<實施例> (模擬)
製作第1實施形態的氣體供應裝置4的模型,並模擬供應處理氣體時與供應沖洗氣體時的處理氣氛內的處理氣體濃度分佈。
A.模擬條件
將已說明Sr原料,Ti原料的溶媒的甲苯揮發到運載氣體的氬氣體中的氣體,作為處理氣體的模型氣體。將處理氣氛內的溫度作為230℃,而將處理氣氛出口的壓力作為45Pa。
(實施例1-1)
如第18圖所示地,在上述實施形態的成膜裝置1中,製作以該空間的中央位置作為基準而朝周方向4分割氣體所流通的空間[流通空間430,氣體供應噴嘴41內部,及擴散空間40(處理氣氛)]的模型空間。又,供應處理氣體而進行將該模型空間內的處理氣體的濃度從0vol%上昇直到4.0vol%的模擬。
這時候,開始供應處理氣體經0.01秒鐘後的時刻的處理氣體的濃度分佈被求得。在供應處理氣體之際,為了防止該氣體的逆擴散,反向氣體與處理氣體同時地被供應。在第18圖中,在實線箭頭所表示的位置供應處理氣體,而在虛線的箭頭所示的位置供應反向氣體。
處理氣體供應量:
甲苯 0.1g/min
氬氣體 500mL/min(sccm)
反向氣體供應量:
氬氣體 1000mL/min(sccm)
(實施例1-2)
與(實施例1-1)相同條件,開始供應處理氣體經0.1秒鐘後的時刻的處理氣體的濃度分佈被求得。
(實施例2-1)
在以(實施例1-1)及(實施例1-2)的條件供應的處理氣體之後的模型空間,供應沖洗氣體,進行將處理氣體濃度從4.0vol%一直降低到0vol%的模擬。
開始供應處理氣體經0.01秒鐘後的時刻的處理氣體的濃度分佈被求得。第18圖的實線(以下,稱為處理氣體線),虛線(以下,稱為反向氣體線)的任一都可供應作為沖洗氣體的氬氣體。
處理氣體線供應量:
氬氣體 500mL/min(sccm)
反向氣體供應量:
氬氣體 1500mL/min(sccm)
(實施例2-2)
在與(實施例2-1)相同條件下,開始供應處理氣體經0.1秒鐘後的時刻的處理氣體的濃度分佈被求得。
(實施例2-3)
在與(實施例2-1)相同條件下,開始供應處理氣體經0.5秒鐘後的時刻的處理氣體的濃度分佈被求得。
B.模擬結果
將(實施例1-1)至(實施例1-2)的模擬結果,表示於第19A圖及第19B圖,而將(實施例2-1)至(實施例2-3)的模擬結果,表示於第20A至第20C圖。
在此些圖中,針對於表示於第18圖的模型空間的底面(相當於晶圓W的表面),以等濃度線區劃顯示處理氣體的面內濃度分佈。表示於各圖的數值是表示該區劃內的氣體濃度[vol%]的範圍。
實際的模擬結果,是藉由電腦圖形,使得濃度分佈以等級顯示的彩色畫面被輸出,惟圖示的方便上,在上述各圖中,表示概略的濃度分佈。亦即,在此些圖中,鄰接的區劃領域間的濃度範圍有未連續的部位,實際上濃度分佈並不是成為分散,而是指在此些領域間存在著陡峻的濃度坡度。
如第19A圖,第19B圖所示地,依照(實施例1-1)至實施例1-2)的結果,開始導入處理氣體後在0.01秒鐘,使得晶圓W表面的大約一半的領域上昇到3.5vol%至4.0vol%。又,開始導入處理氣體後在0.1秒鐘,使得晶圓W表面的大部分的領域上昇至4.0vol%的目標濃度。亦即,短時間可均勻地供應處理氣體,確認具有充分的實用性。
又,如第20A圖,第20B圖,第20C圖所示地,依照(實施例2-1)至(實施例2-3)的結果,開始供應沖洗氣體後,在0.1秒鐘的時刻,使得晶圓W表面的氣體濃度降低到接近0%(4.0×10-5 ~8.0×10-5 vol%)為止(第20B圖,實施例2-2),而被確認在短時間內可進行大約完全的沖洗。
藉由以上的結果,表示於上述實施形態的處理容器2,是可說是在短時間內均勻地供應沖洗處理氣體。
(模擬2)
製作第2實施形態的氣體供應裝置4a的模型,模擬供應處理氣體時的處理氣氛內的處理氣體濃度分佈,而與第1實施形態的氣體供應裝置4模型的模擬結果相比較。
A.模擬條件
模型氣體,處理氣氛內的溫度及壓力,是與(模擬1)同樣。
(實施例3-1)
由與第18圖同樣的想法,製作表示於第15圖至第17圖的第2實施形態的氣體供應裝置4a的模型空間,進行將處理氣體的濃度從0.0vol%直到上昇為4.0vol%的模擬。這時候,求得開始供應處理氣體之後,經0.007秒鐘後的時刻的處理氣體的濃度分佈。針對於處理氣體的供應量,反向氣體的供應量等的條件,與(實施例1-1)同樣。
(實施例3-2)
在與(實施例3-1)相同條件下,開始供應處理氣體經0.01秒鐘後的時刻的處理氣體的濃度分佈被求得。
(實施例3-3)
在與(實施例3-1)相同條件下,開始供應處理氣體經0.02秒鐘後的時刻的處理氣體的濃度分佈被求得。
B.模擬結果
將(實施例3-1)至(實施例3-3)的模擬結果,表示於第21A圖及第21C圖。在此些圖,與前文所述的第19A圖至第20C圖同樣地,以等濃度線區劃顯示模型空間的底面(晶圓W的表面)的處理氣體的面內濃度分佈。為了避免圖式顯示變煩雜,在第21A及第21B圖中,省略了局部濃度範圍的顯示。
如第21A圖至第21C圖所示地,依照(實施例3-1)至(實施例3-3)的結果,開始導入處理氣體後,僅在0.007秒鐘,出現1.5vol%至2.0vol%的領域,而在開始導入處理氣體後在0.01秒鐘,該領域的濃度是上昇至2.5vol%至3.0vol%。又,開始導入處理氣體後0.02秒鐘,整體的大約1/3領域的濃度上昇至目標為4.0vol%,在該階段1.0vol%以下的濃度領域是幾乎不會留下。又,開始導入處理氣體後0.1秒鐘,確認晶圓W表面的大部分領域為上昇至4.0vol%的目標濃度(圖示是省略)。由此些結果,第2實施形態的氣體供應裝置4a是與第1實施形態的情形同樣地,可說具備充分的實用性。
1...成膜裝置
2...處理容器
3...載置台
4...氣體供應裝置
31...平台
32...平台蓋
33...平台加熱器
34,25...支撐構件
35...昇降銷
21...排氣導管
22...下側容器
23...昇降板
24...波紋管
26...內凸塊
28...搬運口
29...排氣管
40...擴散空間
41...氣體供應噴嘴
42...頂板構件
43...氣體供應管
44,213...加熱器
61~64...氣體供應源
65...流量控制機器群
68...電源部
69...昇降機構
221...貫通孔
222...沖洗氣體供應路
211...真空排氣口
212...隔熱構件
223...側壁部
261...凸塊蓋
262...突起緣
321...裙部
252...中間環體
411...氣體供應孔
421...凸緣部
422...凹部
430...流通空間
431...岐管
610,620,630...氣體供應管線
第1圖是表示本發明的一貫施形態的成膜裝置的縱斷面圖。
第2圖是表示從下面側觀看設於上述成膜裝置的氣體供應裝置的立體圖。
第3圖是表示第2圖的氣體供應裝置的氣體供應噴嘴的擴大立體圖。
第4圖是表示第2圖的氣體供應裝置的縱斷面圖。
第5圖是表示將第2圖的氣體供應噴嘴相對於晶圓的狀態的擴大側面圖。
第6圖是表示上述成膜裝置的氣體供應路徑圖。
第7圖是表示上述成膜裝置的第1作用圖。
第8A圖及第8B圖是表示上述成膜裝置的第2作用圖。
第9圖是表示利用上述成膜裝置的成膜處理的氣體供應順序圖。
第10圖是表示上述成膜裝置的第3作用圖。
第11A圖至第11D圖是表示上述氣體供應裝置的變形例。
第12A圖至第12G圖是表示上述氣體供應噴嘴的變形例。
第13圖是表示上述氣體供應裝置的第2變形例。
第14圖是表示上述第2變形例的氣體供應裝置的氣體供應噴嘴的擴大立體圖。
第15圖是表示從下面側觀看第2實施形態的氣體供應裝置的立體圖。
第16圖是表示第15圖的氣體供應裝置的縱斷立體圖。
第17圖是表示第15圖的氣體供應裝置的縱斷面圖。
第18圖是表示在實施例的模擬所使用的模型空間的立體圖。
第19A圖及第19B圖是表示第1實施例的結果的說明圖。
第20A圖至第20C圖是表示第2實施例的結果的說明圖。
第21A圖至第21C圖是表示第3實施例的結果的說明圖。
第22A圖及第22B圖是表示具有氣體供應噴嘴的成膜裝置的參考例的說明圖。
1...成膜裝置
2...處理容器
3...載置台
4...氣體供應裝置
7...控制部
31...平台
32...平台蓋
33...平台加熱器
34,25...支撐構件
35...昇降銷
36...昇降構件
21...排氣導管
22...下側容器
23...昇降板
24...波紋管
26...內凸塊
27...緩衝環
28...搬運口
29...排氣管
40...擴散空間
41...氣體供應噴嘴
42...頂板構件
43...氣體供應管
44,213...加熱器
66...沖洗氣體供應源
67...真空泵
68...電源部
69...昇降機構
221...貫通孔
222...沖洗氣體供應路
223...側壁部
211...真空排氣口
212...隔熱構件
213...加熱器
252...中間環體
261...凸塊蓋
262...突起緣
321...裙部
421...凸緣部
422...凹部
W...晶圓

Claims (12)

  1. 一種氣體供應裝置,是屬於相對向地配置在被載置於處理容器內的載置台的基板,對於上述基板供應用於進行處理的處理氣體的氣體供應裝置,其特徵為:具備:堵塞上述處理容器的上面側之開口部而構成上述處理容器之頂棚部分的頂板構件;及從上述凹部的頂部突出於該凹部內,沿著該凹部的周方向具有複數氣體供應孔的氣體供應噴嘴,上述頂板構件,在與上述載置台上的基板相對向的位置,為了構成氣體的擴散空間,具有朝上述載置台扇形展開的傾斜面而形成的凹部,上述氣體供應噴嘴是具有:扁平形狀的噴嘴本體,及設於該噴嘴本體的至少底面,且形成於除了底面中心以外之外側區域的氣體供應孔。
  2. 一種氣體供應裝置,是屬於相對向地配置在被載置於處理容器內的載置台的基板,對於上述基板供應用於進行處理的處理氣體的氣體供應裝置,其特徵為:具備:堵塞上述處理容器的上面側之開口部而構成上述處理容器之頂棚部分的頂板構件;及從上述凹部的頂部突出於該凹部內,沿著該凹部的周方向具有複數氣體供應孔的氣體供應噴嘴,上述頂板構件,在與上述載置台上的基板相對向的位 置,為了構成氣體的擴散空間,具有朝上述載置台扇形展開的傾斜面而形成的凹部,上述氣體供應噴嘴是隨著從前端部側朝基端部側,該氣體供應噴嘴的表面的每一單位面積的氣體供應孔的開口數變多。
  3. 如申請專利範圍第1項或第2項所述的氣體供應裝置,其中,上述氣體供應噴嘴是設於貫通上述頂板構件的氣體供應管的前端部,上述氣體供應噴嘴及上述氣體供應管,是對於複數處理氣體為共通。
  4. 如申請專利範圍第1項或第2項所述的氣體供應裝置,其中,上述氣體供應管是對於上述頂板構件裝卸自如地構成。
  5. 如申請專利範圍第1項或第2項所述的氣體供應裝置,其中,上述氣體供應孔是對於上述凹部的中心軸,至少具有10度的傾斜進行開口。
  6. 一種成膜裝置,是在被載置於處理容器內的載置台上的基板,供應互相不相同的複數處理氣體而反應此些處理氣體,並將薄膜成膜於上述基板的表面的成膜裝置,其特徵為:具備: 具有載置有基板的載置台的處理容器;及申請專利範圍第1項至第5項中任一項所述的氣體供應裝置。
  7. 如申請專利範圍第6項所述的成膜裝置,其中,具備:將控制訊號輸出至裝置各部的控制部,係進行交互地進行作為第1處理氣體供應原料氣體而吸附於基板的階段,及作為第2處理氣體供應與上述原料氣體反應的反應氣體而將反應生成物生成在基板上的階段,同時在上述兩階段之期間,將處理氣氛藉由沖洗氣體進行沖洗的階段。
  8. 如申請專利範圍第6項所述的成膜裝置,其中,設有連通於上述氣體供應裝置的上述擴散空間,從側方排氣該擴散空間內的氣氛所用的真空排氣路。
  9. 如申請專利範圍第6項所述的成膜裝置,其中,上述凹部的上述載置台側的開口部是該開口部的投影面成為覆蓋被載置於上述載置台上的基板的面積的30%以上的領域。
  10. 一種成膜方法,是在被載置於處理容器內的載置台上的基板,供應互相不相同的複數處理氣體而反應此些處理氣體,並將薄膜成膜於上述基板的表面的成膜方法,其特徵為:具備:將基板載置於處理容器內的載置台上的載置步驟;及 利用申請專利範圍第1項至第5項中任一項所述的氣體供應裝置而供應處理氣體的處理氣體供應步驟。
  11. 如申請專利範圍第10項所述的成膜方法,其中,上述處理氣體供應步驟是成為交互地進行作為第1處理氣體供應原料氣體而吸附於基板的階段,及作為第2處理氣體供應與上述原料氣體反應的反應氣體而將反應生成物生成在基板上的階段,又,在上述兩階段之期間,將處理氣氛藉由沖洗氣體進行沖洗的階段的方式。
  12. 如申請專利範圍第10項所述的成膜方法,其中,又具備從側方真空排氣上述氣體供應裝置的上述擴散空間內的氣氛的步驟。
TW098105597A 2008-02-20 2009-02-20 A gas supply device, a film forming apparatus, and a film forming method TWI498988B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008039289 2008-02-20

Publications (2)

Publication Number Publication Date
TW200952109A TW200952109A (en) 2009-12-16
TWI498988B true TWI498988B (zh) 2015-09-01

Family

ID=40985609

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098105597A TWI498988B (zh) 2008-02-20 2009-02-20 A gas supply device, a film forming apparatus, and a film forming method

Country Status (6)

Country Link
US (1) US8945306B2 (zh)
JP (1) JP5233734B2 (zh)
KR (1) KR101204614B1 (zh)
CN (1) CN101772833B (zh)
TW (1) TWI498988B (zh)
WO (1) WO2009104732A1 (zh)

Families Citing this family (367)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101204614B1 (ko) * 2008-02-20 2012-11-23 도쿄엘렉트론가부시키가이샤 가스 공급 장치, 성막 장치, 및 성막 방법
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
JP5304061B2 (ja) * 2008-07-09 2013-10-02 東京エレクトロン株式会社 プラズマ処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) * 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110120375A1 (en) * 2009-11-23 2011-05-26 Jusung Engineering Co., Ltd. Apparatus for processing substrate
US20130052369A1 (en) * 2010-05-06 2013-02-28 Oerlikon Solar Ag, Truebbach Plasma reactor
JP5572515B2 (ja) * 2010-10-15 2014-08-13 東京エレクトロン株式会社 成膜装置および成膜方法
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US20130133701A1 (en) * 2011-11-28 2013-05-30 Intermolecular, Inc. Method and apparatus for dispensing an inert gas
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
JP5788349B2 (ja) * 2012-03-19 2015-09-30 東京エレクトロン株式会社 めっき処理装置、めっき処理方法および記憶媒体
JP5929429B2 (ja) * 2012-03-30 2016-06-08 東京エレクトロン株式会社 成膜装置
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
JP6123208B2 (ja) * 2012-09-28 2017-05-10 東京エレクトロン株式会社 成膜装置
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP6196078B2 (ja) * 2012-10-18 2017-09-13 株式会社アルバック 成膜装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP5951542B2 (ja) * 2013-03-28 2016-07-13 住友重機械工業株式会社 成膜装置
WO2014178160A1 (ja) 2013-04-30 2014-11-06 東京エレクトロン株式会社 成膜装置
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6258657B2 (ja) 2013-10-18 2018-01-10 東京エレクトロン株式会社 成膜方法および成膜装置
FR3016640A1 (fr) * 2014-01-23 2015-07-24 Aton Ind Chambre a vide pourvue d'une cloison inclinee
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6379550B2 (ja) 2014-03-18 2018-08-29 東京エレクトロン株式会社 成膜装置
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5944429B2 (ja) * 2014-03-20 2016-07-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
CN105981135A (zh) * 2014-03-26 2016-09-28 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法及记录介质
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10465288B2 (en) * 2014-08-15 2019-11-05 Applied Materials, Inc. Nozzle for uniform plasma processing
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5800969B1 (ja) * 2014-08-27 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
US10262876B2 (en) * 2015-02-16 2019-04-16 SCREEN Holdings Co., Ltd. Substrate processing apparatus
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP5961297B1 (ja) * 2015-03-26 2016-08-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
DE102017203255A1 (de) * 2016-03-02 2017-09-07 Veeco Instruments Inc. Peripheriespülverschluss -und flusssteuerungssysteme und -verfahren
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
TWI623052B (zh) * 2016-12-02 2018-05-01 Nat Chung Shan Inst Science & Tech Fluid uniform device
JP2018095916A (ja) * 2016-12-13 2018-06-21 株式会社日立国際電気 基板処理装置、リソグラフィ用テンプレートの製造方法、プログラム
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP6988083B2 (ja) * 2016-12-21 2022-01-05 東京エレクトロン株式会社 ガス処理装置及びガス処理方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
JP6308318B2 (ja) * 2017-04-06 2018-04-11 東京エレクトロン株式会社 成膜装置
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
JP6597732B2 (ja) * 2017-07-24 2019-10-30 東京エレクトロン株式会社 ガス処理装置
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
CN107587117B (zh) 2017-08-16 2019-06-11 武汉华星光电半导体显示技术有限公司 一种气体扩散装置
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102283206B1 (ko) * 2017-11-03 2021-07-30 주식회사 원익아이피에스 기판처리 장치의 반응기
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
JP7012613B2 (ja) * 2018-07-13 2022-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7249744B2 (ja) * 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
JP7119747B2 (ja) * 2018-08-10 2022-08-17 東京エレクトロン株式会社 ガス処理装置及びガス処理方法
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7325258B2 (ja) * 2019-08-14 2023-08-14 株式会社ディスコ エキスパンド装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11236424B2 (en) * 2019-11-01 2022-02-01 Applied Materials, Inc. Process kit for improving edge film thickness uniformity on a substrate
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11447866B2 (en) * 2020-06-17 2022-09-20 Applied Materials, Inc. High temperature chemical vapor deposition lid
CN111593311A (zh) * 2020-06-23 2020-08-28 北京北方华创微电子装备有限公司 用于半导体工艺设备中的靶材和半导体工艺设备
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112420731B (zh) * 2020-11-17 2021-12-17 长江存储科技有限责任公司 在深孔中形成薄膜层的方法及半导体器件的制备方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102566668B1 (ko) * 2021-06-02 2023-08-17 주식회사 아이에스티이 표시장치의 기판을 퍼지하기 위한 디퓨저 구조체
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115537778A (zh) * 2022-09-26 2022-12-30 盛吉盛(宁波)半导体科技有限公司 一种用于晶圆处理设备的进气装置及晶圆处理设备

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW501168B (en) * 2000-03-30 2002-09-01 Tokyo Electron Ltd Method of and apparatus for tunable gas injection in a plasma processing system
TW563166B (en) * 1999-10-12 2003-11-21 Tokyo Electron Ltd Treatment method
JP2004288899A (ja) * 2003-03-24 2004-10-14 Tokyo Electron Ltd 成膜方法および基板処理装置
JP2005507030A (ja) * 2001-10-26 2005-03-10 アプライド マテリアルズ インコーポレイテッド 原子層堆積のためのガス配送装置
JP2006245533A (ja) * 2005-03-02 2006-09-14 Samsung Electronics Co Ltd 高密度プラズマ化学気相蒸着装置
TW200712253A (en) * 2005-02-22 2007-04-01 Fujikura Ltd Film forming apparatus and film forming method
JP2007243138A (ja) * 2006-02-13 2007-09-20 Tokyo Electron Ltd 基板処理装置及び基板処理方法
TW200745370A (en) * 2006-04-24 2007-12-16 Tokyo Electron Ltd Method for depositing Ti film
TW200806094A (en) * 2005-11-29 2008-01-16 Tokyo Electron Ltd Plasma treating apparatus
TW200806807A (en) * 2006-04-04 2008-02-01 Tokyo Electron Ltd Film-forming apparatus, exhaust structure thereof, and method for processing exhaust gas

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57114225A (en) * 1981-01-07 1982-07-16 Toshiba Corp Manufacturing device of semiconductor
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4417692A (en) * 1982-04-26 1983-11-29 Western Electric Co., Inc. Vapor-phase axial deposition torch
JPH01129973A (ja) * 1987-11-13 1989-05-23 Hitachi Ltd 反応処理装置
US5185067A (en) * 1989-07-10 1993-02-09 Tdk Corporation Process for manufacturing diamond-like thin film
JPH0636409B2 (ja) * 1989-12-28 1994-05-11 大日本スクリーン製造株式会社 光照射型気相処理装置
JP2949852B2 (ja) * 1990-12-21 1999-09-20 富士通株式会社 気相処理装置
JPH073462A (ja) * 1993-06-17 1995-01-06 Murata Mfg Co Ltd Cvd装置用ガス噴出ノズル
US5585968A (en) * 1993-12-01 1996-12-17 International Business Machines Corporation Optical elements having regions of different indices of refraction and method of fabricating the same
US5889651A (en) * 1995-05-31 1999-03-30 Kabushiki Kaisha Toshiba Circuit board cooling apparatus
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
JP3297857B2 (ja) * 1995-12-27 2002-07-02 東京エレクトロン株式会社 クラスタツール装置
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6228781B1 (en) * 1997-04-02 2001-05-08 Applied Materials, Inc. Sequential in-situ heating and deposition of halogen-doped silicon oxide
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
JP4221077B2 (ja) * 1998-05-16 2009-02-12 昭和技研有限会社 高所作業方法および高所作業装置
US6383330B1 (en) * 1999-09-10 2002-05-07 Asm America, Inc. Quartz wafer processing chamber
JP2001118803A (ja) * 1999-10-22 2001-04-27 Seiko Instruments Inc 半導体製造装置
US6796517B1 (en) * 2000-03-09 2004-09-28 Advanced Micro Devices, Inc. Apparatus for the application of developing solution to a semiconductor wafer
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
KR100413145B1 (ko) * 2001-01-11 2003-12-31 삼성전자주식회사 가스 인젝터 및 이를 갖는 식각 장치
US20020179247A1 (en) * 2001-06-04 2002-12-05 Davis Matthew F. Nozzle for introduction of reactive species in remote plasma cleaning applications
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
KR100432378B1 (ko) * 2001-08-30 2004-05-22 주성엔지니어링(주) Hdp-cvd 장치
JP2003201566A (ja) * 2002-01-08 2003-07-18 Mitsubishi Electric Corp 化学気相堆積装置
JP2004091874A (ja) 2002-08-30 2004-03-25 Tokyo Electron Ltd 成膜方法
WO2005067022A1 (ja) * 2003-12-26 2005-07-21 Tadahiro Ohmi シャワープレート、プラズマ処理装置、及び製品の製造方法
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP4915981B2 (ja) 2005-07-14 2012-04-11 エスペック株式会社 熱処理装置
US20070187363A1 (en) 2006-02-13 2007-08-16 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP4768584B2 (ja) * 2006-11-16 2011-09-07 財団法人山形県産業技術振興機構 蒸発源およびこれを用いた真空蒸着装置
US20080156631A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
KR101204614B1 (ko) * 2008-02-20 2012-11-23 도쿄엘렉트론가부시키가이샤 가스 공급 장치, 성막 장치, 및 성막 방법
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW563166B (en) * 1999-10-12 2003-11-21 Tokyo Electron Ltd Treatment method
TW501168B (en) * 2000-03-30 2002-09-01 Tokyo Electron Ltd Method of and apparatus for tunable gas injection in a plasma processing system
JP2005507030A (ja) * 2001-10-26 2005-03-10 アプライド マテリアルズ インコーポレイテッド 原子層堆積のためのガス配送装置
JP2004288899A (ja) * 2003-03-24 2004-10-14 Tokyo Electron Ltd 成膜方法および基板処理装置
TW200712253A (en) * 2005-02-22 2007-04-01 Fujikura Ltd Film forming apparatus and film forming method
JP2006245533A (ja) * 2005-03-02 2006-09-14 Samsung Electronics Co Ltd 高密度プラズマ化学気相蒸着装置
TW200806094A (en) * 2005-11-29 2008-01-16 Tokyo Electron Ltd Plasma treating apparatus
JP2007243138A (ja) * 2006-02-13 2007-09-20 Tokyo Electron Ltd 基板処理装置及び基板処理方法
TW200806807A (en) * 2006-04-04 2008-02-01 Tokyo Electron Ltd Film-forming apparatus, exhaust structure thereof, and method for processing exhaust gas
TW200745370A (en) * 2006-04-24 2007-12-16 Tokyo Electron Ltd Method for depositing Ti film

Also Published As

Publication number Publication date
JP5233734B2 (ja) 2013-07-10
JP2009224775A (ja) 2009-10-01
KR20100124198A (ko) 2010-11-26
CN101772833A (zh) 2010-07-07
US20100310772A1 (en) 2010-12-09
TW200952109A (en) 2009-12-16
WO2009104732A1 (ja) 2009-08-27
KR101204614B1 (ko) 2012-11-23
US8945306B2 (en) 2015-02-03
CN101772833B (zh) 2012-04-18

Similar Documents

Publication Publication Date Title
TWI498988B (zh) A gas supply device, a film forming apparatus, and a film forming method
TWI662640B (zh) 氣體供應單元及包括氣體供應單元的基板處理裝置
TWI416645B (zh) Film forming apparatus and film forming method
TWI671792B (zh) 基板處理設備
TWI332997B (en) Gas distribution showerhead featuring exhaust apertures
TWI506159B (zh) 成膜裝置
TWI512133B (zh) 成膜裝置、基板處理裝置、成膜方法及記錄媒體
JP5253932B2 (ja) 成膜装置、基板処理装置、成膜方法及び記憶媒体
TWI494464B (zh) 成膜裝置
JP5280964B2 (ja) 成膜装置、基板処理装置、成膜方法及び記憶媒体
US20100272895A1 (en) Film deposition apparatus, film deposition method, storage medium, and gas supply apparatus
US20030098372A1 (en) Multi-sectored flat board type showerhead used in CVD apparatus
WO2009119500A1 (ja) ガス供給装置、処理装置、処理方法、及び記憶媒体
TW201026882A (en) Film deposition apparatus, substrate process apparatus, and turntable
TW201324663A (zh) 用於改良之沉積均勻性的前驅物分配特性
CN102383110A (zh) 成膜装置
TW201033393A (en) Film deposition apparatus
TW201025481A (en) Film deposition apparatus and substrate process apparatus
JP2010062371A (ja) 成膜装置、基板処理装置、成膜方法及び記憶媒体
TW201250047A (en) Film forming apparatus, film forming method, and recording medium
TWI628307B (zh) 噴嘴及使用其之基板處理裝置
JP2011029441A (ja) 基板処理装置及び基板処理方法
JP2018095901A (ja) 基板処理装置
JP2003303819A (ja) 基板処理装置および半導体装置の製造方法
TW201716622A (zh) 成膜處理方法、成膜處理裝置以及記憶媒體