TWI332997B - Gas distribution showerhead featuring exhaust apertures - Google Patents

Gas distribution showerhead featuring exhaust apertures Download PDF

Info

Publication number
TWI332997B
TWI332997B TW093135727A TW93135727A TWI332997B TW I332997 B TWI332997 B TW I332997B TW 093135727 A TW093135727 A TW 093135727A TW 93135727 A TW93135727 A TW 93135727A TW I332997 B TWI332997 B TW I332997B
Authority
TW
Taiwan
Prior art keywords
gas
wafer
exhaust
process chamber
pores
Prior art date
Application number
TW093135727A
Other languages
Chinese (zh)
Other versions
TW200526799A (en
Inventor
Steven Gianoulakis
Karthik Janakiraman
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200526799A publication Critical patent/TW200526799A/en
Application granted granted Critical
Publication of TWI332997B publication Critical patent/TWI332997B/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Description

1332997 玖、發明說明: 【發明所屬之技術領域】 本發明一般係與半導體晶圓製程系統有關,更明 言,係關於在工件表面分佈製程氣體之系統與方法。 【先前技術】 半導體晶圓製程系統通常含有一製程室,其具有 或基座,用以於製程室内靠近製程區域支撐半導體晶 製程室形成一真空範圍,界定出部分之製程區域。一 散流組件或喷氣頭可提供一或多個製程氣體至製 域。接著可加熱及/或提供能量予該氣體以形成一電 於晶圓上實施特定製程。這些製程可包含化學氣相 (Chemical Vapor Deposition, CVD),以沉積薄膜 圓上,或一敍刻反應以由晶園移除材料。 隨著半導體裝置尺寸與複雜度之增加,晶圓面積 更加珍貴。因此,不僅希望將元件設置至靠近晶固中 亦希望盡可能靠近晶圓外部邊緣。將元件設置在靠近 周圍處也提高了在徑向範圍中晶圓製程步驟的之一 (radial uniformity)之要求。因此,乃希望半導體 過程可幾乎於整個晶圓表面達到一致性。 第2圖顯示習知技藝之沉積室210,具有習知技 喷氣頭220。習知技藝之喷氣頭220特徵為,於喷氣 表面225具有複數相等間隔之孔洞222。製程氣體經 口導管2 1 4,沿標記方向2 1 5流入喷氣頭2 2 0。孔洞 確而 晶座 圓。 氣體 程區 漿, 沉積 於晶 變為 心 > 晶圓 致性 製造 藝之 頭下 由入 222 1332997 用以於喷氣頭内沿方向2 1 8分佈製程氣體。製程氣體經由 孔洞222離開喷氣頭,並與半導體晶圓230表面反應。喷 氣頭内之氣體空間散流,決定分佈於半導體晶圓表面氣體 之一致性。 於沉積製程中,製程氣體流經半導體晶圓230之頂部 表面235,並與表面235或其他氣態物種反應,以於晶圓 表面235形成所需之薄膜236。氣體於晶圓邊緣沿方向238 流動,並經由環狀排氣通道2 5 0排氣。 於第2圖所繪示之習知技藝沉積室,為抵達排氣通道 250,於晶圓中心上方,由喷氣頭所引入之製程氣體,通 常於徑向方向沿晶圓表面流動,且於晶圓邊緣沿方向2 3 8 流動。因此,當氣體於徑向方向朝向晶圓邊緣流動時,氣 態物種之速度便可能增加。 於沉積製程中,沉積速率典型地取決於反應種類相對 於半導體晶圓表面之流動。若反應種類之速度於徑向方向 上增加,沉積速率於靠近晶圆周圍可能大於靠近晶圓中 心,導致不一致之薄膜厚度。 因此,業界亟需一種設備係可改進沉積於半導體晶圓 之薄膜的一致性。 【發明内容】 根據本發明之具體實施例,係關於在工件表面分佈製 程氣體之系統與方法。根據本發明一具體實施例,製程氣 體由一來源,經由具有複數孔隙之氣體散流喷氣頭,流至 4 1332997 工件表面。氣體散流喷氣頭亦具有複數排氣孔隙特徵,以 移除來自晶圓表面上之材料。由喷氣頭排氣孔隙所提供之 補充排氣,乃用以減少由於通過晶圓表面之徑向流動所產 生的氣體速度變化,從而增強相對於晶圓中心之晶圓邊緣 之處理一致性。 根據本發明一具體實施例之設備,包含圍繞製程室之 側壁,以及位於製程室内之晶圓基座。第一排氣導管與製 程室進行流體交換,且一製程氣體源經由氣體散流喷氣頭 而與製程室進行流體交換。氣體散流喷氣頭含有一第一通 道其可與製程氣體源進行流體交換,以及分佈於該喷氣頭 下表面之孔隙;以及與第一通道分隔之一第二通道,其與 第二排氣導管,以及分佈於喷氣頭下表面之排氣孔隙,進 行流體交換。 根據本發明一具體實施例,以處理半導體工件之方法 乃包含,經由位於氣體散流面板之第一複數孔隙,流動一 製程氣體至一半導體工件。氣體由半導體工件上,經由製 程室排氣通道,以及位於氣體散流面板之第二複數孔隙而 移除。 根據本發明一具體實施例,以於一製程室處理半導體 晶圓之方法乃包含,置入一半導逋晶圓至製程室,並經由 第一排氣通道排空製程室。至少一製程氣體,經由位於喷 氣頭表面之第一組孔隙引入。氣體經由第一排氣通道移 除,且氣體經由位於喷氣頭表面之複數孔隙移除。 根據本發明一具體實施例,以控制沉積於半導體晶圓 5 1332997 上薄膜性質一致性之方法乃包含,放置一晶圓於一製程 室,並經由位於面板之第一複數孔隙,引入氣體至晶圓。 氣體經由位於面板之第二複數孔隙移除,且氣體同時由徑 向排氣路徑移除。 本發明之這些與其他具體實施例,以及其特徵與一些 潛在優點,將連同隨後之内容與所附圊示詳細描述》 【實施方式】 根據本發明之具體實施例,係關於在工件表面分佈製 程氣體之系統與方法。根據本發明一具體實施例,製程氣 體由一來源,經由具有複數孔隙之一氣體散流喷氣頭,流 動至一工件表面。氣體散流喷氣頭亦具有複數排氣孔隙之 特徵,以由晶圓表面移除材料。由喷氣頭排氣孔隙提供之 補充排氣,乃用於減少通過晶圓表面之徑向流動,所造成 之氣體速度變化,從而增強相對於晶圓中心之晶圓邊緣之 處理一致性。 第 3A圖繪示根據本發明一具體實施例之沉積室 300。製程氣體經由具有雙重通道面板311之喷氣頭310, 進入製程室,並流入位於半導體晶圓320表面上之圓柱體 積305。進入製程室之製程氣體流動,乃以箭頭312繪示, 延伸通過喷氣頭下表面之面板。由晶圓區域,以及晶圓與 面板間距離所定義之圓柱體積305,有時稱為反應區域。 沉積氣體彼此間及與半導體晶圓之反應,於半導體晶圆 320之上表面沉積薄膜321。 1332997 進入製程室376。進入製程室之製程氣體流動,乃由箭頭 385所繪示,延伸通過噴氣頭下表面之面板。沉積氣體彼 此間以及與半導體晶圓之反應,於半導體晶圓3 8 1之上表 面產生薄膜382之沉積。 主要泵3 9 0沿徑向排氣路徑3 8 6排出氣體,且次要泵 3 9 1沿補充排氣路徑3 8 7與3 8 8排出氣體。 靠近基座3 8 0外部邊緣之環狀排氣通道,乃定義沉積 氣體之排氣路徑。來自靠近晶圓表面區域之排出氣體,經 由此排氣路徑,以箭頭386標示,位於基座380周圍邊緣。 連接至喷氣頭377之排氣線路3 95,乃安排於主要製程室 376外,將喷氣頭排出氣體387及388,與徑向排出氣體 3 8 6分隔。 於第3 C圖所繪示之具體實施例,連接至主要排氣通 道394之排氣線路396,乃連接至主要前管線泵390。一 不同之前管線泵391乃連接至排氣線路393,其連接至補 充排氣線路395,並與喷氣頭進行交換。因此,於第3C 圖所繪示之具體實施例,個別泵由個別半徑與補充排氣路 徑排出氣體。 此外,於第3C圖所繪示之具體實施例,閥397位於 排氣線路396,且閥392位於排氣線路393。於本發明一 些具體實施例中,閥397與392可用於主要與補充排氣路 徑間產生不同抽氣壓力。 於一些具體實施例,用於排氣路徑之面板面積,為自 面板中心之徑向距離函數。由雙重通道面板所提供之額外 9 1332997 氣路徑稱為補充排氣路徑。經由排氣通道419 通道4 1 6排出之氣體比例,將取決於沿晶圓表 要與補充排氣通道内之氣體壓力,與其他因素 第4B圊顯示根據本發明之面板一具體實 仰視圖示。於此具體實施例,氣體散流孔隙包 洞450,位於整個面板底部不同位置。氣體排 排氣孔洞4 5 5,位於面板整個底部其他不同位 於此處所呈現之簡化部分仰視圖示(第 圖),為便於描述與說明,乃省略圓柱狀對稱1 至4CA圖乃繪示,於第4B至第8圖所呈現之 視圖示,如何與較大之面板設計產生關聯。這 圖示,乃表示第4C圖所示之面板475之部分 4CA圖之放大圖示480。因此,關於面板圓形‘14 其對於熟知此項習知技藝之人士為顯而易見的 仰視圖示中省略。 若沉積製程要求於抵達半導體晶圓表面朝 不進行混合,可再細分氣體散流通道與對應之 止氣體於抵達表面前進行混合。美國專利第 號,指定至本發明之受讓人,並於此併入參考 板與氣體散流歧管組件,其中製程氣體可經由 於未混合下傳送至製程區域。 於第4B圖所繪示之具體實施例,可總和 流孔隙之面板面積,以決定相加(或總體面板: 同樣地,可總和含有排氣孔隙之面板面積,以分 與經由排氣 面,以及主 〇 施例之部分 含一注入孔 出孔隙包含 置。 4B至第 8 寺徵。第4C 簡化部分仰 些部分仰視 485 ,於第 .質之細節, ,乃於這些 「,反應氣體 孔隙,以防 6,086,677 ,描述一面 共同面板, 含有氣體散 >散流面積。 t定相加(或 11 1332997 總體面板)排氣面積。於第4B圖所繪示之具體實施例, 相加散流面積與相加排氣面積之比例約為 4 : 1 〇此外, 此相加散流/排氣面積比例於整個面板表面為固定的。 根據本發明之具體實施例,可選擇氣體散流孔隙與氣 體排出孔隙之數目,以最佳化不同製程氣體之比例與流 速。例如,根據一具體實施例,排氣孔隙數目,且因此排 氣孔隙面積,可為面板位置之函數而變動,以根據製程需 求,控制氣態物種之局部流動。 或者,除變化氣體散流與排氣孔隙數目外,可根據製 程需求,變化氣體散流與排氣孔隙之尺寸。於一具體實施 例,其中希望小孔隙尺寸時,較多數目之小孔隙可位於面 板,以達到與較少數目之大孔隙之相同孔隙面積。相反 地,當一特定應用要求較少數目之大孔隙時,根據本發明 之具體實施例,乃提供所需之彈性以達到此目標。 雖然第4B圖所示之具體實施例,具有固定散流/排氣 面積比例,為徑向距離函數之特徵,但此並非為本發明所 要求。根據其他具體實施例,於整個面板,可變化散流孔 隙面積,相對於排氣孔隙面積之比例,以依所需,促進處 理一致性或變化。 第5圖乃對應地繪示本發明另一具體實施例,其中乃 相對於第4B圖中所繪示,增加排氣孔隙520之數目,從 而增加相加排氣面積《於此具體實施例,散流孔隙5 1 0之 數目仍舊維持不變。可藉由增加如第4B圖所示之氣體排 出孔隙之尺寸,達到相似效果,從而降低相加散流面積, 12 1332997 徑向距離增加至面板半徑而減少。熟知此項技藝之人士將 可瞭解不同其他非線性函數關係。降低孔隙尺寸與增加孔 隙密度,可用於「平滑」第6、7與8圖所繪示之階梯狀 變化。 上述之具體實施例,增加或減少局部排氣面積,以於 為徑向距離函數,氣體散流面積相對於氣體排出面積之局 部比例,產生變化》或者,可變化為由晶圓申心徑向距離 函數之局部氣體散流面積,以達到所希望之結果。如關於 排氣面積變化之討論,可變化氣體散流孔隙之尺寸與數 目,以達到反應種類所需之散流。 如先前所述,基座於垂直方向可控制轉移。基座之垂 直運動,通常用於晶圓載入與卸載操作,以及於沉積時, 變化晶圓至面板之距離。 沉積時,晶圓至面板距離之變化,對於沉積製程具有 數項影響。典型地,沉積製程於晶圓與面板間使用寬廣之 間隔(15 0密爾)。間隔小於或等於1 5 0密爾時,反應區 域之氣體壓力於晶圓表面不一致,於晶圓邊緣之壓力典型 地小於晶圓中心之壓力。於晶圓周圍之此減少壓力,降低 反應種類濃度,並減少晶圓邊緣之沉積。 然而,使用根據本發明一具體實施例之面板,得以藉 由增加對應於晶圓邊緣之排氣面積,抵消此邊緣薄化,從 而增加至晶圓邊緣之反應種類流動。於第6圖繪示之特定 具體實施例,將有用於例如排氣面積隨徑向距離增加之應 用。具有隨徑向距離,非線性增加排氣面積之其他具體實 14 1332997 施例亦為有用的。 其他應用可能需要晶圓與面板間之間隔降 150密爾,以增強製程速度與產量。隨著喷氣頭招 且反應區域體積下降,靠近晶圓中心分佈之反應 歷較長之留置時間,導致接近晶圓中心之沉積薄 厚度。 因此,於本發明某些具體實施例,可於喷氣 額外排氣面積,以增加接近晶圓中心之排出氣體 少局部反應種類之濃度程度,以及產生之沉積速 圖乃繪示此一具體實施例,其中排氣孔隙之數目 應之排氣面積,於面板中心大於面板邊緣。或者 氣孔隙數目之改變,可增加個別排氣孔隙之尺寸 增加相同排氣面積。 於其他製程系統,基座或其他支撐結構之特 致之溫度分佈。例如,於基座中心之溫度,相較 圍,可維持於一較高溫度,而得以快速冷卻基座 對於基座組件,產生張力與可能之破裂。沉積速 溫度函數,於基座中心之升高溫度,可能降低相 邊緣之局部沉積速率。本發明之具體實施例,可 接近晶圓中心之排氣流動,從而增加反應種類濃 速率,而抵消此類非一致沉積。 由於其他製程步驟要求,亦可能產生於基材 施加不同製程方式之需求。例如,化學機械研磨( Mechanical Polishing » CMP)技術廣泛地用於平 低至小於 ^近晶圓’ 種類,經 膜具較大 頭上提供 流動,減 .率。第7 ,及相對 ,連同排 ,以達到 徵為非一 於基座周 ,而不會 率部分為 對於基座 藉由增加 度及反應 不同區域 Chemical 坦化藉由 15 1332997 化學氣相沉積所沉積之材料層。然而,無法產生完全平坦 化之晶圓表面,化學機械研磨過程本身可能於表面平坦度 與薄膜厚度引入徑向變化。因此,於一些利用化學機械研 磨技術之製程,乃需具有特定設計非一致性厚度變化之薄 膜沉積。 因此,根據本發明之一具體實施例,可用於沉積具有 非一致厚度之薄膜,其為由晶圓中心徑向距離之函數,從 而抵消化學機械研磨製程之非一致性效應。此一兩步驟沉 積/研磨製程之最終結果,將產生具有所需厚度一致性之 薄膜。1332997 发明, INSTRUCTION DESCRIPTION: TECHNICAL FIELD OF THE INVENTION The present invention relates generally to semiconductor wafer processing systems and, more particularly, to systems and methods for distributing process gases on a workpiece surface. [Prior Art] A semiconductor wafer processing system typically includes a process chamber having a pedestal for supporting a semiconductor wafer chamber in a process chamber adjacent to a process region to form a vacuum range defining a portion of the process region. A diffuser assembly or jet head can provide one or more process gases to the domain. The gas can then be heated and/or supplied to the gas to form a specific process on the wafer. These processes may include Chemical Vapor Deposition (CVD) to deposit a film circle, or a characterization reaction to remove material from the crystallizer. As semiconductor devices increase in size and complexity, wafer area is even more precious. Therefore, it is desirable not only to place the component close to the crystal solid but also to be as close as possible to the outer edge of the wafer. Setting the component close to the periphery also increases the requirement for one of the wafer uniformity steps in the radial range. Therefore, it is desirable that the semiconductor process be nearly uniform across the wafer surface. Figure 2 shows a conventional deposition chamber 210 having a conventional jet head 220. The conventional art air jet head 220 is characterized by having a plurality of equally spaced holes 222 in the air jet surface 225. The process gas is passed through the mouthpiece 2 1 4 and flows into the jet head 2 2 0 in the direction of the mark 2 1 5 . The hole is indeed the crystal seat. Gas process slurry, deposited in the crystal into the heart > under the wafer fabrication process by 222 1332997 used to distribute the process gas in the direction of the inside of the jet head 2 1 8 . The process gas exits the gas jet via holes 222 and reacts with the surface of semiconductor wafer 230. The gas in the gas jet is spatially dispersed to determine the consistency of the gas distributed across the surface of the semiconductor wafer. During the deposition process, the process gas flows through the top surface 235 of the semiconductor wafer 230 and reacts with the surface 235 or other gaseous species to form the desired film 236 on the wafer surface 235. The gas flows in the direction 238 at the edge of the wafer and is vented via the annular exhaust passage 250. The conventional art deposition chamber shown in FIG. 2 is a process gas introduced into the exhaust passage 250 above the center of the wafer and introduced by the jet head, generally flowing along the surface of the wafer in the radial direction, and is in the crystal The rounded edge flows in the direction 2 3 8 . Therefore, as the gas flows in the radial direction toward the edge of the wafer, the velocity of the gaseous species may increase. In a deposition process, the deposition rate typically depends on the flow of the reaction species relative to the surface of the semiconductor wafer. If the rate of reaction species increases in the radial direction, the deposition rate may be closer to the wafer center than near the wafer center, resulting in inconsistent film thickness. Therefore, there is a need in the industry for an apparatus that improves the uniformity of thin films deposited on semiconductor wafers. SUMMARY OF THE INVENTION A system and method for distributing process gases on a surface of a workpiece in accordance with a particular embodiment of the present invention. In accordance with an embodiment of the invention, the process gas is passed from a source to a surface of the workpiece through a gas-dispersing jet head having a plurality of pores. The gas-dissipating jet head also has a plurality of venting aperture features to remove material from the surface of the wafer. The supplemental exhaust provided by the jet head venting aperture is used to reduce the change in gas velocity due to radial flow through the wafer surface, thereby enhancing processing consistency with respect to the wafer edge at the wafer center. An apparatus in accordance with an embodiment of the present invention includes a sidewall surrounding a process chamber and a wafer pedestal located within the process chamber. The first exhaust conduit is in fluid communication with the process chamber, and a process gas source is in fluid communication with the process chamber via a gas diffusing jet head. The gas diffusing jet head includes a first passage fluid exchangeable with the process gas source and a pore distributed on the lower surface of the jet head; and a second passage separated from the first passage, the second exhaust conduit And the pores of the exhaust gas distributed on the lower surface of the jet head for fluid exchange. In accordance with an embodiment of the present invention, a method of processing a semiconductor workpiece includes flowing a process gas to a semiconductor workpiece via a first plurality of apertures in a gas diffusion panel. The gas is removed from the semiconductor workpiece via the process chamber exhaust passage and the second plurality of pores in the gas diffuser panel. In accordance with an embodiment of the invention, a method of processing a semiconductor wafer in a process chamber includes placing a half of the lead wafer into a process chamber and evacuating the process chamber via the first exhaust passage. At least one process gas is introduced via a first set of pores located on the surface of the gas jet head. The gas is removed via the first exhaust passage and the gas is removed via a plurality of apertures located on the surface of the jet head. In accordance with an embodiment of the present invention, a method of controlling the uniformity of a film deposited on a semiconductor wafer 5 1332997 includes placing a wafer in a process chamber and introducing a gas to the crystal via a first plurality of apertures in the panel circle. The gas is removed via a second plurality of apertures in the panel and the gas is simultaneously removed by the radial exhaust path. These and other specific embodiments of the present invention, as well as its features and advantages, will be described in conjunction with the accompanying claims. Gas systems and methods. In accordance with an embodiment of the invention, the process gas is passed from a source to a workpiece surface via a gas diffusing jet head having a plurality of pores. The gas-dissipating jet head also features a plurality of venting apertures to remove material from the wafer surface. The supplemental exhaust provided by the jet head venting aperture is used to reduce the change in gas velocity caused by radial flow through the wafer surface, thereby enhancing processing consistency with respect to the wafer edge at the wafer center. Figure 3A illustrates a deposition chamber 300 in accordance with an embodiment of the present invention. The process gas enters the process chamber via a jet head 310 having a dual channel panel 311 and flows into a cylindrical volume 305 on the surface of the semiconductor wafer 320. Process gas flow into the process chamber, depicted by arrow 312, extends through the faceplate of the lower surface of the jet head. The cylindrical volume 305, defined by the wafer area, and the distance between the wafer and the panel, is sometimes referred to as the reaction zone. The deposition gases are deposited with each other and with the semiconductor wafer, and a thin film 321 is deposited on the upper surface of the semiconductor wafer 320. 1332997 enters the process chamber 376. Process gas flow into the process chamber, as depicted by arrow 385, extends through the panel of the lower surface of the jet head. The deposition gases, respectively, and the reaction with the semiconductor wafer produce a deposition of film 382 over the surface of semiconductor wafer 818. The main pump 390 exhausts the gas along the radial exhaust path 386, and the secondary pump 319 exhausts the gas along the supplemental exhaust paths 3 8 7 and 386. The annular exhaust passage near the outer edge of the base 380 defines the exhaust path of the deposited gas. Exhaust gas from a region near the surface of the wafer, indicated by arrow 386 via the venting path, is located at the periphery of the pedestal 380. The exhaust line 3 95, which is connected to the jet head 377, is disposed outside the main process chamber 376, and discharges the gas jets 387 and 388 from the jet head, and is separated from the radial exhaust gas 386. In the particular embodiment illustrated in Figure 3C, the exhaust line 396 connected to the main exhaust passage 394 is coupled to the main front line pump 390. A different prior line pump 391 is coupled to exhaust line 393, which is coupled to supplemental exhaust line 395 and exchanged with the jet head. Thus, in the particular embodiment illustrated in Figure 3C, individual pumps discharge gas from individual radii and supplemental exhaust paths. Moreover, in the particular embodiment illustrated in Figure 3C, valve 397 is located in exhaust line 396 and valve 392 is located in exhaust line 393. In some embodiments of the invention, valves 397 and 392 can be used to create different pumping pressures primarily between the supplemental exhaust paths. In some embodiments, the panel area for the exhaust path is a function of the radial distance from the center of the panel. The additional 9 1332997 gas path provided by the dual channel panel is referred to as the supplemental exhaust path. The proportion of gas exhausted through the exhaust passage 419 passage 4 16 will depend on the gas pressure in the supplemental exhaust passage along the wafer and other factors. FIG. 4B shows a concrete bottom view of the panel according to the present invention. . In this embodiment, the gas diffusing pore envelope 450 is located at different locations throughout the bottom of the panel. The gas venting hole 4 5 5 is located at the bottom of the panel and the other different parts are shown here. The simplified part is shown in the bottom view (Fig.). For the convenience of description and description, the cylindrical symmetry 1 to 4CA is omitted. The views presented in Figures 4B through 8 show how they relate to a larger panel design. This illustration is an enlarged view 480 of a portion 4CA of panel 475 shown in Figure 4C. Thus, the panel circle '14 is omitted from the bottom view for those skilled in the art. If the deposition process is required to arrive at the surface of the semiconductor wafer without mixing, the subdivided gas diffusion channel can be mixed with the corresponding gas before it reaches the surface. U.S. Patent No., assigned to the assignee of the present application, and hereby incorporated herein incorporated by reference in its entirety in the in the the the the In the specific embodiment illustrated in FIG. 4B, the panel area of the flow aperture may be summed to determine the addition (or the overall panel: likewise, the panel area containing the exhaust aperture may be summed to be distributed via the exhaust surface, And the part of the main embodiment contains an injection hole containing the pores. 4B to the 8th temple sign. The 4C simplified part of the upper part of the bottom view 485, in the details of the quality, is the "reaction gas pores, In case of 6,086,677, describe a common panel containing gas dispersion > diffuse area. t phase addition (or 11 1332997 overall panel) exhaust area. In the specific embodiment depicted in Figure 4B, the added diffuse area The ratio to the summed exhaust area is about 4:1. In addition, the combined diffuse/exhaust area ratio is fixed across the entire panel surface. According to a particular embodiment of the invention, the gas diffusing pores and gases may be selected. The number of voids is exhausted to optimize the ratio and flow rate of the different process gases. For example, according to one embodiment, the number of exhaust pores, and thus the pore area of the exhaust, can be a function of panel position. The variation is to control the local flow of the gaseous species according to the process demand. Alternatively, in addition to varying the gas dispersion and the number of exhaust pores, the size of the gas dispersion and the exhaust pores may be varied according to process requirements. Where a small pore size is desired, a greater number of small pores may be located in the panel to achieve the same pore area as a smaller number of large pores. Conversely, when a particular application requires a smaller number of large pores, in accordance with the present invention The specific embodiment provides the required flexibility to achieve this goal. Although the specific embodiment shown in Figure 4B has a fixed diffuse/exhaust area ratio as a function of radial distance function, this is not the primary According to other embodiments, the ratio of the scattered pore area to the void area of the exhaust gas may be varied throughout the panel to promote process consistency or variation as desired. Another embodiment of the present invention, wherein the number of exhaust pores 520 is increased relative to that depicted in FIG. 4B, thereby increasing the combined exhaust area. In a specific embodiment, the number of the diffusing pores 5 10 remains unchanged. A similar effect can be achieved by increasing the size of the gas discharge pores as shown in Fig. 4B, thereby reducing the combined flow area, 12 1332997 radial The distance is reduced to the radius of the panel. Those skilled in the art will be able to understand the different nonlinear functional relationships. Reduce the pore size and increase the pore density, which can be used to "smooth" the steps shown in Figures 6, 7 and 8. The above specific embodiment increases or decreases the local exhaust area so as to be a function of the radial distance function, the local ratio of the gas diffusion area to the gas discharge area changes, or may be changed by the wafer. The local gas scatter area of the radial distance function is used to achieve the desired result. As discussed with respect to changes in venting area, the size and number of gas scatter pores can be varied to achieve the desired flow of the reaction species. As previously described, the pedestal can control the transfer in the vertical direction. The vertical movement of the pedestal is typically used for wafer loading and unloading operations, as well as varying the wafer-to-panel distance during deposition. The change in wafer-to-panel distance during deposition has several effects on the deposition process. Typically, the deposition process uses a wide spacing (150 mils) between the wafer and the panel. At intervals of less than or equal to 150 mils, the gas pressure in the reaction zone is inconsistent at the wafer surface and the pressure at the edge of the wafer is typically less than the pressure at the center of the wafer. This reduces pressure around the wafer, reduces the concentration of the reaction species, and reduces deposition at the edge of the wafer. However, using a panel in accordance with an embodiment of the present invention, it is possible to counteract this edge thinning by increasing the area of the exhaust corresponding to the edge of the wafer, thereby increasing the flow of reactive species to the edge of the wafer. In the particular embodiment illustrated in Figure 6, there will be applications for, for example, an increase in exhaust area with radial distance. Other embodiments with a radial increase in the non-linear increase in the venting area are also useful. Other applications may require a 150 mil drop between wafer and panel to enhance process speed and throughput. As the jet heads move and the volume of the reaction zone decreases, the reaction near the center of the wafer has a longer retention time, resulting in a thin deposition thickness near the center of the wafer. Therefore, in some embodiments of the present invention, the additional exhaust area can be used to increase the concentration of the partial reaction species of the exhaust gas near the center of the wafer, and the resulting deposition rate map illustrates the specific embodiment. Where the number of venting apertures is the venting area, which is greater at the center of the panel than at the edge of the panel. Or a change in the number of gas pores can increase the size of individual venting pores and increase the same venting area. Temperature distribution specific to other process systems, pedestals or other support structures. For example, the temperature at the center of the susceptor can be maintained at a relatively high temperature to quickly cool the susceptor to the susceptor assembly, creating tension and possible cracking. The deposition rate temperature function, at elevated temperatures in the center of the susceptor, may reduce the local deposition rate at the edge of the phase. In a particular embodiment of the invention, the flow of exhaust gas near the center of the wafer is increased, thereby increasing the concentration rate of the reaction species and counteracting such non-uniform deposition. Due to other process steps, it may also arise from the need to apply different process methods to the substrate. For example, the Mechanical Polishing (CMP) technology is widely used to flatten down to less than the near-wafer' type, providing a flow and a reduction in the larger diameter of the membrane. The seventh, and the opposite, together with the row, to achieve the sign is not one at the pedestal circumference, and the rate is not partially deposited for the pedestal by increasing the degree and reacting different regions of the chemical canonization by 15 1332997 chemical vapor deposition Material layer. However, it is not possible to produce a fully planarized wafer surface, and the chemical mechanical polishing process itself may introduce radial variations in surface flatness and film thickness. Therefore, in some processes that utilize chemical mechanical polishing techniques, thin film deposition with a specific design non-uniform thickness variation is required. Thus, in accordance with an embodiment of the present invention, a film having a non-uniform thickness can be used which is a function of the radial distance from the center of the wafer, thereby counteracting the non-uniform effects of the CMP process. The final result of this one- or two-step deposition/grinding process will result in a film having the desired thickness uniformity.

根據本發明之具體實施例,提供系統操作者數種處理 半導體晶圓之方法。例如,第9A圖為一流程圖示,描繪 方法900,其中沉積系統可根據本發明運作。首先,於步 驟910,一晶圓乃藉由熟知此項技藝人士已知之裝置,置 入沉積室。於步驟912,密封沉積室並排空至一降低壓力》 於第9 A圖所繪示之具體實施例,沉積室可藉由開啟連接 至主要泵,於前管線中之閥而排空。於其他具體實施例, 沉積室可藉由開啟連接至次要排氣泵,或是連接至主要與 次要泵組合,前管線中之閥而排空。於根據本發明之一些 具體實施例,壓力可下降至足以支援於沉積室内產生電漿 之程度。例如,壓力可下降至介於5至20陶爾(torr )間。 一旦沉積室到達所需壓力,於步驟912,製程氣體經 由位於喷氣頭面板之複數孔隙,引入至沉積室。這些氣體 散流孔隙之數目,大小與分佈,已於上詳述。製程氣體流 16 1332997 經半導體晶圓頂部表面,並與表面或其他氣態物種反 以於晶圓表面形成所需薄膜。 製程氣體與反應副產物同時由沉積室,經由步驟 之主要徑向排氣路徑,以及步驟918令,於喷氣頭含 氣通道之次要排氣路徑排出。通過這些其他排氣路徑 體體積比例,可藉由安裝於個別路徑上排氣線路之閥 對位置,加以控制。 於完成沉積製程,於步驟920,停止傳送製程氣 於步驟922與924,分別使沉積室返回至大氣壓力, 除晶圓。 第9B圖為一流程圖示,繪示根據本發明,操作 系統方法之另一具體實施例。於方法901之步驟930 晶圓乃置入沉積室。於步驟932,使用主要排氣路徑 封與排空沉積室至一降低壓力。於第9B圖所繪示方 另一具體實施例,沉積室乃藉由開啟位於連接至主要 泵之前管線之閥而排空,一旦沉積室到達所需之壓力 步驟934,製程氣體乃經由位於喷氣頭面板之複數孔 入。於步驟936,經由使用主要排氣通道,達成開始 製程氣體與反應副產物。隨後,於步驟93 8,製程氣 反應副產物同時由沉積室,經由第一徑向排氣路徑排 且於步驟940,經由包含喷氣頭中排氣通道之次要排 徑排出。於第9B圖所示之方法901之另一具體實施 大多數排出氣體通過主要排氣通道,線路與泵。相較 要排氣路徑,次要排氣路徑用於移除來自沉積室較少 應, 916 有排 之氣 之相 體。 並移 沉積 I — ,密 法之 排氣 ,於 隙引 排出 體與 出, 氣路 例, 於主 數量 17 1332997 之氣體,從而提供操作者對於製程參數之「微調」控制。 通過次要與主要排氣路徑之氣體體積比例,可於接近零與 一之數值間變化。 完成沉積製程後,於步驟 942,停止製程氣體之傳 送,於步驟944與946,分別使沉積室返回至大氣壓力, 並移除晶圓。 第9C圖為根據本發明,操作沉積室方法之再另一具 體實施例之流程圖示。於方法9 02之步驟9 5 0,將晶圓置 入沉積室。於步驟 952,排空沉積室,且於步驟 954,使 電漿撞擊沉積室。沉積室可經由主要或次要排氣路徑,或 兩者組合排出氣體而排空。當電漿穩定後,於步驟956, 經由位於面板表面之複數孔隙,引入製程氣體至沉積室。 製程氣體與反應副產物由沉積室,於步驟 958與 9 60,分別經由主要與次要排氣路徑移除。於第9 C圖所繪 示方法902之具體實施例,於步驟962與964,於沉積製 程中,調整主要與次要排氣路徑之排氣速率。於一些具體 實施例,於沉積過程中,可變化排氣速率,以調變沉積薄 膜之特性。這些特性可包含,但不限於,薄膜厚度,密度, 折射係數,或電介質常數。 完成沉積製程後,於步驟 966,停止製程氣體之流 動。於步驟968與970,分別使沉積室排空至大氣壓力, 並移除晶圓。 經由根據本發明具體實施例之喷氣頭,所提供之補充 排氣路徑,相較於習知技藝,提供某些優點。除了於基座 18 1332997 邊緣提供之傳統排氣路徑(見第3A圖之流動線路322), 於喷氣頭之排氣孔隙,提供一補充排氣路徑,有用於最佳 化接近晶圓表面之反應種類流動。此外,為徑向距離函 數,散流相對於排氣面積之比例變化性,對於製程氣體與 反應副產物之散流與排氣,提供空間上之控制。 根據本發明一具體實施例,於徑向方向流經晶圓表 面,並經由徑向排氣路徑流出之氣體體積,可藉由面板之 設計而修改。於此一具體實施例,藉由製程氣體與反應副 產物,通過補充噴氣頭排氣路徑之選擇性排出,可控制橫 向流經晶圓表面之反應氣態物種體積與濃度。於一特定具 體實施例,流經晶圓之反應氣態物種體積與濃度,藉由增 加橫向流動體積區域之排氣孔隙面積,可維持於為徑向距 離函數之一定值。此改進製程控制可產生較高之薄膜一致 性。 於本發明其他具體實施例,反應種類於晶圓表面之留 置時間,可藉由喷氣頭排氣孔隙面積之空間分佈而控制。 例如,第8圖顯示根據本發明之一具體實施例,其中接近 晶圓中心 8 3 5與邊緣 8 4 0,喷氣頭所提供之排氣孔隙面 積,小於距離等於1 /2面板半徑處之排氣孔隙面積。於距 離等於1/2面板半徑之區域,可稱為中間半徑區域830。 因此,於晶圓中心8 3 5引入之製程氣體,在經由中間半徑 區域830之面板,離開反應區域前,相較於靠近中間半徑 區域830引入之製程氣體,於晶圓表面行經較長之距離。 於其他具體實施例,接近中間半徑區域,通過晶圓表面之 19 1332997 製程氣體流動,藉由氣體散流與排氣孔隙之選擇性配 增強。 第1A圖乃顯示可實施本發明方法之一適當化學 沉積設備,其為化學氣相沉積系統 1 0之一垂直截 示,具有一真空或製程室15,包含製程室側壁15a 程室蓋子組件1 5b。製程室側壁1 5 a與製程室蓋子組到 於第1B圖與1C圖以爆炸透視圖示顯示。 化學氣相沉積系統10含有一氣體散流歧管11, 佈製程氣體至一基材(未顯示),係位於製程室中心 熱晶座12上。於製程時,基材,例如一半導體基材 於晶座12之一平坦(或輕微凸面)表面12a(第1B 晶座可於一下方載入/卸載位置(未顯示),以及一上 程位置(示於第1A圖)間控制移動,其乃與歧管11 | 一活動平板(未顯示),含有感應器,以提供晶圓位 資訊。 沉積與載體氣體,乃經由平坦,圓形氣體散流 13a之穿孔孔洞13b (第1C圖),引入製程室15,如 詳述。更具體地,沉積製程氣體經由入口歧管11( 1A圖之箭頭40表示),經由一常見穿孔阻擋板42, 著經由氣體散流面板13a中之孔洞13b,流入製程室 到達歧管前,沉積與載體氣體由氣體源 7a,經 體傳送系統7之氣體供應線路8,輸入至一混合系翔 於此處結合並接著傳送至歧管11。通常,每個製程 之供應線路包含(i)數個安全關閉閥(未顯示),用 置而 氣相 面圖 與製 15b 以散 之加 ,位 Ϊ ) ° 方製 鄰。 置之 面板 上所 由第 且接 〇 由氣 9, 氣體 於自 20 1332997 動或手動關閉製程氣體流動進入製程室,及(ii) 制器(亦未顯示),用以測量通過供應線路之氣體 當毒性氣體(例如臭氧或鹵素氣體)於製程中使用 個安全關閉閥以常見組態置於每個氣體供應線路。 於化學氣相沉積系統1 4執行之沉積製程,可 製程或電漿增強製程。於電漿增強製程,一射頻( 源4 4於氣體散流面板1 3 a與晶座間施加電源,以 程氣體混合物,以於面板1 3 a與晶座間之圓柱區域 「反應區域」内形成電漿。電漿成分反應而沉積一 薄膜於由晶座1 2所支撐之半導體晶圓表面。射頻 為一混合頻率無線電電源,典型地於1 3.5 6兆赫之 電頻路(RF1),以及360千赫之低射頻(RF2)供應 以增強引入真空室15之反應種類之分解。於熱製 不利用射頻電源44,且製程氣體混合物熱反應, 所需之薄膜於由晶座12所支撐之半導體晶圓表面 於加熱具有阻抗,以提供反應所需之熱能。 於電漿增強沉積製程,電漿加熱整個製程室 含製程室本體之側壁1 5 a,圍繞排氣通道2 3與關閉 當未開啟電漿或於熱沉積製程時,一熱液體於製程 壁15a循環,以維持製程室於一升高溫度。用於加 室側壁1 5 a之液體,包含典型液體類型,即,以水 乙二醇或以油稀釋之熱轉移液體。此類加熱有利地 消除反應產物不希望之冷凝,並改進消除製程氣體 性產物,以及可能污染製程之其他污染物,若其於 質流控 流量。 時,數 為一熱 RF)電 激發製 ,稱為 所需之 電源44 1¾無線 ,電源, 程,將 以沉積 ,其對 10,包 閥24。 室之側 熱製程 稀釋之 減少或 之揮發 冷卻之 21 1332997 真空通道側壁冷凝,並於無氣體流動時期,回流至製程室。 未沉積之剩餘氣體混合物,包含反應產物,乃由製程 室藉由連接至排氣通道23之真空泵50,由前管線55排 出。具體地,氣體經由環繞反應區域之一環狀,狹縫形孔 隙1 6排出,並進入一環狀排氣空間1 7。環狀狹縫1 6與 空間1 7,乃由製程室頂部之圓柱狀側壁1 5 a (包含側壁之 上層電介質内層19),以及環狀製程室蓋子120底部間之 缺口所定義。狹縫孔隙1 6與空間1 7之3 6 0度環狀對稱與 一致性,對於達到晶圓表面製程氣體之一致性流動,以於 晶圓沉積一致性薄膜,一般而言為重要的。 由排氣空間1 7,氣體流經排氣空間1 7側邊延伸部分 21下方,通過一觀察通道(未顯示),經由一向下延伸之 氣體通道23,通過真空關閉閥24 (其本體與下方製程室 側壁1 5 a結合),並進入經由前管線5 5,連接至外部真空 泵50之排氣出口 25。 晶座12之晶圓支撐轉盤(較佳地為鋁、陶瓷、或其 組合)為抗加熱,使用一嵌入式單一迴圈嵌入加熱器元 件,裝配為平行同心圓形式之兩個完整彎曲。加熱器元件 外圍部分,沿支撐轉盤周圍行進,且内部部分沿較小半徑 之同心圓路徑行進。加熱器元件之線路通過晶座12之柄。 典型地,任何或所有製程室内層、氣體入口歧管面 板,與各種其他反應器硬體,乃由例如鋁、電鍍鋁、或陶 瓷材料所製成。此類化學氣相沉積設備之一範例,乃於美 國專利第 5,5 5 8,7 1 7 號,發明名稱「CVD Processing 22 1332997In accordance with a particular embodiment of the present invention, a system operator is provided with several methods of processing semiconductor wafers. For example, Figure 9A is a flow diagram depicting a method 900 in which a deposition system can operate in accordance with the present invention. First, in step 910, a wafer is placed in a deposition chamber by means of a device known to those skilled in the art. In step 912, the deposition chamber is sealed and evacuated to a reduced pressure. In the embodiment illustrated in Figure 9A, the deposition chamber can be evacuated by opening the valve to the main pump and the valve in the front line. In other embodiments, the deposition chamber may be vented by opening the connection to a secondary exhaust pump or to a valve in the front line that is primarily combined with the secondary pump. In accordance with some embodiments of the present invention, the pressure may be reduced to a level sufficient to support the generation of plasma within the deposition chamber. For example, the pressure can drop to between 5 and 20 torr. Once the deposition chamber has reached the desired pressure, at step 912, process gas is introduced into the deposition chamber via a plurality of pores located in the jet head panel. The number, size and distribution of these gas-dispersing pores are detailed above. The process gas stream 16 1332997 forms the desired film on the surface of the wafer via the top surface of the semiconductor wafer and against the surface or other gaseous species. The process gas and reaction byproducts are simultaneously discharged from the deposition chamber through the primary radial exhaust path of the step, and in step 918, the secondary exhaust path of the gas passage of the gas jet. The volume ratio of these other exhaust passages can be controlled by the position of the valve pair of the exhaust line mounted on the individual path. After the deposition process is completed, in step 920, the transfer process gas is stopped at steps 922 and 924 to return the deposition chamber to atmospheric pressure, respectively, in addition to the wafer. Figure 9B is a flow chart showing another embodiment of the method of operating the system in accordance with the present invention. At step 930 of method 901, the wafer is placed in a deposition chamber. At step 932, the main exhaust path is used to seal and evacuate the deposition chamber to a reduced pressure. In another embodiment illustrated in Figure 9B, the deposition chamber is evacuated by opening a valve located in the line prior to connection to the primary pump. Once the deposition chamber reaches the desired pressure step 934, the process gas is passed through the jet head. Multiple holes in the panel. At step 936, the process gas and reaction byproducts are initiated via the use of a primary exhaust passage. Subsequently, in step 938, the process gas reaction by-product is simultaneously discharged from the deposition chamber via the first radial exhaust path and at step 940 via the secondary exhaust including the exhaust passage in the jet head. Another embodiment of the method 901 shown in Figure 9B illustrates most of the exhaust gases passing through the main exhaust passages, lines and pumps. The secondary exhaust path is used to remove less of the phase from the deposition chamber than the desired exhaust path. And the deposition of I-, the exhaust of the dense method, the discharge of the body and the outflow, the gas path, the gas of the main quantity 17 1332997, provides the operator with "fine tuning" control of the process parameters. The ratio of the volume of the gas to the primary exhaust path can vary from zero to one. After the deposition process is completed, in step 942, the transfer of the process gas is stopped, and in steps 944 and 946, the deposition chamber is returned to atmospheric pressure, respectively, and the wafer is removed. Figure 9C is a flow chart showing yet another embodiment of a method of operating a deposition chamber in accordance with the present invention. At step 950 of method 902, the wafer is placed in a deposition chamber. In step 952, the deposition chamber is evacuated, and in step 954, the plasma is struck against the deposition chamber. The deposition chamber can be vented via a primary or secondary venting path, or a combination of both. After the plasma is stabilized, at step 956, process gas is introduced into the deposition chamber via a plurality of pores located on the surface of the panel. Process gases and reaction by-products are removed from the deposition chamber by steps 958 and 960, respectively, via primary and secondary venting paths. In a specific embodiment of method 902 illustrated in Figure 9C, in steps 962 and 964, the rate of exhaust of the primary and secondary exhaust paths is adjusted during the deposition process. In some embodiments, the rate of exhaust gas can be varied during deposition to modulate the characteristics of the deposited film. These characteristics may include, but are not limited to, film thickness, density, refractive index, or dielectric constant. After the deposition process is completed, in step 966, the flow of the process gas is stopped. In steps 968 and 970, the deposition chamber is evacuated to atmospheric pressure, respectively, and the wafer is removed. The supplemental venting path provided via a jet head in accordance with an embodiment of the present invention provides certain advantages over the prior art. In addition to the conventional exhaust path provided at the edge of the pedestal 18 1332997 (see flow line 322 of Figure 3A), a venting aperture is provided in the jet head to provide a supplemental venting path for optimizing the proximity of the wafer surface. The type flows. In addition, for the radial distance function, the variability of the flow rate relative to the exhaust area provides spatial control over the flow and exhaust of process gases and reaction by-products. According to one embodiment of the invention, the volume of gas flowing through the surface of the wafer in a radial direction and flowing out through the radial exhaust path can be modified by the design of the panel. In one embodiment, the volume and concentration of the reactive gaseous species flowing laterally across the surface of the wafer can be controlled by replenishing the selective exhaust of the process head gas and reaction byproducts. In a particular embodiment, the volume and concentration of the reactive gaseous species flowing through the wafer can be maintained at a constant value for the radial distance function by increasing the venting pore area of the lateral flow volume region. This improved process control produces higher film uniformity. In other embodiments of the invention, the residence time of the reaction species on the surface of the wafer can be controlled by the spatial distribution of the pore area of the exhaust gas of the gas jet. For example, Figure 8 shows an embodiment of the present invention in which the venting aperture area provided by the jet head is near the center of the wafer 8 3 5 and the edge 840, and the row is smaller than the distance of the panel radius of 1 /2. Air pore area. In the region where the distance is equal to 1/2 of the panel radius, it may be referred to as the intermediate radius region 830. Therefore, the process gas introduced at the wafer center 835 passes through the panel of the intermediate radius region 830 before leaving the reaction region, and the process gas introduced near the intermediate radius region 830 passes through the wafer surface for a longer distance. . In other embodiments, near the intermediate radius region, the process gas flow through the surface of the wafer 19 1332997 is enhanced by the selective distribution of gas diffusion and exhaust pores. Figure 1A is a diagram showing a suitable chemical deposition apparatus that can be practiced in accordance with the present invention, which is a vertical cross-section of a chemical vapor deposition system 10 having a vacuum or process chamber 15 including a process chamber sidewall 15a chamber lid assembly 1 5b. The process chamber side wall 1 5 a and the process chamber cover group are shown in an exploded perspective view to Figures 1B and 1C. The chemical vapor deposition system 10 includes a gas diffusion manifold 11 for distributing the process gas to a substrate (not shown) located in the center of the process chamber. During processing, a substrate, such as a semiconductor substrate, is on a flat (or slightly convex) surface 12a of the wafer holder 12 (the 1B wafer holder can be in a lower loading/unloading position (not shown), and an up-going position ( Shown in Figure 1A) to control movement, which is with the manifold 11 | an active plate (not shown), containing sensors to provide wafer level information. Deposition and carrier gas, through a flat, circular gas flow The perforated hole 13b of 13a (Fig. 1C) is introduced into the process chamber 15, as described in more detail. More specifically, the deposition process gas is passed through the inlet manifold 11 (indicated by arrow 40 of Figure 1A) via a common perforated barrier 42 Through the hole 13b in the gas diffusing panel 13a, flowing into the process chamber to reach the manifold, the deposition and carrier gas are supplied from the gas source 7a, the gas supply line 8 of the body transport system 7, and input to a mixed system. It is then transferred to the manifold 11. Typically, each process supply line contains (i) a number of safety shut-off valves (not shown), which are placed in a gas-phase pattern and manufactured in 15b to form a dispersion. adjacent. The first and second sides of the panel are connected by gas 9, the gas is moved from the 20 1332997 or the process gas is manually shut down into the process chamber, and (ii) the controller (also not shown) is used to measure the gas passing through the supply line. When a toxic gas (such as ozone or halogen gas) is used in the process, a safety shut-off valve is used to place each gas supply line in a common configuration. The deposition process performed in the chemical vapor deposition system 14 can be a process or a plasma enhanced process. In the plasma enhancement process, a radio frequency (source 4 4 is applied between the gas diffusing panel 13 a and the crystal seat to form a gas mixture to form electricity in the "reaction zone" of the cylindrical region between the panel 13 a and the crystal seat. The plasma component reacts to deposit a film on the surface of the semiconductor wafer supported by the crystal holder 12. The radio frequency is a mixed frequency radio power source, typically at 1 3.5 6 MHz (RF1), and 360 thousand The low RF (RF2) supply enhances the decomposition of the type of reaction introduced into the vacuum chamber 15. The heat is not utilized by the RF power source 44, and the process gas mixture is thermally reacted, and the desired film is supported by the semiconductor crystal supported by the crystal holder 12. The circular surface is heated to have an impedance to provide the thermal energy required for the reaction. In the plasma enhanced deposition process, the plasma is heated throughout the process chamber containing the sidewall of the process chamber body 1 5 a, around the exhaust passage 2 3 and closed when not turned on In the slurry or in the thermal deposition process, a hot liquid is circulated in the process wall 15a to maintain the process chamber at an elevated temperature. The liquid used to add the chamber sidewall 15 a contains a typical liquid type, ie, water glycol Heat transfer liquid diluted with oil. Such heating advantageously eliminates undesirable condensation of the reaction product and improves the elimination of process gas products and other contaminants that may contaminate the process, if it is at a mass flow rate, the number is A thermal RF) electrical excitation system, called the required power supply 44 13⁄4 wireless, power, process, will be deposited, its pair 10, including valve 24. Side of the chamber Thermal Process Diluted Reduced or Volatilized Cooled 21 1332997 The side of the vacuum channel condenses and returns to the process chamber during periods of no gas flow. The undeposited residual gas mixture, containing the reaction product, is discharged from the process line by a vacuum pump 50 connected to the exhaust passage 23 from the front line 55. Specifically, the gas is annular through one of the surrounding reaction zones, the slit-shaped aperture 16 is discharged, and enters an annular exhaust space 17. The annular slit 16 and the space 17 are defined by the cylindrical side walls 15 a of the top of the process chamber (the upper dielectric inner layer 19 including the side walls) and the gap between the bottoms of the annular process chamber cover 120. The slit pleats 16 and the spatial symmetry and consistency of the space of 176 degrees are generally important for achieving consistent flow of wafer surface process gases for wafer deposition of uniform films. From the exhaust space 17, the gas flows under the side extension 21 of the exhaust space 17. Through a viewing passage (not shown), through a downwardly extending gas passage 23, the valve 24 is closed by vacuum (the body and the lower portion thereof) The process chamber side wall 15 5 a is combined) and enters the exhaust outlet 25 via the front line 5 5 and connected to the external vacuum pump 50. The wafer support turntable of the wafer holder 12 (preferably aluminum, ceramic, or a combination thereof) is resistant to heat and is embedded into the heater element using a single embedded loop that is assembled into two complete bends of parallel concentric circles. The peripheral portion of the heater element travels around the support turntable and the inner portion travels along a concentric circle path of smaller radius. The line of heater elements passes through the handle of the crystal holder 12. Typically, any or all of the process chamber layers, gas inlet manifold panels, and various other reactor hardware are made of, for example, aluminum, electroplated aluminum, or ceramic materials. An example of such a chemical vapor deposition apparatus is U.S. Patent No. 5,5,5,7,7, entitled "CVD Processing 22 1332997"

Chamber」中描述。美國專利第5,5 58,7 1 7號之專利權屬 於應用材料公司,係為本發明之專利權人,於此併入參考。 一提升機構與馬達(未顯示),升高與降低加熱晶座 组件12與其晶囲提升接腳12b,當晶圓藉由一機械刀片 (未顯示),通過製程室1〇側之一插入/移除開口 26,移 入或移出製程室本體時。馬達於一製程位置“與一較低 晶圓載入位置間,升高與降低晶座丨2。馬達、連接至供 應線路8之閥或流體控制器、氣體傳送系統、節流閥、射 頻電源44,以及製程室與基座加熱系統,均由系統控制 器34 (第1A圖)’經由控制線路36所控制,其中僅顯示 一部份。控制器34根據來自光學感應器之反饋,決定可 移動機械組件之位置,例如節流閥與晶座,其藉由控制器 34控制下之適當馬達所移動。 於一具體實施例,系統控制器包含一硬碟(記憶體 38)、一軟碟與一處理器37。製程器含有一單一主機板電 腦(Smgle-Board Computer’ SBC),類比與數位輸入/輸 出主機板、介面主機板與步徑馬達控制器主機板。化學氣 相沉積系統10之各個部分,乃符合VME ( Versa Modular European)標準’其定義主機板,介面卡與連接器大小與 類型。VME標準亦定義匯流排結構,具有16位元資料匯 流排與2 4位元位址匯流排。 系統控制器34控制化學氣相沉積機器之所有活動。 系統控制器執行系统控制軟艘,其為—電膜程式,儲存於 一電腦可讀取媒體,例如記憶體38。較佳地,記憶體38 23 1332997 為硬碟,但記憶體3 8亦可為其他種類之記憶體。電腦 式包含一組指令,指定引入與排出氣體之時間、氣體 合、製程室壓力、製程室溫度、射頻電源程度、晶座位J 以及特定製程之其他參數。儲存於其他記憶體裝置, 含,例如軟碟或其他適當磁碟之其他電腦程式,亦可用 操作控制器3 4。 上述反應器描述主要用於說明目的,且可利用其他 漿化學氣相沉積設備,例如電子迴旋共振(ECR )電漿 學氣相沉積裝置、感應耦合射頻高密度電漿化學氣相沉 裝置等。此外,上述系統之變化,例如晶座設計、加熱 設計、射頻電源頻率、射頻電源連接位置與其他之變化 亦為可能的。例如,晶圓可由晶座所支撐,並由石英燈 熱。本發明之層,以及形成此層之方法,並未限於任何 定設備或任何特定電漿激發方法。 需瞭解此處所述之發明,可用於使用喷氣頭,以分 製程氣體至基材之任何基板製程系統。此包含化學氣相 積、氣化、氧化、姓刻與清理系統,僅列出數個範例。 然此處已詳細顯示與描述包含本發明教示之各種具體 施例,熟知此項技藝之人士亦可輕易地設計仍含有這些 示之許多其他變化具體實施例。 其他具體實施例乃於下列申請專利範圍中。 【圖式簡單說明】 第1A圖為化學氣相沉積系統之一簡化概要圖示。 程 混 、 包 於 電 化 積 器 等 加 特 佈 沉 雖 實 教 24 1332997 截面簡化仰視圖示。 第8圖為根據本發明另一具體實施例之喷氣頭徑向 截面簡化仰視圖示。 第9A圖為一流程圖示,繪示根據本發明操作沉積室 之一具體實施例方法。 第9B圖為一流程圖示,繪示根據本發明操作沉積室 之另一具體實施例方法。 第9C圖為一流程圖示,繪示根據本發明操作沉積室 之再另一具體實施例方法。 【元件代表符號簡單說明】 07 氣體傳送系統 07a 氣體源 08 氣體供應線路 09 混合系統 10 化學氣相沉積系統 11 氣體散流歧管 12 加熱晶座 12a 晶座表面 13a 散流面板 13b 孔洞 15 處理室 15a 處理室側壁 15b 處理室蓋子組件 26 1332997 16 環 狀 狹 縫 狀 孔 隙 17 環 狀 排 氣 空 間 19 上 電 介 質 内 層 20 圓 形 狀 處 理 室 蓋 子 23 排 氣 通 道 24 關 閉 閥 25 排 氣 出 σ 26 開 σ 34 控 制 器 36 控 制 線 路 37 處 理 器 38 記 憶 體 42 阻 擋 板 44 射 頻 電 源 50 真 空 泵 55 前 管 線 210 沉 積 室 214 入 口 管 線 215 方 向 220 喷 氣 頭 222 間 隔 孔 洞 225 下 表 面 230 半 導 體 晶 圓 235 半 導 體 晶 圓 頂 部 表面 27 1332997 236 薄膜 300 沉積/主要室 305 圓柱狀體積 310 喷氣頭 311 雙重通道面板 312 箭頭 3 14 第3A圖箭頭,說明補充排氣路徑 316 第3A圖箭頭,說明補充排氣路徑 3 18 排氣線路 320 半導體晶圓 321 薄膜 322 箭頭與路徑 3 25 主要排氣路徑 3 30 基座 340 環狀排氣通道 341 陶瓷環 342 排氣線路 346 閥 348 閥 349 孔洞 3 50 處理室 3 55 基座 360 喷氣頭 361 雙重通道面板 28 1332997 362 箭 頭 366 來 白 喷 氣 頭 之 排 出 氣體 367 排 氣 線 路 368 區 域 370 半 導 體 晶 圓 37 1 薄 膜 372 箭 頭 與 徑 向 排 出 氣 體 373 主 要 排 氣 通 道 374 真 空 泵 376 處 理 室 377 喷 氣 頭 378 雙 重 通 道 面 板 380 基 座 381 半 導 體 晶 圓 之 上 表 面 382 薄 膜 385 箭 頭 386 徑 向 排 氣 路 徑 與 箭 頭 387 補 充 排 氣 路 徑 388 補 充 排 氣 路 徑 390 主 要 泵 391 次 要 泵 392 閥 393 排 氣 線 路 394 主 要 排 氣 通 道 29 1332997 395 補充排氣線路與排氣線路 3 96 排氣線路 397 閥 400 噴氣頭 405 面板 410 氣體散流孔隙 411 散流孔隙 412 線路 415 氣體排氣孔隙 416 氣體排氣通道 417 線路 418 線路 419 排氣路徑 43 0 半導體晶圓 440 處理室 450 注入孔洞 455 排氣孔洞 4 7 5 面板 480 放大圊示 485 部分 510 散流孔隙 520 排氣孔隙 630 徑向距離 830 中間半徑區域 30 1332997 835 晶圓中心 840 邊緣 900 方法 901 方法Described in Chamber. The patents of U.S. Patent No. 5,5,5,7,7,7, to Applicable Materials, the patents of which are hereby incorporated by reference. A lifting mechanism and motor (not shown), raising and lowering the heating base assembly 12 and its wafer lifting pin 12b, when the wafer is inserted through one of the sides of the process chamber 1 by a mechanical blade (not shown) The opening 26 is removed and moved into or out of the process chamber body. The motor raises and lowers the crystal seat 丨2 between a process position and a lower wafer loading position. The motor, the valve or fluid controller connected to the supply line 8, the gas delivery system, the throttle valve, the RF power source 44, and the process chamber and pedestal heating system are controlled by the system controller 34 (Fig. 1A)' via the control line 36, wherein only a portion is displayed. The controller 34 determines based on feedback from the optical sensor. The position of the moving mechanical component, such as the throttle valve and the crystal seat, is moved by a suitable motor under the control of the controller 34. In one embodiment, the system controller includes a hard disk (memory 38), a floppy disk And a processor 37. The process processor includes a single motherboard computer (Smgle-Board Computer' SBC), analog and digital input/output motherboard, interface motherboard and step motor controller motherboard. Chemical vapor deposition system 10 Each part is in accordance with the VME (Versa Modular European) standard's definition of the motherboard, interface card and connector size and type. The VME standard also defines the bus structure, with 16-bit data convergence The bus controller is connected to the 24-bit address. The system controller 34 controls all activities of the chemical vapor deposition machine. The system controller executes the system control soft ship, which is a film program stored in a computer readable medium. For example, the memory 38. Preferably, the memory 38 23 1332997 is a hard disk, but the memory 38 can also be other kinds of memory. The computer type includes a set of instructions for specifying the time of introducing and discharging gas, gas bonding, Process chamber pressure, process chamber temperature, RF power level, crystal seat J, and other parameters for specific processes. Stored in other memory devices, including other computer programs such as floppy disks or other suitable disks, can also be operated with controller 3. 4. The above reactor description is mainly for illustrative purposes, and other slurry chemical vapor deposition equipment can be utilized, such as electron cyclotron resonance (ECR) plasma vapor deposition apparatus, inductively coupled RF high-density plasma chemical vapor deposition apparatus. In addition, changes in the above systems, such as crystal design, heating design, RF power frequency, RF power connection position and other changes are also For example, the wafer can be supported by a crystal holder and heated by a quartz lamp. The layer of the present invention, and the method of forming the layer, are not limited to any device or any particular plasma excitation method. The invention can be used in any substrate processing system that uses a jet head to divide process gases into a substrate. This includes chemical vapor deposition, gasification, oxidation, surname and cleaning systems, and only a few examples are listed. Various specific embodiments that incorporate the teachings of the present invention have been shown and described in detail, and those skilled in the art can readily [Simple description of the diagram] Figure 1A is a simplified schematic diagram of one of the chemical vapor deposition systems. The process is mixed, and it is included in the electrochemical accumulator, etc. Although it is practical, the teaching of 24 1332997 is simplified. Figure 8 is a simplified bottom plan view of a radial cross section of a gas jet head in accordance with another embodiment of the present invention. Figure 9A is a flow chart showing a method of operating a deposition chamber in accordance with the present invention. Figure 9B is a flow chart showing another embodiment of a method of operating a deposition chamber in accordance with the present invention. Figure 9C is a flow chart showing another embodiment of a method of operating a deposition chamber in accordance with the present invention. [Simplified Description of Component Symbols] 07 Gas Delivery System 07a Gas Source 08 Gas Supply Line 09 Hybrid System 10 Chemical Vapor Deposition System 11 Gas Dispersion Manifold 12 Heating Crystal Holder 12a Crystal Holder Surface 13a Diffuser Panel 13b Hole 15 Processing Room 15a Process chamber side wall 15b Process chamber cover assembly 26 1332997 16 Annular slit-like aperture 17 Annular exhaust space 19 Upper dielectric inner layer 20 Round shape chamber cover 23 Exhaust passage 24 Close valve 25 Exhaust out σ 26 Open σ 34 Controller 36 Control Line 37 Processor 38 Memory 42 Blocking Plate 44 RF Power Supply 50 Vacuum Pump 55 Front Line 210 Deposition Chamber 214 Inlet Line 215 Direction 220 Jet Head 222 Spacer Hole 225 Lower Surface 230 Semiconductor Wafer 235 Semiconductor Wafer Top Surface 27 1332997 236 Film 300 Deposition/Main chamber 305 Cylindrical volume 310 Air jet head 311 Dual channel panel 312 Arrow 3 14 3A arrow indicating supplemental exhaust path 316 Figure 3A arrow indicating supplemental exhaust path 3 18 Exhaust line 320 Semiconductor wafer 321 Film 322 Arrow and path 3 25 Main exhaust path 3 30 Base 340 Ring exhaust Channel 341 Ceramic ring 342 Exhaust line 346 Valve 348 Valve 349 Hole 3 50 Processing chamber 3 55 Base 360 Jet head 361 Double channel panel 28 1332997 362 Arrow 366 White jet head exhaust gas 367 Exhaust line 368 Area 370 Semiconductor crystal Circle 37 1 film 372 arrow and radial exhaust gas 373 main exhaust passage 374 vacuum pump 376 processing chamber 377 air jet head 378 dual channel panel 380 base 381 semiconductor wafer upper surface 382 film 385 arrow 386 radial exhaust path with arrow 387 Supplemental exhaust path 388 Supplemental exhaust path 390 Main pump 391 Secondary pump 392 Valve 393 Exhaust line 394 Main exhaust line Road 29 1332997 395 Supplemental exhaust line and exhaust line 3 96 Exhaust line 397 Valve 400 Air head 405 Panel 410 Gas diffusing aperture 411 Diffusion aperture 412 Line 415 Gas exhaust aperture 416 Gas exhaust passage 417 Line 418 Line 419 Exhaust path 43 0 Semiconductor wafer 440 Processing chamber 450 Injection hole 455 Vent hole 4 7 5 Panel 480 Magnification 485 Section 510 Diffusion aperture 520 Exhaust aperture 630 Radial distance 830 Intermediate radius area 30 1332997 835 Wafer center 840 Edge 900 Method 901 Method

902 方法 910 步驟 912 步驟 914 步驟 916 步驟 918 步驟 920 步驟 922 步驟 924 步驟 930 步驟 932 步驟 934 步驟 936 步驟 938 步驟 940 步驟 942 步驟 944 步驟 946 步驟 950 步驟 952 步驟 31 1332997 954 步驟 956 步驟 958 步驟 960 步驟 962 步驟 964 步驟 966 步驟 968 步驟 970 步驟902 Method 910 Step 912 Step 914 Step 916 Step 918 Step 920 Step 922 Step 924 Step 930 Step 932 Step 934 Step 936 Step 938 Step 940 Step 942 Step 944 Step 946 Step 950 Step 952 Step 31 1332997 954 Step 956 Step 958 Step 960 Step 962 Step 964 Step 966 Step 968 Step 970 Step

Claims (1)

ι· 一種處理一半導體工件之方法,該方法包含下列步驟: 1332997 在一製程室中將該半導體工件放置在一基座上; 旋轉該半導體工件; 經由位於一氣體散流面板之一第一複數孔隙流動一 製程氣體至該半導體工件;及 經由一製程室排氣通道及位於該氣體散流面板之第 二複數孔隙由該半導體工件移除一氣體,其中一經由該第 二複數孔隙移除該氣體的速率係自該半導體工件的中心徑 向地增加。 2. 如申請專利範圍第1項所述之方法,更包含於流動該 製程氣體前,僅經由該製程室排氣通道移除該氣體。 3. 如申請專利範圍第1項所述之方法,更包含於流動該 製程氣體前,經由該製程室排氣通道與該第二複數孔隙移 除該氣體。 4. 如申請專利範圍第1項所述之方法,更包含僅經由該 製程室排氣通道開始移除該氣體。 5. 如申請專利範圍第1項所述之方法,更包含僅經由該 第二複數孔隙開始移除該氣體。 33 1332997 6. 如申請專利範圍第1項所述之方法,其中該製程室係 排空至一低於20托耳(Torr)之壓力。 7. 如申請專利範圍第6項所述之方法,更包含於流動該 製程氣體前,於該製程室内產生一電漿。 8. 如申請專利範圍第1項所述之方法,更包含於製程期 間,調整經由該製程室排氣通道移除該氣體之一速率。 9. 如申請專利範圍第1項所述之方法,更包含於製程期 間,調整經由該第二複數孔隙移除氣體之一速率。 10. —種於一製程室中處理一半導體晶圓之方法,該方法 包含下列步驟: 將一半導體晶圓置入該製程室; 僅經由一第一排氣通道排空該製程室;隨後 經由位於一喷氣頭之一表面上之一第一孔隙組引入 至少一製程氣體; 經由該第一排氣通道移除氣體;及 經由位於該喷氣頭之該表面上之複數孔隙移除氣 體。 11. 如申請專利範圍第10項所述之方法,其中經由該第一 排氣通道移除之氣體體積係大於經由該複數孔隙移除之 34 1332997 氣體體積。 12. 如申請專利範圍第10項所述之方法,其中該製程室係 排空至一低於20Torr之壓力。 13. 如申請專利範圍第12項所述之方法,其中於引入該至 少一製程氣體之該步驟前,於該製程室内產生一電漿。 14. 如申請專利範圍第10項所述之方法,其中經由該第一 排氣通道與經由該複數孔隙移除該氣體的步驟實質上係 同時發生。 1 5. —種控制沉積在一半導體晶圓上一薄膜特性之一致性 的方法,該方法包含下列步驟: 放置一晶圓於一製程室中; 經由位於一面板上之一第一複數孔隙引入複數種氣 體至該晶圓; 經由位於該面板之一第二複數孔隙移除該些氣體; 及 橫跨一徑向排氣路徑(radial exhaust path)同步移除 該些氣體,其中在製程期間一橫跨該徑向排氣路徑移除該 些氣體的速率係經調整。 16.如申請專利範圍第15項所述之方法,更包含於流動該 35 1332997 些氣體前,僅橫跨該徑向排氣路徑排空該製程室。 17. 如申請專利範圍第15項所述之方法,更包含於流動該 些氣體前,橫跨該徑向排氣路徑與該第二複數孔隙排空該 製程室。 18. 如申請專利範圍第15項所述之方法,更包含僅經由該 徑向排氣路徑開始移除該些氣體。 19. 如申請專利範圍第15項所述之方法,更包含僅經由該 第二複數孔隙開始移除該些氣體。 2 0.如申請專利範圍第15項所述之方法,其中該製程室係 排空至一低於20Torr之壓力。 21.如申請專利範圍第20項所述之方法,更包含於該製程 室中產生一電漿。 2 2.如申請專利範圍第1 5項所述之方法,其中在製程期間 經由該第二複數孔隙移除該些氣體之一速率係經調整。 36 1332997 ;.jr πι· A method of processing a semiconductor workpiece, the method comprising the steps of: 1332997 placing the semiconductor workpiece on a susceptor in a process chamber; rotating the semiconductor workpiece; passing through one of the first plurality of gas diffusing panels The pores flow a process gas to the semiconductor workpiece; and remove a gas from the semiconductor workpiece via a process chamber exhaust passage and a second plurality of pores in the gas diffuser panel, wherein the second plurality of pores are removed via the second plurality of pores The rate of gas increases radially from the center of the semiconductor workpiece. 2. The method of claim 1, further comprising removing the gas only through the process chamber exhaust passage prior to flowing the process gas. 3. The method of claim 1, further comprising removing the gas from the process chamber exhaust passage and the second plurality of pores prior to flowing the process gas. 4. The method of claim 1, further comprising removing the gas only via the process chamber exhaust passage. 5. The method of claim 1, further comprising removing the gas only via the second plurality of pores. The method of claim 1, wherein the process chamber is evacuated to a pressure of less than 20 Torr. 7. The method of claim 6, further comprising generating a plasma in the process chamber prior to flowing the process gas. 8. The method of claim 1, further comprising adjusting a rate of removal of the gas through the process chamber exhaust passage during the process. 9. The method of claim 1, further comprising adjusting a rate of gas removal through the second plurality of pores during the process. 10. A method of processing a semiconductor wafer in a process chamber, the method comprising the steps of: placing a semiconductor wafer into the process chamber; evacuating the process chamber only via a first exhaust passage; One of the first set of pores on one of the surfaces of a jet head introduces at least one process gas; the gas is removed via the first exhaust passage; and the gas is removed via a plurality of pores located on the surface of the jet head. 11. The method of claim 10, wherein the volume of gas removed via the first exhaust passage is greater than the volume of 34 1332997 gas removed via the plurality of apertures. 12. The method of claim 10, wherein the process chamber is evacuated to a pressure of less than 20 Torr. 13. The method of claim 12, wherein a plasma is generated in the process chamber prior to the step of introducing the at least one process gas. 14. The method of claim 10, wherein the step of removing the gas via the plurality of pores via the first exhaust passage substantially simultaneously occurs. 1 5. A method of controlling the uniformity of a film property deposited on a semiconductor wafer, the method comprising the steps of: placing a wafer in a process chamber; introducing via a first plurality of pores on one of the plates a plurality of gases to the wafer; removing the gases via a second plurality of apertures in the panel; and simultaneously removing the gases across a radial exhaust path, wherein during the process The rate at which the gases are removed across the radial exhaust path is adjusted. 16. The method of claim 15, further comprising evacuating the process chamber only across the radial exhaust path prior to flowing the gas. 17. The method of claim 15 further comprising evacuating the process chamber across the radial exhaust path and the second plurality of apertures prior to flowing the gases. 18. The method of claim 15 further comprising removing the gases starting only via the radial exhaust path. 19. The method of claim 15 further comprising removing the gases starting only via the second plurality of pores. The method of claim 15, wherein the process chamber is evacuated to a pressure of less than 20 Torr. 21. The method of claim 20, further comprising generating a plasma in the process chamber. 2. The method of claim 15, wherein the rate at which one of the gases is removed via the second plurality of pores during the process is adjusted. 36 1332997 ;.jr π 濉IAS濉IAS 13329971332997 13329971332997 13329971332997 1332997 第號專利案竹年/月修正1332997 The first patent case bamboo year/month correction 1332997 铖3B®1332997 铖3B® 13329971332997 1332997 铖4AM1332997 铖4AM 1.3329971.332997 部分仰視 注入孔洞 450Partially looking up, injecting holes 450 AA線視圖 排氣孔洞 455 第4B圖 1332997AA line view vent hole 455 4B picture 1332997 第4CA圖 1332997 5104CA diagram 1332997 510 520520 1332997 5101332997 510 第6圖 630 1332997 510Figure 6 630 1332997 510 第7圖 630 1332997 510Figure 7 630 1332997 510 520520 630 1332997630 1332997 第9A圖 901 9301332997 符年7月/设η J 置入晶圓於沉積室 V—932 經由主要排氣 排空沉積室 經由面板引入 製程氣體 934 1 r 經由第一排氣 移除製程氣體 與反應副產物 936 ^-938 1 r 經由主要排氣移除 經由次要排氣移除 製程氣體與反應副產物 製程氣體與反應副產物 ^-940 停止製程氣體 之流動 ^-942 提高沉積室壓力 944 1Γ V—946 第9B圖 由沉積室移除晶圓 1332997Figure 9A Figure 901 9301332997 Year of the Lunar July / Set η J Place the wafer in the deposition chamber V-932 Introduce the process gas via the panel through the main exhaust evacuation deposition chamber 934 1 r Remove the process gas and reaction via the first exhaust By-product 936 ^-938 1 r Removal of main process gas and reaction by-product process gas and reaction by-products from the secondary exhaust gas ^-940 Stop process gas flow ^-942 Increase deposition chamber pressure 944 1Γ V-946 Figure 9B removes the wafer from the deposition chamber 1332997
TW093135727A 2003-11-19 2004-11-19 Gas distribution showerhead featuring exhaust apertures TWI332997B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/717,881 US20050103265A1 (en) 2003-11-19 2003-11-19 Gas distribution showerhead featuring exhaust apertures

Publications (2)

Publication Number Publication Date
TW200526799A TW200526799A (en) 2005-08-16
TWI332997B true TWI332997B (en) 2010-11-11

Family

ID=34574628

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093135727A TWI332997B (en) 2003-11-19 2004-11-19 Gas distribution showerhead featuring exhaust apertures

Country Status (6)

Country Link
US (2) US20050103265A1 (en)
JP (1) JP5001656B2 (en)
KR (1) KR101081628B1 (en)
CN (1) CN101120122B (en)
TW (1) TWI332997B (en)
WO (1) WO2005052998A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9911578B2 (en) 2009-12-03 2018-03-06 Lam Research Corporation Small plasma chamber systems and methods
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
JP4790291B2 (en) * 2005-03-10 2011-10-12 東京エレクトロン株式会社 Substrate processing method, recording medium, and substrate processing apparatus
JPWO2007018139A1 (en) * 2005-08-10 2009-02-19 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
US20070095283A1 (en) * 2005-10-31 2007-05-03 Galewski Carl J Pumping System for Atomic Layer Deposition
US7645483B2 (en) * 2006-01-17 2010-01-12 Eastman Kodak Company Two-dimensional aperture array for vapor deposition
JP4782585B2 (en) * 2006-02-28 2011-09-28 株式会社日立ハイテクノロジーズ Plasma etching apparatus and method
US7794546B2 (en) * 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7670432B2 (en) * 2006-03-08 2010-03-02 Tokyo Electron Limited Exhaust system for a vacuum processing system
JP5179739B2 (en) * 2006-09-27 2013-04-10 東京エレクトロン株式会社 Vapor deposition apparatus, vapor deposition apparatus control apparatus, vapor deposition apparatus control method, and vapor deposition apparatus usage method
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
WO2008129977A1 (en) * 2007-04-17 2008-10-30 Ulvac, Inc. Film forming apparatus
JP4900956B2 (en) * 2007-06-25 2012-03-21 東京エレクトロン株式会社 Gas supply mechanism and substrate processing apparatus
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
KR100888652B1 (en) * 2007-08-14 2009-03-13 세메스 주식회사 Plasma reactor with exhaust holes and atmospheric pressure plasma apparatus including thereof
JP4936297B2 (en) * 2007-09-04 2012-05-23 シャープ株式会社 Plasma processing apparatus, plasma processing method, and semiconductor device
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US7967912B2 (en) * 2007-11-29 2011-06-28 Nuflare Technology, Inc. Manufacturing apparatus for semiconductor device and manufacturing method for semiconductor device
JP4956470B2 (en) * 2007-11-29 2012-06-20 株式会社ニューフレアテクノロジー Semiconductor manufacturing apparatus and semiconductor manufacturing method
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US8010225B2 (en) * 2008-01-30 2011-08-30 International Business Machines Corporation Method and system of monitoring manufacturing equipment
JP5202050B2 (en) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 Shower head and substrate processing apparatus
JP5179389B2 (en) 2008-03-19 2013-04-10 東京エレクトロン株式会社 Shower head and substrate processing apparatus
JP4731580B2 (en) * 2008-03-27 2011-07-27 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP5231117B2 (en) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー Film forming apparatus and film forming method
KR101470883B1 (en) * 2008-12-29 2014-12-10 주식회사 케이씨텍 Atomic layer deposition apparatus
JP5221421B2 (en) * 2009-03-10 2013-06-26 東京エレクトロン株式会社 Shower head and plasma processing apparatus
JP5323628B2 (en) * 2009-09-17 2013-10-23 東京エレクトロン株式会社 Plasma processing equipment
JP5367522B2 (en) 2009-09-24 2013-12-11 東京エレクトロン株式会社 Plasma processing apparatus and shower head
JP5432686B2 (en) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 Plasma processing equipment
JP2011144412A (en) * 2010-01-13 2011-07-28 Honda Motor Co Ltd Plasma film-forming apparatus
FI124414B (en) * 2010-04-30 2014-08-29 Beneq Oy Outlets and apparatus for processing substrates
JP5591585B2 (en) * 2010-05-17 2014-09-17 東京エレクトロン株式会社 Plasma processing equipment
US20130059448A1 (en) * 2011-09-07 2013-03-07 Lam Research Corporation Pulsed Plasma Chamber in Dual Chamber Configuration
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
KR101390462B1 (en) * 2010-08-04 2014-04-29 아이신세이끼가부시끼가이샤 Carbon nanotube device, process for production of carbon nanotube, and device for production of carbon nanotube
JP5625598B2 (en) * 2010-08-04 2014-11-19 アイシン精機株式会社 Carbon nanotube device
JP5630640B2 (en) * 2010-08-04 2014-11-26 アイシン精機株式会社 Carbon nanotube manufacturing method and carbon nanotube manufacturing apparatus
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
WO2012018344A1 (en) * 2010-08-06 2012-02-09 Avl North America Inc. Particulate measurement system
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US8580044B2 (en) 2010-08-13 2013-11-12 Samsung Austin Semiconductor, L.P. Apparatus for agitating and evacuating byproduct dust from a semiconductor processing chamber
TWI507561B (en) * 2010-12-10 2015-11-11 Ind Tech Res Inst Showerhead integrating intake and exhaust
GB201021870D0 (en) * 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
US8597429B2 (en) 2011-01-18 2013-12-03 Nuflare Technology, Inc. Manufacturing apparatus and method for semiconductor device
US20130034666A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9982340B2 (en) * 2012-04-04 2018-05-29 Taiwan Semiconductor Manufacturing Co. Ltd. Shower head apparatus and method for controlling plasma or gas distribution
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
JP6119408B2 (en) * 2013-05-09 2017-04-26 ソニー株式会社 Atomic layer deposition equipment
JP5793170B2 (en) * 2013-09-30 2015-10-14 株式会社日立国際電気 Semiconductor device manufacturing method, substrate processing apparatus, and program
JP5800952B1 (en) * 2014-04-24 2015-10-28 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, program, and recording medium
US9228260B1 (en) * 2014-07-30 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer processing chamber, heat treatment apparatus and method for processing wafers
CN104213102B (en) * 2014-09-01 2016-08-24 沈阳拓荆科技有限公司 Cavity airflow direction varistructure
JP6327189B2 (en) * 2015-04-03 2018-05-23 株式会社島津製作所 Process processing equipment
KR102420015B1 (en) * 2015-08-28 2022-07-12 삼성전자주식회사 Shower head of Combinatorial Spatial Atomic Layer Deposition apparatus
US10269600B2 (en) 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
USD794753S1 (en) 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD793526S1 (en) 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD790039S1 (en) 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
JP6814561B2 (en) * 2016-07-07 2021-01-20 昭和電工株式会社 Gas piping system, chemical vapor deposition equipment, film formation method and method for manufacturing SiC epitaxial wafer
US10403476B2 (en) 2016-11-09 2019-09-03 Lam Research Corporation Active showerhead
WO2018106955A1 (en) 2016-12-09 2018-06-14 Asm Ip Holding B.V. Thermal atomic layer etching processes
US10801106B2 (en) * 2016-12-15 2020-10-13 Asm Ip Holding B.V. Shower plate structure for exhausting deposition inhibiting gas
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
KR102493945B1 (en) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Deposition radial and edge profile tenability through independent control of teos flow
KR101939481B1 (en) * 2017-07-27 2019-01-16 성균관대학교산학협력단 Ion bean etching apparatus
US11047050B2 (en) * 2018-10-30 2021-06-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor tool having controllable ambient environment processing zones
US11600468B2 (en) 2019-02-05 2023-03-07 Applied Materials, Inc. Multi channel splitter spool
KR102204883B1 (en) 2019-05-09 2021-01-19 세메스 주식회사 Apparatus for treating substrate
JP2021019201A (en) * 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. Showerhead device for semiconductor processing system
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
US11854839B2 (en) 2020-04-15 2023-12-26 Mks Instruments, Inc. Valve apparatuses and related methods for reactive process gas isolation and facilitating purge during isolation
US20210404059A1 (en) * 2020-06-26 2021-12-30 Applied Materials, Inc. Processing system and method of controlling conductance in a processing system
CN112522682B (en) * 2020-11-03 2022-05-27 鑫天虹(厦门)科技有限公司 Atomic layer deposition apparatus and process
CN113471123B (en) * 2021-07-06 2023-08-25 华海清科股份有限公司 Wafer vertical rotation processing equipment and ventilation system applied by same

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
JPS61174388A (en) * 1985-01-30 1986-08-06 Hitachi Ltd Etching device
US4793975A (en) * 1985-05-20 1988-12-27 Tegal Corporation Plasma Reactor with removable insert
JPH01108930U (en) * 1988-01-14 1989-07-24
KR0170391B1 (en) * 1989-06-16 1999-03-30 다카시마 히로시 Processing apparatus with a gas distributor having back and forth parallel movement relative to a workpiece support
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
DE4011933C2 (en) * 1990-04-12 1996-11-21 Balzers Hochvakuum Process for the reactive surface treatment of a workpiece and treatment chamber therefor
US5427625A (en) * 1992-12-18 1995-06-27 Tokyo Electron Kabushiki Kaisha Method for cleaning heat treatment processing apparatus
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5614026A (en) * 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
JP3535309B2 (en) * 1996-04-10 2004-06-07 東京エレクトロン株式会社 Decompression processing equipment
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
US5789028A (en) * 1997-03-04 1998-08-04 Lsi Logic Corporation Method for eliminating peeling at end of semiconductor substrate in metal organic chemical vapor deposition of titanium nitride
US6537418B1 (en) * 1997-09-19 2003-03-25 Siemens Aktiengesellschaft Spatially uniform gas supply and pump configuration for large wafer diameters
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
WO1999053117A2 (en) * 1998-04-14 1999-10-21 Cvd Systems, Inc. Film deposition system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
KR100733237B1 (en) * 1999-10-13 2007-06-27 동경 엘렉트론 주식회사 Processing apparatus
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
JP2002100623A (en) * 2000-09-20 2002-04-05 Fuji Daiichi Seisakusho:Kk Thin film semiconductor manufacturing apparatus
JP2002184764A (en) * 2000-12-18 2002-06-28 Hitachi Ltd Plasma processing apparatus
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP4908738B2 (en) * 2002-01-17 2012-04-04 サンデュー・テクノロジーズ・エルエルシー ALD method
JP2004035971A (en) * 2002-07-05 2004-02-05 Ulvac Japan Ltd Thin film manufacturing apparatus
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9911578B2 (en) 2009-12-03 2018-03-06 Lam Research Corporation Small plasma chamber systems and methods
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus

Also Published As

Publication number Publication date
US20050103265A1 (en) 2005-05-19
WO2005052998A9 (en) 2009-05-07
US7452827B2 (en) 2008-11-18
JP2007525021A (en) 2007-08-30
KR101081628B1 (en) 2011-11-09
WO2005052998A3 (en) 2007-11-01
US20060234514A1 (en) 2006-10-19
CN101120122A (en) 2008-02-06
TW200526799A (en) 2005-08-16
JP5001656B2 (en) 2012-08-15
WO2005052998A2 (en) 2005-06-09
KR20060096460A (en) 2006-09-11
CN101120122B (en) 2011-02-16

Similar Documents

Publication Publication Date Title
TWI332997B (en) Gas distribution showerhead featuring exhaust apertures
TWI498988B (en) A gas supply device, a film forming apparatus, and a film forming method
US6821347B2 (en) Apparatus and method for depositing materials onto microelectronic workpieces
JP6379550B2 (en) Deposition equipment
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
KR100770461B1 (en) Gas treating device and film forming device
US6444039B1 (en) Three-dimensional showerhead apparatus
KR100574116B1 (en) Single-substrate-treating apparatus for semiconductor processing system
US20160340781A1 (en) Deposition apparatus including edge plenum showerhead assembly
WO2007114335A1 (en) Substrate processing apparatus and substrate placing table
US6818249B2 (en) Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
KR101935086B1 (en) Film forming apparatus
KR20170007132A (en) Substrate processing apparatus
JP6735549B2 (en) Substrate processing apparatus, substrate processing method and ring-shaped member
US20050126484A1 (en) Edge flow faceplate for improvement of CVD film properties
CN111378959A (en) Film forming apparatus and film forming processing method
CN110846636A (en) Coating material for processing chamber
TWI809496B (en) High conductance process kit
JP2004217956A (en) Substrate treatment apparatus
JP2009173963A (en) Thin film deposition apparatus