JP5944429B2 - 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体 - Google Patents

基板処理装置、半導体装置の製造方法、プログラム及び記録媒体 Download PDF

Info

Publication number
JP5944429B2
JP5944429B2 JP2014059223A JP2014059223A JP5944429B2 JP 5944429 B2 JP5944429 B2 JP 5944429B2 JP 2014059223 A JP2014059223 A JP 2014059223A JP 2014059223 A JP2014059223 A JP 2014059223A JP 5944429 B2 JP5944429 B2 JP 5944429B2
Authority
JP
Japan
Prior art keywords
substrate
gas
processing
mounting table
space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014059223A
Other languages
English (en)
Other versions
JP2015183211A (ja
Inventor
秀治 板谷
秀治 板谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2014059223A priority Critical patent/JP5944429B2/ja
Priority to KR1020140067426A priority patent/KR20150110246A/ko
Priority to TW103122668A priority patent/TWI534866B/zh
Priority to CN201410356571.9A priority patent/CN104934346B/zh
Priority to US14/482,447 priority patent/US9523150B2/en
Publication of JP2015183211A publication Critical patent/JP2015183211A/ja
Application granted granted Critical
Publication of JP5944429B2 publication Critical patent/JP5944429B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping

Description

本発明は、基板処理装置、半導体装置の製造方法、プログラム及び記録媒体に関する。
一般に、半導体装置の製造工程では、ウエハ等の基板に対して成膜処理等のプロセス処理を行う基板処理装置が用いられる。基板処理装置としては、基板を一枚ずつ処理する枚葉式のものが知られている。
枚葉式の基板処理装置が行うプロセス処理としては、例えば複数の処理ガスを交互に供給するサイクリック処理がある。このサイクリック処理では、処理空間内の基板に対して、例えば、原料ガス供給工程、パージ工程、反応ガス供給工程、パージ工程を1サイクルとして、このサイクルを所定回数(nサイクル)繰り返すことで、基板上への膜形成を行う。よって、このサイクリック処理を効率的に行うためには、処理空間内の基板に対するガス供給の均一化と、処理空間内からの残留ガス排気の迅速化とを両立することが求められる。処理空間内の基板に対するガス供給の均一化を図る技術として、例えば特許文献1,2,3に記載されるように、排気コンダクタンスを調整する技術が知られている。
特開2005−113268号公報 特開2000−58298号公報 特開2010−202982号公報
処理空間内の基板に対するガス供給の均一化と、処理空間内からの残留ガス排気の迅速化とをより効果的に両立するには、排気コンダクタンスをより適切に行えるように構成することが望ましい。
そこで、本発明は、排気コンダクタンス調整を適切に行えるようにし、これにより膜形成時における処理空間内の圧力の均一化を確実に図ることができる基板処理装置、半導体装置の製造方法、プログラム及び記録媒体を提供することを目的とする。
本発明の一態様によれば、
基板を処理する処理空間と、
前記基板を載置する基板載置台と、
前記基板載置台を昇降させ前記基板を搬送位置と前記処理空間内の基板処理位置との間で昇降させる昇降機構と、
前記処理空間内にガスを供給するガス供給系と、
前記処理空間の側方周囲を囲むように設けられた空間を持ち、前記処理空間内に供給されたガスが流入する排気バッファ室と、
前記排気バッファ室内に流入したガスを排気するガス排気系と、
前記基板の外周側に配置されるコンダクタンス調整プレートと、を備え、
前記コンダクタンス調整プレートは、
前記基板が前記基板処理位置にあるときは内周側が前記基板載置台によって支持され、前記基板が前記搬送位置にあるときは外周側が前記基板載置台以外の部位によって支持されるように形成されており、
前記処理空間から前記排気バッファ室へのガス流路に面する内周側端縁にR状部分またはテーパ傾斜状部分を有する
基板処理装置が提供される。
本発明の他の態様によれば、
基板が載置された基板載置台を上昇させ、前記基板を処理空間の基板処理位置まで上昇させると共に、前記基板の外周側に配置された、内周側端縁にR状部分またはテーパ傾斜状部分を有するコンダクタンス調整プレートの内周側を前記基板載置台で支持する基板載置台上昇工程と、
前記基板の処理空間内にガスを供給するガス供給工程と、
前記処理空間内に供給されたガスを、前記コンダクタンス調整プレートが配置されたガス流路を介し、前記処理空間の側方周囲を囲むように設けられた空間を持つ排気バッファ室に流入させた後に、前記排気バッファ室内から排気するガス排気工程と、
を有する半導体装置の製造方法が提供される。
本発明の他の態様によれば、
基板が載置された基板載置台を上昇させ、前記基板を処理空間の基板処理位置まで上昇させると共に、前記基板の外周側に配置された、内周側端縁にR状部分またはテーパ傾斜状部分を有するコンダクタンス調整プレートの内周側を前記基板載置台で支持する基板載置台上昇手順と、
前記基板の処理空間内にガスを供給するガス供給手順と、
前記処理空間内に供給されたガスを、前記コンダクタンス調整プレートが配置されたガス流路を介し、前記処理空間の側方周囲を囲むように設けられた空間を持つ排気バッファ室に流入させた後に、前記排気バッファ室内から排気するガス排気手順と、
をコンピュータに実行させるプログラムが提供される。
本発明の他の態様によれば、
基板が載置された基板載置台を上昇させ、前記基板を処理空間の基板処理位置まで上昇させると共に、前記基板の外周側に配置された、内周側端縁にR状部分またはテーパ傾斜状部分を有するコンダクタンス調整プレートの内周側を前記基板載置台で支持する基板載置台上昇手順と、
前記基板の処理空間内にガスを供給するガス供給手順と、
前記処理空間内に供給されたガスを、前記コンダクタンス調整プレートが配置されたガス流路を介し、前記処理空間の側方周囲を囲むように設けられた空間を持つ排気バッファ室に流入させた後に、前記排気バッファ室内から排気するガス排気手順と、
をコンピュータに実行させるプログラムが格納されたコンピュータ読み取り可能な記録媒体が提供される。
本発明によれば、排気コンダクタンス調整を適切に行えるようになり、これにより膜形成時における処理空間内の圧力の均一化を確実に図れ、その結果として基板上における膜厚均一性を向上させることができる。
本発明の一実施形態に係る枚葉式の基板処理装置の概略構成図である。 本発明の一実施形態に係る基板処理工程を示すフロー図である。 図2における成膜工程の詳細を示すフロー図である。 図1の基板処理装置におけるコンダクタンス調整プレートのプレート配置の一具体例を示す概略構成例である。 図1の基板処理装置におけるコンダクタンス調整プレートのプレート形状の一具体例を示す概略構成例である。 図1の基板処理装置におけるコンダクタンス調整プレートと排気バッファ室との位置関係の一具体例を示す概略構成例である。
<本発明の一実施形態>
以下に、本発明の一実施形態について、図面を参照しながら説明する。
(1)基板処理装置の構成
本実施形態に係る基板処理装置は、処理対象となる基板に対して一枚ずつ処理を行う枚葉式の基板処理装置として構成されている。
処理対象となる基板としては、例えば、半導体装置(半導体デバイス)が作り込まれる半導体ウエハ基板(以下、単に「ウエハ」という。)が挙げられる。
このような基板に対して行う処理としては、エッチング、アッシング、成膜処理等が挙げられるが、本実施形態では特に成膜処理を行うものとする。
以下、本実施形態に係る基板処理装置の構成について、図1を参照しながら説明する。図1は、本実施形態に係る枚葉式の基板処理装置の概略構成図である。
(処理容器)
図1に示すように、基板処理装置100は処理容器202を備えている。処理容器202は、例えば横断面が円形であり扁平な密閉容器として構成されている。また、処理容器202は、例えばアルミニウム(Al)やステンレス(SUS)などの金属材料により構成されている。処理容器202内には、ウエハ200を処理する処理空間201と、ウエハ200を処理空間201に搬送する際にウエハ200が通過する搬送空間203とが形成されている。処理容器202は、上部容器202aと下部容器202bで構成される。上部容器202aと下部容器202bの間には仕切り板204が設けられる。
下部容器202bの側面には、ゲートバルブ205に隣接した基板搬入出口206が設けられており、ウエハ200は基板搬入出口206を介して図示しない搬送室との間を移動する。下部容器202bの底部には、リフトピン207が複数設けられている。更に、下部容器202bは接地されている。
(基板支持部)
処理空間201の下部には、ウエハ200を支持する基板支持部210が設けられている。基板支持部210は、ウエハ200を載置する基板載置面211と、基板載置面211を表面に持つ基板載置台212と、基板載置台212に内包された加熱源としてのヒータ213と、を主に有する。基板載置台212には、リフトピン207が貫通する貫通孔214が、リフトピン207と対応する位置にそれぞれ設けられている。
基板載置台212は、シャフト217によって支持される。シャフト217は、処理容器202の底部を貫通しており、更には処理容器202の外部で昇降機構218に接続されている。昇降機構218を作動させてシャフト217及び基板載置台212を昇降させることにより、基板載置面211上に載置されるウエハ200を昇降させることが可能となっている。なお、シャフト217の下端部の周囲はベローズ219により覆われており、処理容器202内は気密に保持されている。
基板載置台212は、ウエハ200の搬送時には、基板載置面211が基板搬入出口206に対向する位置(ウエハ搬送位置)まで下降し、ウエハ200の処理時には、図1で示されるように、ウエハ200が処理空間201内の処理位置(ウエハ処理位置)となるまで上昇する。
具体的には、基板載置台212をウエハ搬送位置まで下降させた時には、リフトピン207の上端部が基板載置面211の上面から突出して、リフトピン207がウエハ200を下方から支持するようになっている。また、基板載置台212をウエハ処理位置まで上昇させたときには、リフトピン207は基板載置面211の上面から埋没して、基板載置面211がウエハ200を下方から支持するようになっている。なお、リフトピン207は、ウエハ200と直接触れるため、例えば、石英やアルミナなどの材質で形成することが望ましい。
(シャワーヘッド)
処理空間201の上部(ガス供給方向上流側)には、ガス分散機構としてのシャワーヘッド230が設けられている。シャワーヘッド230の蓋231にはガス導入孔241が設けられ、当該ガス導入孔241には後述するガス供給系が接続される。ガス導入孔241から導入されるガスは、シャワーヘッド230のバッファ空間232に供給される。
シャワーヘッド230の蓋231は、導電性のある金属で形成され、バッファ空間232又は処理空間201内でプラズマを生成するための電極として用いられる。蓋231と上部容器202aとの間には絶縁ブロック233が設けられ、蓋231と上部容器202aの間を絶縁している。
シャワーヘッド230は、ガス導入孔241を介してガス供給系から供給されるガスを分散させるための分散板234を備えている。この分散板234の上流側がバッファ空間232であり、下流側が処理空間201である。分散板234には、複数の貫通孔234aが設けられている。分散板234は、基板載置面211と対向するように配置されている。
バッファ空間232には、供給されたガスの流れを形成するガスガイド235が設けられる。ガスガイド235は、ガス導入孔241を頂点として分散板234方向に向かうにつれ径が広がる円錐形状である。ガスガイド235は、その下端が、分散板234の最も外周側に形成される貫通孔234aよりも更に外周側に位置するように形成される。
(プラズマ生成部)
シャワーヘッド230の蓋231には、整合器251、高周波電源252が接続されている。そして、高周波電源252、整合器251でインピーダンスを調整することで、シャワーヘッド230、処理空間201にプラズマが生成されるようになっている。
(ガス供給系)
シャワーヘッド230の蓋231に設けられたガス導入孔241には、共通ガス供給管242が接続されている。共通ガス供給管242は、ガス導入孔241への接続によって、シャワーヘッド230内のバッファ空間232に連通することになる。また、共通ガス供給管242には、第一ガス供給管243aと、第二ガス供給管244aと、第三ガス供給管245aと、が接続されている。第二ガス供給管244aは、リモートプラズマユニット(RPU)244eを介して共通ガス供給管242に接続される。
これらのうち、第一ガス供給管243aを含む原料ガス供給系243からは原料ガスが主に供給され、第二ガス供給管244aを含む反応ガス供給系244からは主に反応ガスが供給される。第三ガス供給管245aを含むパージガス供給系245からは、ウエハを処理する際には主に不活性ガスが供給され、シャワーヘッド230や処理空間201をクリーニングする際はクリーニングガスが主に供給される。
(原料ガス供給系)
第一ガス供給管243aには、上流方向から順に、原料ガス供給源243b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)243c、及び開閉弁であるバルブ243dが設けられている。そして、第一ガス供給管243aからは、原料ガスが、MFC243c、バルブ243d、共通ガス供給管242を介して、シャワーヘッド230内に供給される。
原料ガスは、処理ガスの一つであり、例えばTi(チタニウム)元素を含む金属液体原料であるTiCl(Titanium Tetrachloride)を気化させて得られる原料ガス(すなわちTiClガス)である。なお、原料ガスは、常温常圧で固体、液体、及び気体のいずれであっても良い。原料ガスが常温常圧で液体の場合は、第一ガス供給源243bとマスフローコントローラ243cとの間に、図示しない気化器を設ければよい。ここでは気体として説明する。
主に、第一ガス供給管243a、MFC243c、バルブ243dにより、原料ガス供給系243が構成される。なお、原料ガス供給系243は、原料ガス供給源243b、後述する第一不活性ガス供給系を含めて考えてもよい。また、原料ガス供給系243は、処理ガスの一つである原料ガスを供給するものであることから、処理ガス供給系の一つに該当することになる。
第一ガス供給管243aのバルブ243dよりも下流側には、第一不活性ガス供給管246aの下流端が接続されている。第一不活性ガス供給管246aには、上流方向から順に、不活性ガス供給源246b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)246c、及び開閉弁であるバルブ246dが設けられている。そして、第一不活性ガス供給管246aからは、不活性ガスが、MFC246c、バルブ246d、第一ガス供給管243a、共通ガス供給管242を介して、シャワーヘッド230内に供給される。
不活性ガスは、原料ガスのキャリアガスとして作用するもので、原料とは反応しないガスを用いることが好ましい。具体的には、例えば、窒素(N)ガスを用いることができる。また、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いることができる。
主に、第一不活性ガス供給管246a、MFC246c及びバルブ246dにより、第一不活性ガス供給系が構成される。なお、第一不活性ガス供給系は、不活性ガス供給源236b、第一ガス供給管243aを含めて考えてもよい。また、第一不活性ガス供給系は、原料ガス供給系243に含めて考えてもよい。
(反応ガス供給系)
第二ガス供給管244aには、上流方向から順に、反応ガス供給源244b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)244c、及び開閉弁であるバルブ244dが設けられている。第二ガス供給管244aのバルブ244dよりも下流側にはRPU244eが設けられている。そして、第二ガス供給管244aからは、反応ガスが、MFC244c、バルブ244d、RPU244e、共通ガス供給管242を介して、シャワーヘッド230内に供給される。反応ガスは、リモートプラズマユニット244eによりプラズマ状態とされ、ウエハ200上に照射される。
反応ガスは、処理ガスの一つであり、例えばアンモニア(NH)ガスが用いられる。
主に、第二ガス供給管244a、MFC244c、バルブ244dにより、反応ガス供給系244が構成される。なお、反応ガス供給系244は、反応ガス供給源244b、RPU244e、後述する第二不活性ガス供給系を含めて考えてもよい。また、反応ガス供給系244は、処理ガスの一つである反応ガスを供給するものであることから、処理ガス供給系の他の一つに該当することになる。
第二ガス供給管244aのバルブ244dよりも下流側には、第二不活性ガス供給管247aの下流端が接続されている。第二不活性ガス供給管247aには、上流方向から順に、不活性ガス供給源247b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)247c、及び開閉弁であるバルブ247dが設けられている。そして、第二不活性ガス供給管247aからは、不活性ガスが、MFC247c、バルブ247d、第二ガス供給管244a、RPU244e、共通ガス供給管242を介して、シャワーヘッド230内に供給される。
不活性ガスは、反応ガスのキャリアガス又は希釈ガスとして作用するものである。具体的には、例えば、窒素(N)ガスを用いることができる。また、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いてもよい。
主に、第二不活性ガス供給管247a、MFC247c、及びバルブ247dにより、第二不活性ガス供給系が構成される。なお、第二不活性ガス供給系は、不活性ガス供給源247b、第二ガス供給管243a、RPU244eを含めて考えてもよい。また、第二不活性ガス供給系は、反応ガス供給系244に含めて考えてもよい。
(パージガス供給系)
第三ガス供給管245aには、上流方向から順に、パージガス供給源245b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)245c、及び開閉弁であるバルブ245dが設けられている。そして、第三ガス供給管245aからは、基板処理工程では、パージガスとしての不活性ガスが、MFC245c、バルブ245d、共通ガス供給管242を介して、シャワーヘッド230内に供給される。また、クリーニング工程では、必要に応じて、クリーニングガスのキャリアガス又は希釈ガスとしての不活性ガスが、MFC245c、バルブ245d、共通ガス供給管242を介して、シャワーヘッド230内に供給される。
パージガス供給源245bから供給される不活性ガスは、基板処理工程では、処理容器202やシャワーヘッド230内に留まったガスをパージするパージガスとして作用する。また、クリーニング工程では、クリーニングガスのキャリアガス或いは希釈ガスとして作用しても良い。具体的には、不活性ガスとして、例えば、窒素(N)ガスを用いることができる。また、Nガスのほか、例えばヘリウム(He)ガス、ネオン(Ne)ガス、アルゴン(Ar)ガス等の希ガスを用いてもよい。
主に、第三ガス供給管245a、MFC245c、バルブ245dにより、パージガス供給系245が構成される。なお、パージガス供給系245は、パージガス供給源245b、後述するクリーニングガス供給系を含めて考えてもよい。
(クリーニングガス供給系)
第三ガス供給管245aのバルブ245dよりも下流側には、クリーニングガス供給管248aの下流端が接続されている。クリーニングガス供給管248aには、上流方向から順に、クリーニングガス供給源248b、流量制御器(流量制御部)であるマスフローコントローラ(MFC)248c、及び開閉弁であるバルブ248dが設けられている。そして、第三ガス供給管245aからは、クリーニング工程では、クリーニングガスが、MFC248c、バルブ248d、共通ガス供給管242を介して、シャワーヘッド230内に供給される。
クリーニングガス供給源248bから供給されるクリーニングガスは、クリーニング工程ではシャワーヘッド230や処理容器202に付着した副生成物等を除去するクリーニングガスとして作用する。具体的には、クリーニングガスとして、例えば三フッ化窒素(NF)ガスを用いることが考えられる。また、例えば、フッ化水素(HF)ガス、三フッ化塩素(ClF)ガス、フッ素(F)ガス等を用いても良く、またこれらを組合せて用いても良い。
主に、クリーニングガス供給管248a、MFC248c、及びバルブ248dにより、クリーニングガス供給系が構成される。なお、クリーニングガス供給系は、クリーニングガス供給源248b、第三ガス供給管245aを含めて考えてもよい。また、クリーニングガス供給系は、パージガス供給系245に含めて考えてもよい。
(ガス排気系)
処理容器202の雰囲気を排気する排気系は、処理容器202に接続された複数の排気管を有する。具体的には、搬送空間203に接続される排気管(第一排気管)261と、バッファ空間232に接続される排気管(第二排気管)262と、後述する排気バッファ室208に接続される排気管(第三排気管)263と、を有する。また、各排気管261,262,263の下流側には、排気管(第四排気管)264が接続される。
(第一ガス排気系)
第一排気管261は、搬送空間203の側面あるいは底面に接続される。第一排気管261には、高真空あるいは超高真空を実現する真空ポンプとして、ターボ分子ポンプ(TMP:Turbo Molecular Pump)265が設けられる。第一排気管261において、TMP265の下流側には、バルブ266が設けられる。また、第一排気管261において、TMP265の上流側には、バルブ267が設けられる。また、第一排気管261において、バルブ267の上流側には、バイパス管261aが接続される。バイパス管261aには、バルブ261bが設けられる。バイパス管261aの下流側は、第四排気管264に接続される。
主に、第一排気管261、TMP265、バルブ266,267、バイパス管261a、及びバルブ261bによって、第一ガス排気系が構成される。
(第二ガス排気系)
第二排気管262は、バッファ空間232の上面又は側面に接続される。つまり、第二排気管262は、シャワーヘッド230に接続され、これによりシャワーヘッド230内のバッファ空間232に連通することになる。また、第二排気管262には、バルブ268が設けられる。
主に、第二排気管262、及びバルブ268によって、第二ガス排気系が構成される。
(第三ガス排気系)
第三排気管263は、排気バッファ室208の上面又は側方に接続される。第三排気管263には、排気バッファ室208に連通する処理空間201内を所定の圧力に制御する圧力制御器であるAPC(Auto Pressure Controller)269が設けられる。APC269は、開度調整可能な弁体(図示せず)を有し、後述するコントローラ280からの指示に応じて第三排気管263のコンダクタンスを調整する。第三排気管263において、APC269の上流側には、バルブ271が設けられる。
主に、第三排気管263、APC269、及びバルブ271によって、第三ガス排気系が構成される。
第四排気管264は、ドライポンプ(DP:Dry Pump)272が設けられる。図示のように、第四排気管264には、その上流側から第二排気管262、第三排気管263、第一排気管261、バイパス管261aが接続され、さらにそれらの下流にDP272が設けられる。DP272は、第二排気管262、第三排気管263、第一排気管261及びバイパス管261aのそれぞれを介して、バッファ空間232、処理空間201及び排気バッファ室208、並びに搬送空間203のそれぞれの雰囲気を排気する。また、DP272は、TMP265が動作するときに、その補助ポンプとしても機能する。
(排気バッファ室)
第三排気管263が接続される排気バッファ室208は、処理空間201内のガスを側方周囲に向かって排出する際のバッファ空間として機能するものである。そのために、排気バッファ室208は、処理空間201の側方周囲を囲むように設けられた空間を持つ。この空間は、上部容器202aによって空間の天井面及び両側壁面が形成され、仕切り板204によって空間の床面が形成され、更に内周側が処理空間201と連通するように形成されている。つまり、排気バッファ室208は、処理空間201の外周側に平面視リング状(円環状)に形成された空間を有している。
排気バッファ室208が持つ空間には、第三ガス排気系の第三排気管263が接続される。これにより、排気バッファ室208には、処理空間201内に供給されたガスが、処理空間201と排気バッファ室208との間の連通路(ガス流路)を通じて流入し、その流入したガスが第三排気管263を通じて排気されることになる。
なお、排気バッファ室208は、ガス排気のバッファ空間として機能することを考慮すると、側断面高さ方向の大きさ(空間の高さ)が、処理空間201と排気バッファ室208との間のガス流路の側断面高さ方向の大きさよりも大きいことが好ましい。
(コンダクタンス調整プレート)
処理空間201と排気バッファ室208との間のガス流路には、そのガス流路のコンダクタンスを調整するためのコンダクタンス調整プレート209が配置されている。ここでいう「コンダクタンス」は、ガスの流れやすさを表すもので、具体的にはガス流路をガスが流れるときに生じる抵抗の逆数に相当する。
コンダクタンス調整プレート209は、コンダクタンス調整のために、基板載置台212とは別体の部材として、基板載置面211上のウエハ200の外周側に配置される平面視リング状(円環状)の板状部材によって形成されている。また、コンダクタンス調整プレート209は、形成材料として例えば石英又はセラミック(アルミナ等)を用いて、基板載置面211上に載置されるウエハ200よりも質量が大きく形成されていることが好ましい。
なお、コンダクタンス調整プレート209の配置位置や形状等については、その詳細を後述する。
(コントローラ)
基板処理装置100は、基板処理装置100の各部の動作を制御するコントローラ280を有している。コントローラ280は、演算部281及び記憶部282を少なくとも有する。コントローラ280は、上記した各構成に接続され、上位コントローラや使用者の指示に応じて記憶部282からプログラムやレシピを呼び出し、その内容に応じて各構成の動作を制御する。具体的には、コントローラ280は、ゲートバルブ205、昇降機構218、ヒータ213、高周波電源252、整合器251、MFC243c〜248c、バルブ243d〜248d、APC269、TMP265、DP272、バルブ266,267,268,271,261b等の動作を制御する。
また、コントローラ280には、有線又は無線の通信回線を介して、タッチパネル等からなる操作パネル部284が接続されている。操作パネル部284には、例えばレシピ設定画面が表示され、そのレシピ設定画面上で使用者が各種設定(処理条件設定等)を行い得るようになっている。
なお、コントローラ280は、専用のコンピュータとして構成してもよいし、汎用のコンピュータとして構成してもよい。例えば、上述のプログラムを格納した外部記憶装置(例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリやメモリカード等の半導体メモリ)283を用意し、外部記憶装置283を用いて汎用のコンピュータにプログラムをインストールすることにより、本実施形態に係るコントローラ280を構成することができる。
また、コンピュータにプログラムを供給するための手段は、外部記憶装置283を介して供給する場合に限らない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置283を介さずにプログラムを供給するようにしてもよい。なお、記憶部282や外部記憶装置283は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。なお、本明細書において記録媒体という言葉を用いた場合は、記憶部282単体のみを含む場合、外部記憶装置283単体のみを含む場合、又は、その両方を含む場合がある。
(2)基板処理工程
次に、半導体装置の製造方法の一工程として、基板処理装置100を使用して、ウエハ200上に薄膜を形成する工程について説明する。なお、以下の説明において、基板処理装置100を構成する各部の動作はコントローラ280により制御される。
ここでは、原料ガス(第一の処理ガス)としてTiClを気化させて得られるTiClガスを用い、反応ガス(第二の処理ガス)としてNHガスが用いて、それらを交互に供給することによってウエハ200上に金属薄膜としてTiN膜を形成する例について説明する。
図2は、本実施形態に係る基板処理工程を示すフロー図である。図3は、図2の成膜工程の詳細を示すフロー図である。
(基板搬入・載置工程:S101)
基板処理装置100では、先ず、基板載置台212をウエハ200の搬送位置まで下降させることにより、基板載置台212の貫通孔214にリフトピン207を貫通させる。その結果、リフトピン207が、基板載置台212表面よりも所定の高さ分だけ突出した状態となる。続いて、ゲートバルブ205を開いて搬送空間203を移載室(図示せず)と連通させる。そして、この移載室からウエハ移載機(図示せず)を用いてウエハ200を搬送空間203に搬入し、リフトピン207上にウエハ200を移載する。これにより、ウエハ200は、基板載置台212の表面から突出したリフトピン207上に水平姿勢で支持される。
処理容器202内にウエハ200を搬入したら、ウエハ移載機を処理容器202の外へ退避させ、ゲートバルブ205を閉じて処理容器202内を密閉する。その後、基板載置台212を上昇させることにより、基板載置台212に設けられた基板載置面211上にウエハ200を載置させ、さらに基板載置台212を上昇させることにより、前述した処理空間201内の処理位置までウエハ200を上昇させる。
ウエハ200を処理容器202内に搬入する際は、バルブ266とバルブ267を開状態として(開弁して)、搬送空間203とTMP265との間を連通させるとともに、TMP265とDP272との間を連通させる。一方、バルブ266とバルブ267以外の排気系のバルブは閉状態とする(閉弁する)。これにより、TMP265(及びDP272)によって搬送空間203の雰囲気が排気される。
ウエハ200が搬送空間203に搬入された後、処理空間201内の処理位置まで上昇すると、バルブ266とバルブ267を閉状態とする。これにより、搬送空間203とTMP265の間、並びに、TMP265と排気管264との間が遮断され、TMP265による搬送空間203の排気が終了する。一方、バルブ271を開き、排気バッファ室208とAPC269の間を連通させる。APC269は、排気管263のコンダクタンスを調整することで、DP272による排気バッファ室208の排気流量を制御し、排気バッファ室208に連通する処理空間201を所定の圧力に維持する。なお、他の排気系のバルブは閉状態を維持する。
なお、この工程において、処理容器202内を排気しつつ、不活性ガス供給系から処理容器202内に不活性ガスとしてのNガスを供給してもよい。すなわち、TMP265あるいはDP272で排気バッファ室208を介して処理容器202内を排気しつつ、少なくとも第三ガス供給系のバルブ245dを開けることにより、処理容器202内にNガスを供給してもよい。これにより、ウエハ200上へのパーティクルの付着を抑制することが可能となる。
また、ウエハ200を基板載置台212の上に載置する際は、基板載置台212の内部に埋め込まれたヒータ213に電力を供給し、ウエハ200の表面が所定の処理温度となるよう制御される。この際、ヒータ213の温度は、図示しない温度センサにより検出された温度情報に基づいてヒータ213への通電具合を制御することによって調整される。
このようにして、基板搬入・載置工程(S101)では、処理空間201内を所定の処理圧力となるように制御するとともに、ウエハ200の表面温度が所定の処理温度となるように制御する。ここで、所定の処理温度、処理圧力とは、後述する成膜工程(S102)において、TiN膜を形成可能な処理温度、処理圧力である。例えば、原料ガス供給工程(S201)で供給する原料ガスが自己分解しない程度の処理温度、処理圧力である。具体的には、処理温度は室温以上500℃以下、好ましくは室温以上400℃以下、処理圧力は50〜5000Paとすることが考えられる。この処理温度、処理圧力は、後述する成膜工程(S102)においても維持されることになる。
(成膜工程:S102)
基板搬入・載置工程(S101)の後は、次に、成膜工程(S102)を行う。以下、図3を参照し、成膜工程(S102)について詳細に説明する。なお、成膜工程(S102)は、異なる処理ガスを交互に供給する工程を繰り返すサイクリック処理である。
(原料ガス供給工程:S201)
成膜工程(S102)では、先ず、原料ガス供給工程(S201)を行う。原料ガス供給工程(S201)に際しては、原料(TiCl)を気化させて原料ガス(すなわちTiClガス)を生成(予備気化)させておく。原料ガスの予備気化は、上述した基板搬入・載置工程(S101)と並行して行ってもよい。原料ガスを安定して生成させるには、所定の時間を要するからである。
そして、原料ガスを生成したら、バルブ243dを開くとともに、原料ガスの流量が所定流量となるようにマスフローコントローラ243cを調整することで、処理空間201内への原料ガス(TiClガス)の供給を開始する。原料ガスの供給流量は、例えば100〜3000sccmである。原料ガスは、シャワーヘッド230により分散されて処理空間201内のウエハ200上に均一に供給される。
このとき、第一不活性ガス供給系のバルブ246dを開き、第一不活性ガス供給管246aから不活性ガス(Nガス)を供給する。不活性ガスの供給流量は、例えば500〜5000sccmである。なお、パージガス供給系の第三ガス供給管245aから不活性ガスを流してもよい。
余剰な原料ガスは、処理空間201内から排気バッファ室208へ流入し、第三ガス排気系の第三排気管263内を流れ、第四排気管264へと排気される。具体的には、バルブ271が開状態とされ、APC269によって処理空間201の圧力が所定の圧力となるように制御される。なお、バルブ271以外の排気系のバルブは全て閉とされる。
このときの処理空間201内の処理温度、処理圧力は、原料ガスが自己分解しない程度の処理温度、処理圧力とされる。そのため、ウエハ200上には、原料ガスのガス分子が吸着することになる。
原料ガスの供給を開始してから所定時間経過後、バルブ243dを閉じ、原料ガスの供給を停止する。原料ガス及びキャリアガスの供給時間は、例えば0.1〜20秒である。
(パージ工程:S202)
原料ガスの供給を停止した後は、第三ガス供給管245aから不活性ガス(Nガス)を供給し、シャワーヘッド230及び処理空間201のパージを行う。このときも、バルブ271は開状態とされてAPC269によって処理空間201の圧力が所定の圧力となるように制御される。一方、バルブ271以外のガス排気系のバルブは全て閉状態とされる。これにより、原料ガス供給工程(S201)でウエハ200に吸着できなかった原料ガスは、DP272により、排気管263及び排気バッファ室208を介して処理空間201から除去される。
次いで、第三ガス供給管245aから不活性ガス(Nガス)を供給し、シャワーヘッド230のパージを行う。このときのガス排気系のバルブは、バルブ271が閉状態とされる一方、バルブ268が開状態とされる。他のガス排気系のバルブは閉状態のままである。すなわち、シャワーヘッド230のパージを行うときは、排気バッファ室208とAPC269の間を遮断するとともに、APC269と排気管264の間を遮断し、APC269による圧力制御を停止する一方、バッファ空間232とDP272との間を連通する。これにより、シャワーヘッド230(バッファ空間232)内に残留した原料ガスは、排気管262を介し、DP272によりシャワーヘッド230から排気される。
シャワーヘッド230のパージが終了すると、バルブ271を開状態としてAPC269による圧力制御を再開するとともに、バルブ268を閉状態としてシャワーヘッド230と排気管264との間を遮断する。他のガス排気系のバルブは閉状態のままである。このときも第三ガス供給管245aからの不活性ガスの供給は継続され、シャワーヘッド230及び処理空間201のパージが継続される。
なお、ここでは、パージ工程(S202)において、排気管262を介したパージの前後に排気管263を介したパージを行うようにしたが、排気管262を介したパージのみであってもよい。また、排気管262を介したパージと排気管263を介したパージを同時に行うようにしてもよい。
パージ工程(S202)における不活性ガス(Nガス)の供給流量は、例えば1000〜10000sccmである。また、不活性ガスの供給時間は、例えば0.1〜10秒である。
(反応ガス供給工程:S203)
シャワーヘッド230及び処理空間201のパージが完了したら、続いて、反応ガス供給工程(S203)を行う。反応ガス供給工程(S203)では、バルブ244dを開けて、リモートプラズマユニット244e、シャワーヘッド230を介して、処理空間201内への反応ガス(NHガス)の供給を開始する。このとき、反応ガスの流量が所定流量となるように、マスフローコントローラ244cを調整する。反応ガスの供給流量は、例えば1000〜10000sccmである。
プラズマ状態の反応ガスは、シャワーヘッド230により分散されて処理空間201内のウエハ200上に均一に供給され、ウエハ200上に吸着している原料ガスのガス分子と反応して、ウエハ200上に1原子層未満(1Å未満)程度のTiN膜を生成する。
このとき、第二不活性ガス供給系のバルブ247dを開き、第二不活性ガス供給管247aから不活性ガス(Nガス)を供給する。不活性ガスの供給流量は、例えば500〜5000sccmである。なお、パージガス供給系の第三ガス供給管245aから不活性ガスを流してもよい。
余剰な反応ガスや反応副生成物は、処理空間201内から排気バッファ室208へ流入し、第三ガス排気系の第三排気管263内を流れ、第四排気管264へと排気される。具体的には、バルブ271が開状態とされ、APC269によって処理空間201の圧力が所定の圧力となるように制御される。なお、バルブ271以外の排気系のバルブは全て閉とされる。
反応ガスの供給を開始してから所定時間経過後、バルブ244dを閉じ、反応ガスの供給を停止する。反応ガス及びキャリアガスの供給時間は、例えば0.1〜20秒である。
(パージ工程:S204)
反応ガスの供給を停止した後は、パージ工程(S204)を行って、シャワーヘッド230及び処理空間201に残留している反応ガスや反応副生成物を除去する。このパージ工程(S204)は、既に説明したパージ工程(S202)と同様に行えばよいため、ここでの説明は省略する。
(判定工程:S205)
以上の原料ガス供給工程(S201)、パージ工程(S202)、反応ガス供給工程(S203)、パージ工程(S204)を1サイクルとして、コントローラ280は、このサイクルを所定回数(nサイクル)実施したか否かを判定する(S205)。このサイクルを所定回数実施すると、ウエハ200上には、所望膜厚の窒化チタン(TiN)膜が形成される。
(基板搬出工程:S103)
以上の各工程(S201〜S205)からなる成膜工程(S102)の後は、図2に示すように、次に、基板搬出工程(S103)を行う。
基板搬出工程(S103)では、基板載置台212を下降させ、基板載置台212の表面から突出させたリフトピン207上にウエハ200を支持させる。これにより、ウエハ200は、処理位置から搬送位置となる。その後、ゲートバルブ205を開き、ウエハ移載機を用いてウエハ200を処理容器202の外へ搬出する。このとき、バルブ245dを閉じ、第三ガス供給系から処理容器202内に不活性ガスを供給することを停止する。
基板搬出工程(S103)において、ウエハ200が処理位置から搬送位置まで移動する間は、バルブ271を閉状態とし、APC269による圧力制御を停止する。一方、バルブ261bを開状態とし、搬送空間203とDP272との間を連通し、搬送空間203をDP272によって排気する。このとき、その他の排気系のバルブは閉状態とされる。
次いで、ウエハ200が搬送位置まで移動すると、バルブ261bを閉状態とし、搬送空間203と排気管264との間を遮断する。一方、バルブ266とバルブ267を開状態とし、TMP265(及びDP272)によって搬送空間203の雰囲気を排気する。この状態でゲートバルブ205を開き、ウエハ200を処理容器202から移載室へと搬出する。
(処理回数判定工程:S104)
ウエハ200の搬出後、コントローラ280は、基板搬入・載置工程(S101)、成膜工程(S102)及び基板搬出工程(S103)の一連の各工程の実施回数が所定の回数に到達したか否かを判定する(S104)。所定の回数に到達したと判断されたら、クリーニング工程(S105)に移行する。所定の回数に到達していないと判断されたら、次に待機しているウエハ200の処理を開始するため、基板搬入・載置工程(S102)に移行する。
(クリーニング工程:S105)
クリーニング工程(S105)では、クリーニングガス供給系のバルブ248dを開け、シャワーヘッド230を介して、クリーニングガスを処理空間201へ供給する。このとき、高周波電源252で電力を印加すると共に整合器251によりインピーダンスを整合させ、シャワーヘッド230及び処理空間201内のクリーニングガスをプラズマ励起する。プラズマ励起されたクリーニングガスは、シャワーヘッド230及び処理空間201内の壁に付着した副生成物を除去する。
(3)コンダクタンス調整
次に、上述した一連の基板処理工程のうちの成膜工程(S102)で行うコンダクタンス調整について説明する。
成膜工程(S102)では、処理空間201内のウエハ200上に所望膜厚のTiN膜を形成する。そのときに、形成するTiN膜の膜厚均一性の向上を図るためには、膜形成時における処理空間201内の圧力を均一にすること(空間的な圧力勾配を無くすこと)が必要となる。そのために、基板処理装置100においては、コンダクタンス調整プレート209を利用して、処理空間201と排気バッファ室208との間のガス流路のコンダクタンスを調整し、これにより処理空間201内の圧力の均一化を図っている。
以下、コンダクタンス調整プレート209によるコンダクタンス調整について、詳しく説明する。図4は、本実施形態に係るコンダクタンス調整プレートのプレート配置の一具体例を示す概略構成例である。図5は、本実施形態に係るコンダクタンス調整プレートのプレート形状の一具体例を示す概略構成例である。図6は、本実施形態に係るコンダクタンス調整プレートと排気バッファ室との位置関係の一具体例を示す概略構成例である。
(プレート配置)
基板処理装置100の処理容器202内において、例えば基板搬入・載置工程(S101)の際には、基板載置台212がウエハ200の搬送位置まで下降している。このとき、平面視リング状(円環状)の板状部材からなるコンダクタンス調整プレート209は、図4(a)に示すように、その外周側部分209aが排気バッファ室208の構成部材、より詳しくは排気バッファ室208の床面を構成する仕切り板204によって支持される。
その後、成膜工程(S102)のために、基板載置台212がウエハ200の処理位置まで上昇すると、コンダクタンス調整プレート209は、図4(b)に示すように、その内周側部分209bが基板載置台212によって持ち上げられ、その外周側部分209aが仕切り板204から若干浮いた状態となる。つまり、コンダクタンス調整プレート209は、環状外周側部分209aが仕切り板204から離れ、内周側部分209bが基板載置台212の構成部材によって支持され、これにより基板載置台212の基板載置面211上に載置されたウエハ200の外周側に配置されることになる。
このように、コンダクタンス調整プレート209は、ウエハ200が搬送位置にあるときは基板載置台212以外の部位によって支持されているが、ウエハ200が処理位置まで上昇すると、基板載置台212によって持ち上げられる。これにより、処理空間201と排気バッファ室208との間のガス流路は、基板載置台212が下降している状態の場合に比べると、側断面高さ方向の大きさ(ガス流路の高さ方向の間隔)が狭くなる。このときのガス流路の間隔を変化させると、そのガス流路におけるガスの流れやすさも変化する。つまり、コンダクタンス調整プレート209は、処理空間201と排気バッファ室208との間のガス流路の高さ方向の間隔を調整することで、そのガス流路のコンダクタンスを調整するのである。
ガス流路のコンダクタンス調整を行うために、コンダクタンス調整プレート209は、基板載置台212の上昇時における上面高さが、その基板載置台212の基板載置面211上に載置されたウエハ200の上面高さと同じか、またはウエハ200の上面高さよりも高いことが好ましい。コンダクタンス調整プレート209の上面高さがウエハ200の上面高さよりも低いと、ウエハ200の外周側に配置されたコンダクタンス調整プレート209によるコンダクタンス調整が困難になるおそれが生じてしまうからである。このようにするためには、例えば、コンダクタンス調整プレート209の板厚をウエハ200の板厚と同じか、または厚くすることが考えられる。ただし、必ずしもこれに限定されることはなく、基板載置台212に段差形状部分を設けることで対応するようにしてもよい。
また、コンダクタンス調整プレート209は、形成材料として例えば石英又はセラミック(アルミナ等)を用いて、基板載置面211上に載置されるウエハ200よりも質量が大きく形成されていることが好ましい。その場合には、コンダクタンス調整プレート209の全体の質量が、ウエハ200の全体の質量よりも大きければよい。処理空間201内においてはウエハ200が浮かない程度の圧力変動が生じ得るが、そのような圧力変動が生じた場合であっても、ウエハ200よりもコンダクタンス調整プレート209の質量が大きければ、意図せぬコンダクタンス調整プレート209の浮き上がり等を未然に防止できるからである。より具体的には、例えば第二ガス排気系を利用したシャワーヘッド排気(上方排気)を行った場合であっても、意図せぬコンダクタンス調整プレート209の浮き上がり等を防止することができる。
(プレート形状)
ところで、処理空間201と排気バッファ室208との間のガス流路のコンダクタンスを調整する場合においては、そのガス流路を流れるガスに部分的な乱流が生じてしまうと、そのためにコンダクタンス調整を所望通りに行えないことが考えられる。このことから、コンダクタンス調整プレート209については、そのプレート形状を以下に述べるように形成する。
プレート形状の一例としては、図5(a)に示すものがある。図例のコンダクタンス調整プレート209は、処理空間201から排気バッファ室208へのガス流路209cに面する上面側(内周側端縁)に、R状部分209dを有している。R状部分209dは、滑らかに湾曲する円弧状の部分であり、少なくともガス流の上流側のプレート端縁の近傍に配されている。ただし、プレート端縁の近傍のみならず、プレート全域に亘って円弧状部分が続くように配されていてもよい。また、R状部分209dを構成する円弧の大きさについては、特に限定されるものではなく、コンダクタンス調整プレート209の板厚等を考慮して適宜決定されたものであればよい。
このようなR状部分209dをコンダクタンス調整プレート209が有することで、ガス流路209cは、例えばコンダクタンス調整プレート209の上面高さがウエハ200の上面高さよりも高い場合であっても、その内部に急峻な段差等が生じてしまうことがなく、ガス流れ方向に沿って高さ方向の間隔が緩やかに変化する。しかも、コンダクタンス調整プレート209が板状部材によって形成されているので、変化後における高さ方向の間隔が、そのまま維持された状態で、ガス流れ方向に沿って一定距離分だけ続く。したがって、ガス流路209c内においては、そのガス流路209cを流れるガスに部分的な乱流が生じてしまうのを抑制して、そのガスの流れを層流とし得るようになる。
また、プレート形状の他の例としては、図5(b)に示すものがある。図例のコンダクタンス調整プレート209は、処理空間201から排気バッファ室208へのガス流路209cに面する板上面側に、テーパ傾斜状部分209eを有している。テーパ傾斜状部分209eは、ガス流れ方向に沿ってガス流路209cの高さ方向の間隔が徐々に狭くなるように傾斜するテーパ面によって構成される部分である。テーパ傾斜状部分209eは、プレート全域に亘ってテーパ面が続くように配されていてもよいし、面取り加工のようにテーパ面が一部領域(例えばガス流の上流側のプレート端縁の近傍)に位置するように配されていてもよい。テーパ面の傾斜角度については、特に限定されるものではなく、コンダクタンス調整プレート209の板厚等を考慮して適宜決定されたものであればよい。
このようなテーパ傾斜状部分209eをコンダクタンス調整プレート209が有する場合においても、上述したR状部分209dを有する場合と同様に、ガス流路209c内では、ガス流れ方向に沿って高さ方向の間隔が緩やかに変化するので、そのガス流路209cを流れるガスに部分的な乱流が生じてしまうのを抑制して、そのガスの流れを層流とし得るようになる。
以上のように、コンダクタンス調整プレート209は、ガス流路209cに面する板上面側に、R状部分209dまたはテーパ傾斜状部分209eを有する。したがって、コンダクタンス調整プレート209を用いてガス流路209cにおけるコンダクタンスを調整する場合であっても、そのガス流路209cを流れるガスに部分的な乱流が生じてしまうのを抑制することができ、その結果としてガス流路209cのコンダクタンス調整を所望通りに行えるようになる。
(プレートと排気バッファ室との関係)
コンダクタンス調整プレート209は、排気バッファ室208との位置関係が以下に述べるようになっている。
位置関係の一例としては、図6(a)に示すものがある。図例の位置関係においては、コンダクタンス調整プレート209の外周側端縁209fが、排気バッファ室208の内周側端縁208aと揃う位置まで延びるか、またはそれよりも更に内周側(基板載置面211上のウエハ200に近い側)に位置するように、当該コンダクタンス調整プレート209が形成されている。つまり、コンダクタンス調整プレート209の外周側端縁209fが排気バッファ室208の内部に入り込むことはない。
このような位置関係であれば、コンダクタンス調整プレート209は、排気バッファ室208の内部におけるコンダクタンスには影響を及ぼさない。つまり、コンダクタンス調整プレート209を用いてガス流路209cのコンダクタンス調整を行う場合であっても、排気バッファ室208の内部におけるコンダクタンスについては十分に確保することができ、排気バッファ室208を利用したガス排気に支障を来してしまうことがない。
また、位置関係の他の例としては、図6(b)に示すものがある。図例の位置関係においては、コンダクタンス調整プレート209の外周側端縁209fが、排気バッファ室208の内周側端縁208aを超えて、排気バッファ室208内まで延びるように、当該コンダクタンス調整プレート209が形成されている。コンダクタンス調整プレート209の外周側端縁209fが排気バッファ室208の内部に入り込んでいる。
このような位置関係であれば、コンダクタンス調整プレート209は、搬送空間203内や基板載置台212の側壁面等に付着した不要な膜(反応副生成物等)が離脱して上部容器202aの側に流れてきた場合であっても、その反応副生成物等を排気バッファ室208内へ導く案内板(ガイド)として機能することになる(図中における細線矢印参照)。つまり、下部容器202bの側から流れてきた反応副生成物等が排気バッファ室208内へ直接的に導かれ、そのまま排気バッファ室208内から第三ガス排気系によって排気されるので、例えば第二ガス排気系を利用したシャワーヘッド排気(上方排気)を行った場合であっても、反応副生成物等が処理空間201内のウエハ200上を通過してしまうことを抑制できる。
以上に説明した各位置関係について、コンダクタンス調整プレート209は、いずれか一方の位置関係だけを満足するものであってもよいが、両方の位置関係を合わせて満足するものであってもよい。つまり、コンダクタンス調整プレート209は、外周側端縁209fが排気バッファ室208の内部に入り込まないように形成されている第一部分(図6(a)参照)と、外周側端縁209fが排気バッファ室208の内部に入り込むように形成されている第二部分(図6(b)参照)とについて、これらの両方を有するものであってもよい。
その場合に、コンダクタンス調整プレート209は、環状周方向を領域分割し、各領域に第一部分と第二部分とを配することになる。環状周方向における領域分割は、排気バッファ室208とガス排気系との接続箇所を考慮して行えばよい。具体的には、例えば、排気バッファ室208に対する第三ガス排気系の第三排気管263の接続箇所から離れた領域については排気バッファ室208の内部におけるコンダクタンス確保のために第一部分とする一方で、第三排気管263の接続箇所の近傍の領域については外周側端縁209fを排気バッファ室208内まで延ばす第二部分とする、といったことが考えられる。また、考慮すべきガス排気系はシャワーヘッド排気(上方排気)を行う第二ガス排気系であってもよく、例えばシャワーヘッド230に対する第二ガス排気系の第二排気管262の接続箇所の近傍の領域については第二部分とする、といったことが考えられる。
(プレート位置制御)
既述したように、ガス流路209cのコンダクタンス調整は、基板載置台212がコンダクタンス調整プレート209をウエハ200の処理位置まで持ち上げることによって行う。このときの基板載置台212の上昇位置は、固定的なものであってもよいが、任意に可変させ得るものであってもよい。基板載置台212の上昇位置の可変は、コントローラ280による昇降機構218の動作制御によって行えばよい。
基板載置台212の上昇位置が変わると、コンダクタンス調整プレート209の上面の位置(高さ)も変わることになるので、これに伴って処理空間201と排気バッファ室208との間のガス流路209cの高さ方向の間隔が変わる。つまり、コントローラ280は、昇降機構218の動作を制御して基板載置台212の上昇位置を変えることで、ガス流路209cにおけるコンダクタンスの調整量を任意に可変させ得るようになる。
このように、コントローラ280が昇降機構218による基板載置台212の上昇位置を可変させてガス流路209cにおけるコンダクタンスを調整するようにした場合には、例えば実行すべきプロセス処理に応じてコンダクタンスの調整量を相違させるといったことが実現可能となる。具体的には、基板処理工程での処理内容(例えば、ウエハ200上に形成する薄膜の種類)によって、ガス流路209cの調整量を相違させることが考えられる。
ガス流路209cのコンダクタンス調整にあたりコントローラ280が基板載置台212の上昇位置を可変させる場合に、基板載置台212の上昇位置については、予め記憶部282内のプログラムやレシピ等に設定されたものであってもよいが、操作パネル部284から任意に設定し得るものであってもよい。操作パネル部284からの位置設定は、操作パネル部284が表示するレシピ設定画面上で行い得るようにすることが考えられる。その場合には、基板載置台212の上昇位置(すなわち、コンダクタンス調整プレート209の可変位置)をレシピ設定画面から設定することになる。
コンダクタンス調整プレート209の可変位置設定は、例えば、デフォルト位置(最も低い位置)から数段階をプリセットして選択させることで行うようにする。また、所定間隔(例えば0.1mm間隔)で任意の位置に設定可能とするようにしてもよい。
このように、レシピ設定画面からコンダクタンス調整プレート209の位置設定を行い得るようにすれば、所望のコンダクタンス調整状態を実現し得るようになるので、基板処理装置100の汎用性を高めることができ、また基板処理装置100の使用者にとっての利便性が非常に優れたものとなる。
なお、所望のコンダクタンス調整状態を実現するための基板載置台212の上昇位置の可変(コンダクタンス調整プレート209の上面の位置可変)は、プロセス処理に応じて当該プロセス処理単位で行うのみならず、当該プロセス処理の処理中に行うようにしてもよい。つまり、コントローラ280は、処理空間201内のウエハ200に対する処理中に、昇降機構218による基板載置台212の上昇位置を可変させるようにしてもよい。
具体的には、例えば、成膜工程(S102)の実行中において、パージやバキュームのとき(すなわち、処理ガスを供給していないとき)は、処理ガスを供給しているときに比し、基板載置台212を下降させ、コンダクタンス調整プレート209の上面の位置を下げることで、ガス流路209cにおけるコンダクタンスを大きくしてガスが流れやすくする、といったことが考えられる。また、例えば、原料ガス供給工程(S201)におけるTiClガスの供給時に対して反応ガス供給工程(S203)におけるNHガスの供給時には基板載置台212を下降させるといったように、流量の多いガスの供給時にはコンダクタンス調整プレート209の上面の位置を下げてガス流路209cにおけるコンダクタンスを大きくする、といったことが考えられる。また、例えば、処理空間201内へのガス供給初期時とガス供給終了間近とで基板載置台212の上昇位置を相違させるといったことも考えられる。更には、例えば、成膜工程において、成膜初期とその後とで基板載置台212の上昇位置を相違させるといったことも考えられる。
このように、プロセス処理の処理中に基板載置台212の上昇位置を可変させてコンダクタンス調整を行うようにすれば、例えば成膜工程(S102)中の各工程(S201〜S204)毎や供給ガス種別に、コンダクタンス調整状態を相違させ得るようになるので、そのプロセス処理を適切に行う上で非常に有効なものとなる。
(4)実施形態にかかる効果
本実施形態によれば、以下に示す1つ又は複数の効果を奏する。
(a)本実施形態によれば、コンダクタンス調整プレート209を利用して、処理空間201と排気バッファ室208との間のガス流路209cのコンダクタンスを調整し、これにより処理空間201内の圧力の均一化を図っているので、コンダクタンス調整プレート209によるコンダクタンス調整を行わない場合に比べると、処理空間201内で形成するTiN膜の膜厚均一性を向上させることができる。
しかも、ガス流路209cのコンダクタンス調整に用いるコンダクタンス調整プレート209は、ガス流路209cに面する板上面側にR状部分209dまたはテーパ傾斜状部分209eを有する。したがって、コンダクタンス調整プレート209を用いてガス流路209cのコンダクタンス調整を行う場合であっても、そのガス流路209cを流れるガスに部分的な乱流が生じてしまうのを抑制することができる。つまり、コンダクタンス調整プレート209がR状部分209dまたはテーパ傾斜状部分209eを有することで、ガス流路209cを流れるガスに部分的な乱流が生じてしまうのを抑制して、そのガスの流れを層流とすることができ、その結果としてガス流路209cのコンダクタンス調整を所望通りに行えるようになる。
つまり、本実施形態によれば、ウエハ200の外周側への排気コンダクタンス調整を適切に行うことができ、これにより膜形成時における処理空間201内の圧力の均一化をより効果的に図ることができる。
(b)また、本実施形態によれば、ガス流路209cの側断面高さ方向の大きさよりも排気バッファ室208の側断面高さ方向の大きさのほうが大きいので、コンダクタンス調整プレート209を用いてガス流路209cのコンダクタンス調整を行う場合であっても、排気バッファ室208の排気バッファ空間としての機能を低下させることがない。つまり、ガス流路209cのコンダクタンス調整がガス排気に影響を及ぼしてしまうのを極力抑制することができる。
(c)また、本実施形態によれば、基板載置台212の上昇時におけるコンダクタンス調整プレート209の上面高さが、その基板載置台212の基板載置面211上に載置されたウエハ200の上面高さと同じか、またはウエハ200の上面高さよりも高くすることで、コンダクタンス調整プレート209によるコンダクタンス調整が困難になってしまうことがなく、そのコンダクタンス調整を適切に行えるようになる。
(d)また、本実施形態によれば、コンダクタンス調整プレート209の外周側端縁209fが、排気バッファ室208の内周側端縁208aと揃う位置まで延びるか、またはそれよりも更に内周側(基板載置面211上のウエハ200に近い側)に位置することで、コンダクタンス調整プレート209を用いてガス流路209cのコンダクタンス調整を行う場合であっても、排気バッファ室208の内部におけるコンダクタンスについては十分に確保することができ、排気バッファ室208を利用したガス排気に支障を来してしまうことがない。
(e)また、本実施形態によれば、コンダクタンス調整プレート209の外周側端縁209fが、排気バッファ室208の内周側端縁208aを超えて、排気バッファ室208内まで延びることで、下部容器202bの側から流れてきた反応副生成物等が排気バッファ室208内へ直接的に導かれ、そのまま排気バッファ室208内から第三ガス排気系によって排気されるようになるので、例えば第二ガス排気系を利用したシャワーヘッド排気(上方排気)を行った場合であっても、反応副生成物等が処理空間201内のウエハ200上を通過することを抑制できる。
(f)また、本実施形態によれば、コンダクタンス調整プレート209が、外周側端縁209fが排気バッファ室208の内部に入り込まないように形成されている第一部分と、外周側端縁209fが排気バッファ室208の内部に入り込むように形成されている第二部分との両方を有することで、排気バッファ室208の内部におけるコンダクタンスを確保しつつ、下部容器202bの側からの反応副生成物等が処理空間201内のウエハ200上を通過しないようにすることができる。
(g)また、本実施形態によれば、コンダクタンス調整プレート209における第一部分と第二部分との配置を、排気バッファ室208とガス排気系との接続箇所を考慮して決定することで、排気バッファ室208内のコンダクタンス確保と反応副生成物等のウエハ200上の非通過とを効果的に両立することができる。
(h)また、本実施形態によれば、コンダクタンス調整プレート209を例えば石英又はセラミック(アルミナ等)により基板載置面211上のウエハ200よりも質量が大きくなるように形成することで、例えば第二ガス排気系を利用したシャワーヘッド排気(上方排気)を行った場合であっても、意図せぬコンダクタンス調整プレート209の浮き上がり等を防止することができる。
(i)また、本実施形態によれば、コントローラ280が昇降機構218による基板載置台212の上昇位置を可変させてガス流路209cにおけるコンダクタンスを調整することで、ガス流路209cにおけるコンダクタンスの調整量を任意に可変させることが可能となる。
(j)また、本実施形態によれば、ガス流路209cのコンダクタンス調整にあたりコントローラ280が基板載置台212の上昇位置を可変させる場合に、その上昇位置の設定を操作パネル部284が表示するレシピ設定画面上で行い得るようにすることで、基板処理装置100の汎用性を高めることができ、また基板処理装置100の使用者にとっての利便性が非常に優れたものとなる。
(j)また、本実施形態によれば、処理空間201内のウエハ200に対する処理中に、コントローラ280が昇降機構218による基板載置台212の上昇位置を可変させるようにすることで、例えば成膜工程(S102)中の各工程(S201〜S204)毎や供給ガス種別に、コンダクタンス調整状態を相違させ得るようになるので、ウエハ200に対する処理を適切に行う上で非常に有効なものとなる。
<本発明の他の実施形態>
以上、本発明の実施形態を具体的に説明したが、本発明は上述の各実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
例えば、上述した実施形態では、基板処理装置100が行う処理として成膜処理を例にあげたが、本発明がこれに限定されることはない。すなわち、成膜処理の他、酸化膜、窒化膜を形成する処理、金属を含む膜を形成する処理であってもよい。また、基板処理の具体的内容は不問であり、成膜処理だけでなく、アニール処理、酸化処理、窒化処理、拡散処理、リソグラフィ処理等の他の基板処理にも好適に適用できる。さらに、本発明は、他の基板処理装置、例えばアニール処理装置、酸化処理装置、窒化処理装置、露光装置、塗布装置、乾燥装置、加熱装置、プラズマを利用した処理装置等の他の基板処理装置にも好適に適用できる。また、本発明は、これらの装置が混在していてもよい。また、ある実施形態の構成の一部を他の実施形態の構成に置き換えることが可能であり、また、ある実施形態の構成に他の実施形態の構成を加えることも可能である。また、各実施形態の構成の一部について、他の構成の追加、削除、置換をすることも可能である。
また、上述した実施形態では、所望のコンダクタンス調整状態を実現するために、コントローラ280が基板載置台212の上昇位置を可変させてガス流路209cのコンダクタンスを調整する場合を例にあげたが、本発明がこれに限定されることはない。すなわち、板厚が異なる複数のコンダクタンス調整プレート209を用意しておき、必要に応じて配置するコンダクタンス調整プレート209を交換可能にすることで、ガス流路209cにおけるコンダクタンスの調整量を物理的に変化させるようにすることも可能である。
<本発明の好ましい態様>
以下に、本発明の好ましい態様について付記する。
[付記1]
本発明の一態様によれば、
基板を処理する処理空間と、
前記基板を載置する基板載置台と、
前記基板載置台を昇降させ前記基板を搬送位置と前記処理空間内の基板処理位置との間で昇降させる昇降機構と、
前記処理空間内にガスを供給するガス供給系と、
前記処理空間の側方周囲を囲むように設けられた空間を持ち、前記処理空間内に供給されたガスが流入する排気バッファ室と、
前記排気バッファ室内に流入したガスを排気するガス排気系と、
前記基板の外周側に配置されるコンダクタンス調整プレートと、を備え、
前記コンダクタンス調整プレートは、
前記基板が前記基板処理位置にあるときは内周側が前記基板載置台によって支持され、前記基板が前記搬送位置にあるときは外周側が前記基板載置台以外の部位によって支持されるように形成されており、
前記処理空間から前記排気バッファ室へのガス流路に面する内周側端縁にR状部分またはテーパ傾斜状部分を有する
基板処理装置が提供される。
[付記2]
好ましくは、
前記基板が前記基板処理位置にあるときの前記コンダクタンス調整プレートの上面高さが、前記基板の上面高さと同じか、または前記基板の上面高さよりも高い
付記1記載の基板処理装置が提供される。
[付記3]
好ましくは、
前記コンダクタンス調整プレートは、外周側端縁が、前記排気バッファ室の内周側端縁と揃う位置まで延び、または前記排気バッファ室の内周側端縁よりも内周側に位置するように形成されている
付記1又は2に記載の基板処理装置が提供される。
[付記4]
好ましくは、
前記コンダクタンス調整プレートは、外周側端縁が前記排気バッファ室内まで延びるように形成されている
付記1又は2に記載の基板処理装置が提供される。
[付記5]
好ましくは、
前記コンダクタンス調整プレートは、
外周側端縁が、前記排気バッファ室の内周側端縁と揃う位置まで延び、または前記排気バッファ室の内周側端縁よりも内周側に位置するように形成されている第一部分と、
前記外周側端縁が前記排気バッファ室内まで延びるように形成されている第二部分と、
を有する付記1又は2に記載の基板処理装置が提供される。
[付記6]
好ましくは、
前記第二部分は、前記ガス排気系の接続箇所の近傍に配置される
付記5記載の基板処理装置が提供される。
[付記7]
好ましくは、
前記コンダクタンス調整プレートは、前記基板よりも質量が大きく形成されている
付記1から6のいずれかに記載の基板処理装置が提供される。
[付記8]
好ましくは、
前記コンダクタンス調整プレートは、石英またはセラミックによって形成されている
付記7記載の基板処理装置が提供される。
[付記9]
好ましくは、
前記昇降機構を制御するコントローラを備え、
前記コントローラは、前記昇降機構を制御し、前記コンダクタンス調整プレートが前記基板載置台によって支持されているときの前記基板載置台の上昇位置を可変させるように構成される
付記1から8のいずれかに記載の基板処理装置が提供される。
[付記10]
好ましくは、
前記コントローラによる前記基板載置台の上昇位置をレシピ設定画面から設定可能にする操作パネル部
を備える付記9記載の基板処理装置が提供される。
[付記11]
好ましくは、
前記コントローラは、前記基板に対する処理中に前記基板載置台の上昇位置を可変させるように前記昇降機構を制御する
付記9又は10記載の基板処理装置が提供される。
[付記12]
好ましくは、
前記コントローラは、前記前記処理空間内に前記ガスを供給しているときの前記基板載置台の上昇位置と前記ガスを供給していないときの前記基板載置台の上昇位置とを相違させるように前記昇降機構を制御する
付記11記載の基板処理装置が提供される。
[付記13]
本発明の他の一態様によれば、
基板が載置された基板載置台を上昇させ、前記基板を処理空間の基板処理位置まで上昇させると共に、前記基板の外周側に配置された、内周側端縁にR状部分またはテーパ傾斜状部分を有するコンダクタンス調整プレートの内周側を前記基板載置台で支持する基板載置台上昇工程と、
前記基板の処理空間内にガスを供給するガス供給工程と、
前記処理空間内に供給されたガスを、前記コンダクタンス調整プレートが配置されたガス流路を介し、前記処理空間の側方周囲を囲むように設けられた空間を持つ排気バッファ室に流入させた後に、前記排気バッファ室内から排気するガス排気工程と、
を有する半導体装置の製造方法が提供される。
[付記14]
好ましくは、
前記基板載置台上昇工程における前記基板載置台の上昇位置がレシピ設定画面から設定可能とされる
付記13記載の半導体装置の製造方法が提供される。
[付記15]
好ましくは、
前記ガス供給工程中に前記基板載置台の上昇位置を可変させる
付記13又は14記載の半導体装置の製造方法が提供される。
[付記16]
好ましくは、
前記ガス供給工程と前記ガス排気工程とで前記基板載置台の上昇位置を相違させる
付記13から15のいずれかに記載の半導体装置の製造方法が提供される。
[付記17]
本発明の他の一態様によれば、
基板が載置された基板載置台を上昇させ、前記基板を処理空間の基板処理位置まで上昇させると共に、前記基板の外周側に配置された、内周側端縁にR状部分またはテーパ傾斜状部分を有するコンダクタンス調整プレートの内周側を前記基板載置台で支持する基板載置台上昇手順と、
前記基板の処理空間内にガスを供給するガス供給手順と、
前記処理空間内に供給されたガスを、前記コンダクタンス調整プレートが配置されたガス流路を介し、前記処理空間の側方周囲を囲むように設けられた空間を持つ排気バッファ室に流入させた後に、前記排気バッファ室内から排気するガス排気手順と、
をコンピュータに実行させるプログラムが提供される。
[付記18]
本発明の他の一態様によれば、
基板が載置された基板載置台を上昇させ、前記基板を処理空間の基板処理位置まで上昇させると共に、前記基板の外周側に配置された、内周側端縁にR状部分またはテーパ傾斜状部分を有するコンダクタンス調整プレートの内周側を前記基板載置台で支持する基板載置台上昇手順と、
前記基板の処理空間内にガスを供給するガス供給手順と、
前記処理空間内に供給されたガスを、前記コンダクタンス調整プレートが配置されたガス流路を介し、前記処理空間の側方周囲を囲むように設けられた空間を持つ排気バッファ室に流入させた後に、前記排気バッファ室内から排気するガス排気手順と、
をコンピュータに実行させるプログラムが格納されたコンピュータ読み取り可能な記録媒体が提供される。
100・・・基板処理装置
200・・・ウエハ(基板)
201・・・処理空間
208・・・排気バッファ室
209・・・コンダクタンス調整プレート
209c・・・ガス流路
209d・・・R状部分
209e・・・テーパ傾斜状部分
211・・・基板載置面
212・・・基板載置台
218・・・昇降機構
230・・・シャワーヘッド
242・・・共通ガス供給管
262・・・第二排気管

Claims (9)

  1. 基板を処理する処理空間と、
    前記基板を載置する基板載置台と、
    前記基板載置台を搬送空間の搬送位置と前記処理空間内の基板処理位置との間で昇降させる昇降機構と、
    前記搬送空間と前記処理空間とを仕切る仕切板と、
    前記処理空間内にガスを供給するガス供給系と、
    前記処理空間の側方周囲を囲むように設けられた空間を持ち、前記処理空間内に供給されたガスが流入する排気バッファ室と、
    前記排気バッファ室内に流入したガスを排気するガス排気系と、
    前記基板の外周側に、前記処理空間と前記排気バッファ室との間にガス流路を形成するように配置されるコンダクタンス調整プレートと、を備え、
    前記コンダクタンス調整プレートは、前記基板が前記基板処理位置にあるときは内周側が前記基板載置台によって支持され、前記基板が前記搬送位置にあるときは外周側が前記仕切板によって支持されるように形成されており、外周側端縁が前記排気バッファ室内まで延びるように構成され、
    前記仕切板の内周端は、前記コンダクタンス調整プレートの下方側の位置であって、前記排気バッファ室の内周側端縁を超えて前記コンダクタンス調整プレート上に形成される前記ガス流路まで達する位置に相当する位置まで延びるように構成され
    前記基板載置台は、当該基板載置台の外周端に、前記仕切板の下面側に突き出す突出部を有する
    基板処理装置。
  2. 前記コンダクタンス調整プレートの外周側端縁と前記仕切板の内周端との距離が、前記コンダクタンス調整プレートの下面と前記仕切板の上面との距離よりも長く形成される
    請求項1に記載の基板処理装置。
  3. 前記処理空間内に設けられ前記ガス供給系に接続するシャワーヘッドと、
    前記シャワーヘッド内を排気するシャワーヘッド排気系と、
    を有する請求項1又は2に記載の基板処理装置。
  4. 前記昇降機構を制御するコントローラと、
    前記処理空間内に処理ガスを供給する処理ガス供給系と、
    前記処理空間内にパージガスを供給するパージガス供給系と、を備え、
    前記コントローラは、前記処理空間内に前記パージガスを供給する場合または前記処理空間内を排気する場合に、前記コンダクタンス調整プレートの上面の位置を、前記処理空間内に前記処理ガスを供給する場合に比べて下降させるように、前記昇降機構を制御する
    請求項1乃至のいずれかに記載の基板処理装置。
  5. 前記コントローラは、前記処理ガスの供給と前記パージガスの供給とを交互に行わせるとともに、前記コンダクタンス調整プレートの上面の位置を前記処理ガスの供給時と前記パージガスの供給時で異ならせるように、前記処理ガス供給系と前記パージガス供給系と前記昇降機構とを制御する
    請求項に記載の基板処理装置。
  6. 搬送空間の搬送位置で基板が載置された基板載置台を上昇させ、前記基板を処理空間の基板処理位置まで上昇させると共に、前記基板の外周側に配置され、前記基板が前記搬送位置にあるときは外周側が前記搬送空間と前記処理空間とを仕切る仕切板によって支持されるコンダクタンス調整プレートについて、前記基板載置台の上昇により前記基板が前記基板処理位置にあるときは前記コンダクタンス調整プレートの内周側を前記基板載置台で支持する基板載置台上昇工程と、
    前記基板の処理空間内にガスを供給するガス供給工程と、
    前記処理空間内に供給されたガスを、前記コンダクタンス調整プレートが配置されたガス流路を介し、前記処理空間の側方周囲を囲むように設けられた空間を持つ排気バッファ室に流入させた後に、前記排気バッファ室内から排気すると共に、前記ガス流路に配置された前記コンダクタンス調整プレートを外周側端縁が前記排気バッファ室内まで延びるように構成し、前記仕切板の内周端が前記コンダクタンス調整プレートの下方側の位置であって前記排気バッファ室の内周側端縁を超えて前記コンダクタンス調整プレート上に形成される前記ガス流路まで達する位置に相当する位置まで延びるように構成し、さらに前記基板載置台の外周端に前記仕切板の下面側に突き出す突出部を有するように当該基板載置台を構成しておくガス排気工程と、
    を有する半導体装置の製造方法。
  7. 前記ガス供給工程と前記ガス排気工程とで前記基板載置台の上昇位置を相違させる
    請求項に記載の半導体装置の製造方法。
  8. 搬送空間の搬送位置で基板が載置された基板載置台を上昇させ、前記基板を処理空間の基板処理位置まで上昇させると共に、前記基板の外周側に配置され、前記基板が前記搬送位置にあるときは外周側が前記搬送空間と前記処理空間とを仕切る仕切板によって支持されるコンダクタンス調整プレートについて、前記基板載置台の上昇により前記基板が前記基板処理位置にあるときは前記コンダクタンス調整プレートの内周側を前記基板載置台で支持する基板載置台上昇手順と、
    前記基板の処理空間内にガスを供給するガス供給手順と、
    前記処理空間内に供給されたガスを、前記コンダクタンス調整プレートが配置されたガス流路を介し、前記処理空間の側方周囲を囲むように設けられた空間を持つ排気バッファ室に流入させた後に、前記排気バッファ室内から排気すると共に、前記ガス流路に配置された前記コンダクタンス調整プレートを外周側端縁が前記排気バッファ室内まで延びるように構成し、前記仕切板の内周端が前記コンダクタンス調整プレートの下方側の位置であって前記排気バッファ室の内周側端縁を超えて前記コンダクタンス調整プレート上に形成される前記ガス流路まで達する位置に相当する位置まで延びるように構成し、さらに前記基板載置台の外周端に前記仕切板の下面側に突き出す突出部を有するように当該基板載置台を構成しておくガス排気手順と、
    をコンピュータによって基板処理装置に実行させるプログラム。
  9. 搬送空間の搬送位置で基板が載置された基板載置台を上昇させ、前記基板を処理空間の基板処理位置まで上昇させると共に、前記基板の外周側に配置され、前記基板が前記搬送位置にあるときは外周側が前記搬送空間と前記処理空間とを仕切る仕切板によって支持されるコンダクタンス調整プレートについて、前記基板載置台の上昇により前記基板が前記基板処理位置にあるときは前記コンダクタンス調整プレートの内周側を前記基板載置台で支持する基板載置台上昇手順と、
    前記基板の処理空間内にガスを供給するガス供給手順と、
    前記処理空間内に供給されたガスを、前記コンダクタンス調整プレートが配置されたガス流路を介し、前記処理空間の側方周囲を囲むように設けられた空間を持つ排気バッファ室に流入させた後に、前記排気バッファ室内から排気すると共に、前記ガス流路に配置された前記コンダクタンス調整プレートを外周側端縁が前記排気バッファ室内まで延びるように構成し、前記仕切板の内周端が前記コンダクタンス調整プレートの下方側の位置であって前記排気バッファ室の内周側端縁を超えて前記コンダクタンス調整プレート上に形成される前記ガス流路まで達する位置に相当する位置まで延びるように構成し、さらに前記基板載置台の外周端に前記仕切板の下面側に突き出す突出部を有するように当該基板載置台を構成しておくガス排気手順と、
    をコンピュータによって基板処理装置に実行させるプログラムが格納されたコンピュータ読み取り可能な記録媒体。
JP2014059223A 2014-03-20 2014-03-20 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体 Active JP5944429B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2014059223A JP5944429B2 (ja) 2014-03-20 2014-03-20 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
KR1020140067426A KR20150110246A (ko) 2014-03-20 2014-06-03 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
TW103122668A TWI534866B (zh) 2014-03-20 2014-07-01 基板處理裝置,半導體裝置之製造方法及記錄媒體
CN201410356571.9A CN104934346B (zh) 2014-03-20 2014-07-24 衬底处理装置及半导体装置的制造方法
US14/482,447 US9523150B2 (en) 2014-03-20 2014-09-10 Substrate processing apparatus, method for manufacturing semiconductor device and computer-readable recording medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014059223A JP5944429B2 (ja) 2014-03-20 2014-03-20 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体

Publications (2)

Publication Number Publication Date
JP2015183211A JP2015183211A (ja) 2015-10-22
JP5944429B2 true JP5944429B2 (ja) 2016-07-05

Family

ID=54121454

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014059223A Active JP5944429B2 (ja) 2014-03-20 2014-03-20 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体

Country Status (5)

Country Link
US (1) US9523150B2 (ja)
JP (1) JP5944429B2 (ja)
KR (1) KR20150110246A (ja)
CN (1) CN104934346B (ja)
TW (1) TWI534866B (ja)

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
EP3061845B1 (en) * 2015-02-03 2018-12-12 LG Electronics Inc. Metal organic chemical vapor deposition apparatus for solar cell
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
EP3162914A1 (en) * 2015-11-02 2017-05-03 IMEC vzw Apparatus and method for delivering a gaseous precursor to a reaction chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6710603B2 (ja) * 2016-08-05 2020-06-17 東京エレクトロン株式会社 基板載置方法及び基板載置装置
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
CN106591941A (zh) * 2016-10-31 2017-04-26 中国电子科技集团公司第四十八研究所 一种硅外延反应腔室
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP6960830B2 (ja) 2017-11-17 2021-11-05 株式会社日立ハイテク 真空処理装置および真空処理装置の運転方法
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
WO2019182260A1 (ko) * 2018-03-23 2019-09-26 홍잉 인라인 박막 프로세싱 장치
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR20200057951A (ko) * 2018-11-19 2020-05-27 주식회사 엔씨디 대면적 기판용 수평형 원자층 증착장치
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7236953B2 (ja) * 2019-08-05 2023-03-10 東京エレクトロン株式会社 成膜装置および成膜方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022139625A (ja) * 2021-03-12 2022-09-26 東京エレクトロン株式会社 真空処理装置および傾き調整方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
JPWO2022244041A1 (ja) * 2021-05-17 2022-11-24
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19980071011A (ko) * 1997-01-24 1998-10-26 조셉 제이. 스위니 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
JP3972970B2 (ja) * 1998-08-06 2007-09-05 株式会社エフオーアイ プラズマリアクタ
JP2002353207A (ja) * 2001-05-16 2002-12-06 Applied Materials Inc 半導体製造装置のプロセスチャンバ構造および半導体製造装置
US6777352B2 (en) * 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
WO2006041169A1 (ja) 2004-10-15 2006-04-20 Hitachi Kokusai Electric Inc. 基板処理装置及び半導体装置の製造方法
JP4777173B2 (ja) * 2006-07-24 2011-09-21 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
KR101204614B1 (ko) * 2008-02-20 2012-11-23 도쿄엘렉트론가부시키가이샤 가스 공급 장치, 성막 장치, 및 성막 방법
CN102725438B (zh) * 2010-03-16 2014-06-18 东京毅力科创株式会社 成膜装置
JP2013067844A (ja) * 2011-09-26 2013-04-18 Toshiba Corp 成膜装置

Also Published As

Publication number Publication date
CN104934346B (zh) 2018-01-09
TW201537625A (zh) 2015-10-01
KR20150110246A (ko) 2015-10-02
US9523150B2 (en) 2016-12-20
CN104934346A (zh) 2015-09-23
US20150267294A1 (en) 2015-09-24
TWI534866B (zh) 2016-05-21
JP2015183211A (ja) 2015-10-22

Similar Documents

Publication Publication Date Title
JP5944429B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP5941491B2 (ja) 基板処理装置及び半導体装置の製造方法並びにプログラム
JP5764228B1 (ja) 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP6001131B1 (ja) 基板処理装置、半導体装置の製造方法、プログラム
JP5762602B1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP5800969B1 (ja) 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
KR101611202B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP5800964B1 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
KR101882774B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US9508546B2 (en) Method of manufacturing semiconductor device
KR101576135B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP5800957B1 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP2016146393A (ja) 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
JP6001015B2 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JPWO2015097871A1 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP5808472B1 (ja) 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP5885870B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
JP2020063470A (ja) パターニングスペーサ用酸化チタン膜を成膜する方法およびパターン形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150828

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151208

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160301

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160401

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160517

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160525

R150 Certificate of patent or registration of utility model

Ref document number: 5944429

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250