JP2005507030A - 原子層堆積のためのガス配送装置 - Google Patents
原子層堆積のためのガス配送装置 Download PDFInfo
- Publication number
- JP2005507030A JP2005507030A JP2003538423A JP2003538423A JP2005507030A JP 2005507030 A JP2005507030 A JP 2005507030A JP 2003538423 A JP2003538423 A JP 2003538423A JP 2003538423 A JP2003538423 A JP 2003538423A JP 2005507030 A JP2005507030 A JP 2005507030A
- Authority
- JP
- Japan
- Prior art keywords
- gas
- chamber
- substrate
- valve
- chamber lid
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000231 atomic layer deposition Methods 0.000 title abstract description 18
- 239000000758 substrate Substances 0.000 claims abstract description 136
- 238000000034 method Methods 0.000 claims abstract description 33
- 239000007789 gas Substances 0.000 claims description 341
- 238000010926 purge Methods 0.000 claims description 104
- 150000001875 compounds Chemical class 0.000 claims description 53
- 238000006243 chemical reaction Methods 0.000 claims description 36
- 238000012545 processing Methods 0.000 claims description 28
- 229910052715 tantalum Inorganic materials 0.000 claims description 27
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims description 22
- 239000012530 fluid Substances 0.000 claims description 12
- 230000006854 communication Effects 0.000 claims description 10
- 238000004891 communication Methods 0.000 claims description 10
- 230000002093 peripheral effect Effects 0.000 claims description 10
- 238000005137 deposition process Methods 0.000 abstract description 4
- 230000003252 repetitive effect Effects 0.000 abstract description 4
- 239000000376 reactant Substances 0.000 description 72
- 238000000151 deposition Methods 0.000 description 33
- 239000012495 reaction gas Substances 0.000 description 32
- 230000008021 deposition Effects 0.000 description 28
- 239000010410 layer Substances 0.000 description 25
- -1 polytetrafluoroethylene Polymers 0.000 description 19
- 238000010438 heat treatment Methods 0.000 description 16
- 230000008569 process Effects 0.000 description 16
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 14
- 239000007787 solid Substances 0.000 description 12
- 239000012159 carrier gas Substances 0.000 description 10
- 239000000463 material Substances 0.000 description 10
- 239000002356 single layer Substances 0.000 description 10
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 10
- 239000002245 particle Substances 0.000 description 9
- 230000004044 response Effects 0.000 description 9
- 238000000429 assembly Methods 0.000 description 8
- 230000000712 assembly Effects 0.000 description 8
- 238000005086 pumping Methods 0.000 description 8
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 7
- 229910052786 argon Inorganic materials 0.000 description 7
- 238000005229 chemical vapour deposition Methods 0.000 description 7
- 239000002243 precursor Substances 0.000 description 7
- 238000009833 condensation Methods 0.000 description 6
- 230000005494 condensation Effects 0.000 description 6
- 239000004642 Polyimide Substances 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 230000001276 controlling effect Effects 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 229920001721 polyimide Polymers 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 238000001816 cooling Methods 0.000 description 4
- 238000000354 decomposition reaction Methods 0.000 description 4
- 238000004519 manufacturing process Methods 0.000 description 4
- 238000001179 sorption measurement Methods 0.000 description 4
- 230000003746 surface roughness Effects 0.000 description 4
- 230000009471 action Effects 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 230000004888 barrier function Effects 0.000 description 3
- 239000003054 catalyst Substances 0.000 description 3
- 230000007423 decrease Effects 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 3
- 238000000197 pyrolysis Methods 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- 239000010935 stainless steel Substances 0.000 description 3
- 229910001220 stainless steel Inorganic materials 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- 229920001774 Perfluoroether Polymers 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 229910021529 ammonia Inorganic materials 0.000 description 2
- 238000007664 blowing Methods 0.000 description 2
- 239000003638 chemical reducing agent Substances 0.000 description 2
- 239000003251 chemically resistant material Substances 0.000 description 2
- 239000000460 chlorine Substances 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 239000011521 glass Substances 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 229910052739 hydrogen Inorganic materials 0.000 description 2
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 229910001092 metal group alloy Inorganic materials 0.000 description 2
- 238000002156 mixing Methods 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 239000003921 oil Substances 0.000 description 2
- 239000007800 oxidant agent Substances 0.000 description 2
- 229920002493 poly(chlorotrifluoroethylene) Polymers 0.000 description 2
- 229920003223 poly(pyromellitimide-1,4-diphenyl ether) Polymers 0.000 description 2
- 239000005023 polychlorotrifluoroethylene (PCTFE) polymer Substances 0.000 description 2
- 229920001343 polytetrafluoroethylene Polymers 0.000 description 2
- 239000004810 polytetrafluoroethylene Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 238000000859 sublimation Methods 0.000 description 2
- 230000008022 sublimation Effects 0.000 description 2
- 238000003786 synthesis reaction Methods 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- DIIIISSCIXVANO-UHFFFAOYSA-N 1,2-Dimethylhydrazine Chemical compound CNNC DIIIISSCIXVANO-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- CPRZXSNNOZTZIE-UHFFFAOYSA-N CC[Ta](CC)(CC)(CC)(CC)NC Chemical compound CC[Ta](CC)(CC)(CC)(CC)NC CPRZXSNNOZTZIE-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 1
- 229940126062 Compound A Drugs 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- NLDMNSXOCDLTTB-UHFFFAOYSA-N Heterophylliin A Natural products O1C2COC(=O)C3=CC(O)=C(O)C(O)=C3C3=C(O)C(O)=C(O)C=C3C(=O)OC2C(OC(=O)C=2C=C(O)C(O)=C(O)C=2)C(O)C1OC(=O)C1=CC(O)=C(O)C(O)=C1 NLDMNSXOCDLTTB-UHFFFAOYSA-N 0.000 description 1
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- JRBRVDCKNXZZGH-UHFFFAOYSA-N alumane;copper Chemical compound [AlH3].[Cu] JRBRVDCKNXZZGH-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 230000007175 bidirectional communication Effects 0.000 description 1
- 230000036760 body temperature Effects 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 229910052801 chlorine Inorganic materials 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 239000012809 cooling fluid Substances 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- GKCPCPKXFGQXGS-UHFFFAOYSA-N ditert-butyldiazene Chemical compound CC(C)(C)N=NC(C)(C)C GKCPCPKXFGQXGS-UHFFFAOYSA-N 0.000 description 1
- UCSVJZQSZZAKLD-UHFFFAOYSA-N ethyl azide Chemical compound CCN=[N+]=[N-] UCSVJZQSZZAKLD-UHFFFAOYSA-N 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- 125000001153 fluoro group Chemical group F* 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 150000002429 hydrazines Chemical class 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 230000013011 mating Effects 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 125000002524 organometallic group Chemical group 0.000 description 1
- HKOOXMFOFWEVGF-UHFFFAOYSA-N phenylhydrazine Chemical compound NNC1=CC=CC=C1 HKOOXMFOFWEVGF-UHFFFAOYSA-N 0.000 description 1
- 229940067157 phenylhydrazine Drugs 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 150000003481 tantalum Chemical class 0.000 description 1
- MUQNAPSBHXFMHT-UHFFFAOYSA-N tert-butylhydrazine Chemical compound CC(C)(C)NN MUQNAPSBHXFMHT-UHFFFAOYSA-N 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 238000007740 vapor deposition Methods 0.000 description 1
- 239000002699 waste material Substances 0.000 description 1
- 238000009941 weaving Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4411—Cooling of the reaction chamber walls
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
- C23C16/45504—Laminar flow
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45502—Flow conditions in reaction chamber
- C23C16/45508—Radial flow
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45512—Premixing before introduction in the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45582—Expansion of gas before it reaches the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Fluid Mechanics (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
【選択図】図1
Description
【0001】
【発明の分野】
【0002】
[0002]本発明の実施形態は、一般に、原子層堆積のための装置及び方法に関する。より詳細には、本発明の実施形態は、原子層堆積のための改良されたガス配送装置及び方法に関する。
【関連技術の説明】
【0003】
[0003]サブミクロン以下の特徴部を高い信頼性で製造することは、半導体デバイスの次世代の超大規模集積(VLSI)及び極超大規模集積(ULSI)のための重要な技術の1つである。しかしながら、回路技術のフリンジがプレスされるときには、VLSI及びULSI技術における相互接続部の寸法収縮により処理能力に付加的な要求が課せられる。この技術の中心に位置する多レベルの相互接続部は、ビア及び他の相互接続部のようなアスペクト比の高い特徴部の高精度処理を必要とする。これらの相互接続部を高い信頼性で製造することは、VLSI及びULSIの成功にとって非常に重要であると共に、個々の基板の回路密度及び品質を高める努力を続けるためにも非常に重要である。
【0004】
[0004]回路密度が高まるにつれて、ビア、コンタクト及び他の特徴部、並びにそれらの間の誘電体材料の幅がサブミクロン寸法(例えば、0.20マイクロメーター未満)へと減少するが、誘電体層の厚みは実質的に一定のままであり、その結果、特徴部のアスペクト比、即ち高さを幅で除算したものが高くなる。多くの慣習的な堆積プロセスでは、アスペクト比が4:1を越える場合、特に、アスペクト比が10:1を越える場合には、サブミクロン特徴部を埋め込むことが困難である。それ故、アスペクト比の高いサブミクロン特徴部は実質的に空所がなく且つ継ぎ目がないものを形成するように多大な努力が払われ続けている。
【0005】
[0005]原子層堆積は、アスペクト比の高い特徴部の上に材料層を堆積するために探求されている1つの堆積技術である。原子層堆積の一例は、ガスのパルスを順次に導入することを含む。例えば、ガスのパルスを順次に導入する1つのサイクルは、第1反応ガスのパルスと、それに続くパージガスのパルス及び/又はポンプ排気と、それに続く第2反応ガスのパルスと、それに続くパージガスのパルス及び/又はポンプ排気とを含むことができる。ここで使用する「ガス」という用語は、単一ガス又は複数のガスを含むものとして定義される。第1反応物及び第2反応物の別々のパルスを順次に導入すると、それら反応物の単層が基板の表面に交互に自己制限吸着され、ひいては、各サイクルに材料の単層を形成することができる。堆積材料の希望厚みまでサイクルを繰り返すことができる。第1反応ガスのパルスと第2反応ガスのパルスとの間に与えられるパージガスのパルス及び/又はポンプ排気は、過剰な量の反応物がチャンバ内に残留することにより反応物が気相反応するおそれを低減するように働く。
【0006】
[0006]しかしながら、ガス配送を実行すると共に、原子層堆積による膜の堆積を実行するための新規な装置が要望される。
【発明の概要】
【0007】
[0007]原子層堆積のような繰り返し層堆積プロセスを実行するための装置及び方法が提供される。1つの態様において、この装置は、基板受け入れ面を有する基板支持体と、チャンバ蓋とを備え、このチャンバ蓋は、該チャンバ蓋の中央部分から延びるテーパー付けされた通路と、該通路からチャンバ蓋の周囲部分へと延びる下面とを含み、該下面は、基板受け入れ面を実質的に覆う形状及びサイズとされる。又、この装置は、徐々に拡がるチャンネルへ結合された1つ以上のバルブと、各バルブに結合された1つ以上のガス源も備えている。
【0008】
[0008]別の態様において、上記装置は、基板受け入れ面を有する基板支持体と、チャンバ蓋とを備え、このチャンバ蓋は、該チャンバ蓋の中央部分へと下方に延びる拡大チャンネルを含むと共に、該拡大チャンネルからチャンバ蓋の周囲部分へと延びる円錐状底面と、拡大チャンネルの上部の周りに配置された1つ以上のガスコンジットとを含み、該1つ以上のガスコンジットは、拡大チャンネルの中心からある角度に配置される。又、この装置は、徐々に拡がるチャンネルに結合された1つ以上のバルブと、円錐状底面の周囲付近でチャンバ蓋に配置されたチョークも備えている。
【0009】
[0009]1つの態様において、上記方法は、1つ以上のガスを基板処理チャンバ内へ基板の中央部分の上で初期円形方向に供給するステップと、非断熱膨張によりガスの速度を低下させるステップと、基板の中央部分へガスを供給するステップと、基板の中央部分から基板を半径方向に横切って基板の周囲部分へ実質的に均一の速度でガスを指向するステップとを備えている。
【0010】
[0010] 本発明の上記特徴をいかに達成するか詳細に理解できるようにするため、上記で概要を簡単に述べた本発明を、添付図面に示した実施形態を参照して詳細に説明する。
【0011】
[0011]しかしながら、添付図面は、本発明の典型的な実施形態を例示するに過ぎず、従って、本発明の範囲を何ら限定するものではなく、本発明は、他の等しく有効な実施形態も受け入れできることに注意されたい。
【好ましい実施形態の詳細な説明】
【0012】
[0027]図1は、繰返し層堆積、原子層堆積、デジタル化学気相堆積、及び急速化学気相堆積技術を実行することのできる例示的処理システム200の概略部分断面図である。「繰返し層堆積」、「原子層堆積」、「デジタル化学気相堆積」、及び「急速化学気相堆積」という語は、ここでは交換可能に使用され、2つ以上のコンパウンドを処理チャンバの反応ゾーンに順次導入して薄い材料層を基板面に堆積する気相堆積技術を指す。
【0013】
[0028]チャンバ200は、チャンバ本体202と、ガス配送システム230と、真空システム278と、制御ユニット280とを備えている。チャンバ本体202は、側壁204、底部206及びライナー299を有する。チャンバ本体202の側壁204にはスリットバルブ208が形成され、ロボット(図示せず)が、200mm又は300mm半導体ウェハ或いはガラス基板のような基板210をチャンバ200に導入したりそこから回収したりするためのアクセスを与える。
【0014】
[0029]チャンバ本体202内には基板支持体212が配置され、その基板受け入れ面211に基板210を支持する。リフトモーター214が基板支持体212を上下させる。リフトモーター218に結合されたリフトプレート216がチャンバ200内に取り付けられ、これは、基板支持体212を貫通して可動に配置されたピン220を上下させる。ピン220は、基板210を持ち上げたり、それを基板支持体212の受け入れ面211上に降ろしたりする。基板212を処理中に基板支持体212に固定するために、基板支持体212は、真空チャック、静電チャック又はクランプリングを含んでもよい。又、基板支持体212を加熱して、そこに配置された基板210を加熱するようにしてもよい。例えば、基板支持体212は、抵抗ヒーターのような埋設加熱素子を使用して加熱されてもよいし、又は基板支持体212の上に配置された加熱ランプのような放射熱を使用して加熱されてもよい。
【0015】
[0030]又、チャンバ本体202は、パージチャンネル224を画成するように基板支持体212に配置されたパージリング222も備えている。パージガスは、パージチャンネル224を経て基板210の周囲部分へと流れ、そこに堆積が生じるのを防止する。
【0016】
[0031]真空システム278は、チャンバ本体202の側壁204内に形成されたポンピングチャンネル279と連通する。真空システム278は、チャンバ本体202からガスを排気し、チャンバ202のポンピングゾーン266内に希望の圧力又は希望の圧力範囲を維持する。ポンピングゾーン266は、基板支持体212を取り巻くようにチャンバ本体202内に形成される。
【0017】
[0032]ガス配送システム230及びチャンバ本体202は、チャンバ本体202内に反応ゾーン264を画成する。この反応ゾーン264は、基板支持体212と流体連通される。より詳細には、反応ゾーン264は、チャンバ200内でガス源と基板面との間の容積を含む。反応ガス又はパージガスは、反応ゾーン264を充分に満たすことができ、基板210が反応ガス又はパージガスに充分曝されるよう確保する。従来の化学気相堆積では、反応物の相互反応が基板面にわたって均一に生じるよう確保するために、公知のチャンバは、反応物の合成流を基板の全面に同時に且つ均一に与えることが要求された。原子層堆積では、チャンバ200が基板面に反応物を順次導入し、基板面に反応物の交互の薄い層を吸着させる。その結果、原子層堆積は、反応物の流れが基板面に同時に到達することを必要としない。むしろ、各反応物の流れは、基板面に吸着されるべき薄い反応物層にとって充分な量で与えられることが必要である。
【0018】
[0033]反応ゾーン264は、従来のCVDチャンバの内部容積に比して小さな容積を含むので、特定のプロセスに対し反応ゾーン264を満たすのに僅かな量のガスしか必要とされない。例えば、一実施形態では、200mm直径の基板を処理するためのチャンバの場合に、反応ゾーン264の容積は、約1000cm3以下であり、好ましくは、500cm3以下であり、更に好ましくは、200cm3以下である。又、一実施形態では、300mm直径の基板を処理するためのチャンバの場合に、反応ゾーン264の容積は、約3000cm3以下であり、好ましくは、1500cm3以下であり、更に好ましくは、600cm3以下である。一実施形態では、堆積に対して反応ゾーン264の容積を調整するように基板支持体212が上下されてもよい。反応ゾーン264の容積が小さいので、堆積ガスであろうとパージガスであろうと、僅かなガスをチャンバ200に流し込むだけでよい。それ故、少量のガスしか使用されず、運転コストの低減となるので、チャンバ200のスループットは大きく且つ浪費は最小にすることができる。
【0019】
[0034]図1の実施形態では、ガス配送システム230は、チャンバ本体202の上部に配置され、プロセスガス及び/又はパージガスのようなガスをチャンバ本体202に供給する。ガス配送システム230は、チャンバ蓋232と、それを貫通して形成された拡大チャンネル234とを備えている。チャンバ蓋232は、底面260を含み、これは、チャンバ本体202内に配置された基板210を実質的に覆うサイズ及び形状にされる。
【0020】
[0035]チャンバ蓋232の底面260の少なくとも一部分は、基板210の面にわたって改善されたガス速度プロフィールを与えるために、拡大チャンネル234からチャンバ蓋232の周囲部分へ(即ち基板の中心から基板の縁へ)テーパー付けされてもよい。底面260は、まっすぐな面、凹状面、凸状面又はその組合せのような1つ以上のテーパー付けされた面を含んでもよい。底面260は、漏斗の形状にテーパー付けされるのが好ましい。チャンバ蓋232の下方に傾斜した底面260と基板210の面との間の流れ区分の最大面積と流れ区分の最小面積との比は、約2未満であるのが好ましく、約1.5未満であるのが更に好ましく、約1.3未満であるのがもっと好ましく、約1であるのが最も好ましい。
【0021】
[0036]理論によって束縛されることを望まないが、基板210の面にわたって均一の速度を有するガスは、基板210に対してより均一なガス堆積を与えると考えられる。ガスの速度は、ガスの濃度に直接比例し、これは、次いで、基板面に対するガスの堆積率に直接比例すると考えられる。従って、基板面の第1エリアにおけるガスの速度が基板面の第2エリアに対して高いときには、第1エリアにおけるガスの堆積率が高いと考えられる。従って、下方に傾斜する底面260を有するチャンバ蓋は、下方に傾斜する下面がより均一な速度を与えるので、基板面にわたってより均一なガスの堆積を与え、ひいては、基板面にわたってより均一なガス濃度を与えると考えられる。
【0022】
[0037]拡大チャンネル234及び底面260を含むチャンバ蓋232の内面の少なくとも一部分は、表面の粗面度(Raμin)が約46Raから62Raであり、好ましくは、約54Raである。更に、パージリング222の上面及びチャンバライナー299の上面は、表面の粗面度が約46Raから62Raであり、好ましくは、約54Raでよい。これら表面の粗面度は、これら表面に堆積される膜の接着度を高めると考えられる。堆積される膜の接着度が高まると、堆積された膜が基板の処理中に剥がれ落ちるおそれを低減し、ひいては、基板の粒子汚染のおそれを低減する。好ましい実施形態では、表面の粗面度は、鏡面研磨面を形成するための電気研磨によって与えられる。鏡面研磨面は、ガスの層流を発生する上で助けとなる。他のあまり好ましくない実施形態では、適当なより方で表面を織ることにより表面の粗面度を与えることができる。
【0023】
[0038]チャンバ蓋232の温度の制御は、チャンバ蓋232におけるガスの分解、堆積又は凝縮を防止するために重要である。従って、チャンバ蓋232は、それを通して配送される特定のガスに基づいて冷却素子及び/又は加熱素子を含んでもよい。例えば、チャンバ蓋232を冷却するためにチャンバ蓋232に水チャンネル(図示せず)が形成されてもよい。別の例では、加熱素子(図示せず)が埋設されてもよいし、又はチャンバ蓋232を加熱するようにチャンバ蓋232の要素を包囲してもよい。
【0024】
[0039]又、チャンバ蓋232は、チャンバプレート部分270及びキャップ部分272を含んでもよい。キャップ部分272は、ある温度範囲に維持され、プレート部分270は、別の温度範囲に維持されてもよい。例えば、キャップ272は、反応ガスの凝縮を防止するためにヒーターテープ又は他の加熱装置を使用して加熱され、一方、プレート部分270は、周囲温度に維持されてもよい。別の実施例では、キャップ272が加熱され、プレート部分270は、反応ガスの熱分解を防止するために、そこに貫通して形成された水チャンネル(図示せず)で冷却されてもよい。
【0025】
[0040]チャンネル蓋232は、ステンレススチール、アルミニウム、ニッケルメッキされたアルミニウム、ニッケル、或いは実行されるべき処理に適合し得る他の適当な材料で作られてもよい。一実施形態では、キャップ部分272がステンレススチールで構成され、チャンバプレート部分270がアルミニウムで構成される。一実施形態では、付加的なプレートがステンレススチールで構成される。一実施形態では、拡大チャンネル234及びチャンバ蓋232の底面260が鏡面研磨面で構成されて、拡大チャンネル234及びチャンバ蓋232の底面260に沿ってガスの層流を発生する上で助けをしてもよい。別の実施形態では、ガスコンジット250A、250Bの内面に電気研磨を施して、ガスの層流の発生を助けるようにしてもよい。
【0026】
[0041]チャンバ蓋232は、更に、基板210の周囲付近で、チャンバ蓋232の周囲部分に配置されたチョーク262を含んでもよい。このチョーク262は、基板210の周囲付近のエリアで反応ゾーン264内のガスの流れを制限することのできるいかなる形式の障害物でもよい。チョーク262は、反応ゾーン264内に実質的に均一の圧力を維持する上で助けとなる。
【0027】
[0042]例えば、図2Aは、チョーク262の一実施形態の概略断面図である。この実施形態において、チョーク262は、周囲の横方向部分267を含む。1つの態様では、パージリング222は、チョーク262の横方向部分267に向ってパージガスを向けることができる。
【0028】
[0043]別の実施形態として、図2Bは、チョーク262の別の実施形態の概略断面図である。チョーク262は、周囲の下方に延びる突出部268を含む。1つの態様では、パージリング222は、周囲の下方に延びる突出部268に向かってパージガスを向けることができる。1つの態様では、下方に延びる突出部268の厚みは、約0.01インチから約1.0インチであり、更に好ましくは、0.01インチから0.5インチである。
【0029】
[0044]チョーク262と基板支持体212との間隔は、通常、約0.04インチから約2.0インチであり、好ましくは、0.04インチから約0.2インチである。この間隔は、配送されるガス及び堆積中のプロセス条件に基づいて変化し得る。チョーク262は、チャンバ蓋232と基板210との間に画成された反応ゾーン264をポンピングゾーン266(図1)の非均一な圧力分布から分離することにより、反応ゾーン264の容積内により均一な圧力分布を与える上で助けとなる。
【0030】
[0045]ガス配送システム230は、更に、別々のガス源と流体連通する1つ以上のバルブ(4つが示されている242A、242B、252A、252B)を備えている。各バルブ242A、242Bは、弁座アッセンブリ244A、244Bを有する配送ライン243A、243Bを含み、各バルブ252A、252Bは、弁座アッセンブリ246A、246Bを有するパージライン245A、245Bを含む。各配送ライン243A、243Bは、各反応ガス源238、239と連通すると共に、拡大チャンネル234の各ガス入口236A、236Bと連通する。配送ライン243A、243Bの弁座アッセンブリ244A、244Bは、反応ガス源238、239から拡大チャンネル234への反応ガスの流れを制御する。パージライン245A、245Bは、パージガス源240と連通し、配送ライン243A、243Bの弁座アッセンブリ244A、244Bの下流で配送ライン243A、243Bと交差する。パージライン245A、245Bの弁座アッセンブリ246A、246Bは、パージガス源240から配送ライン243A、243Bへのパージガスの流れを制御する。担体ガスを使用して反応ガスを反応ガス源238、239から配送する場合には、同じガスを担体ガス及びパージガスとして使用するのが好ましい(即ち、アルゴンガスが担体ガス及びパージガスとして使用される)。
【0031】
[0046]プログラム可能なロジックコントローラ248A、248Bは、弁座アッセンブリ244A、244B、246A、246Bのダイアフラムの動作を制御するためにバルブ242A、242Bに結合することができる。空気作動式のバルブは、約0.020秒程度の短い時間周期でガスのパルスを与えることができる。電気作動式のバルブは、約0.005秒程度の短い時間周期でガスのパルスを与えることができる。電気作動式のバルブは、通常、そのバルブとプログラム可能なロジックコントローラとの間に接続されたドライバの使用を必要とする。
【0032】
[0047]各バルブ242A、242Bは、そのバルブの弁座アッセンブリ244A、244Bが閉じたときに配送ライン243A、243Bから反応ガスをフラッシュさせることのできるゼロ不感容積(zero dead volume)バルブでよい。弁座アッセンブリ244A、244Bが閉じると、パージライン245A、245Bは、配送ライン243A、243Bをフラッシュさせるためのパージガスを与えることができる。パージライン245A、245Bは、配送ライン243A、243Bの弁座アッセンブリ244A、244Bの付近に配置することができる。或いは又、パージライン245A、245Bは、図示されたように、配送ライン243A、243Bの弁座アッセンブリ244A、244Bから若干離間して配置され、開放時に弁座アッセンブリ244A、244Bにパージガスが直接配送されないようにしてもよい。ここで使用するゼロ不感容積バルブとは、無視できる程の不感容積をもつ(即ち必ずしもゼロの不感容積ではない)バルブとして定義される。
【0033】
[0048]各バルブ242A、242Bは、反応ガス238、239及びパージガス240の合成ガス流及び/又は個別ガス流を与えることができる。バルブ242Aについては、バルブ242Aにより与えられる反応ガス238及びパージガス240の合成ガス流の一例は、パージガス源240からパージライン245Aを経て送られるパージガスの連続流と、反応ガス源238から配送ライン243Aを経て送られる反応ガスのパルスとで構成される。パージガスの連続流は、パージライン245Aの弁座アッセンブリ246Aのダイアフラムを開状態に保つことにより与えることができる。反応ガス源238からの反応ガスのパルスは、配送ライン243Aの弁座244Aのダイアフラムを開閉することにより与えることができる。バルブ242Aについて、バルブ242Aにより与えられる反応ガス238及びパージガス240の個別ガス流の一例は、パージガス源240からパージライン245Aを経て送られるパージガスのパルスと、反応ガス源238から配送ライン243Aを経て送られる反応ガスのパルスとで構成される。パージガスのパルスは、バルブ252Aの弁座アッセンブリ246Aのダイアフラムを開閉することにより与えることができる。反応ガス源238からの反応ガスのパルスは、バルブ242Aの弁座244Aのダイアフラムを開閉することにより与えることができる。
【0034】
[0049]図3は、バルブ242A、242Bがチャンバ本体202の下に取り付けられると共に、チャンバ本体202を経て配管された1つ以上のガスライン255に結合されたガス配送システム230の別の実施形態を示す。ガスライン255は、次いで、ガスコンジット250A、250Bに結合される。バルブ242A、242Bは、他の位置において、チャンバ蓋232のような他のチャンバ要素に取り付けられてもよい。
【0035】
[0050]1つの態様において、バルブ242A、242Bは、個別の反応ガス源238、239及び個別のパージガス源240、241に結合される。個別のパージガス源は、バルブ242A、242B間の漏洩のおそれを低減する。他の実施形態では、バルブ242A及び242Bは、上述したように、同じパージガス源240、241に結合されてもよい。
【0036】
[0051]図4は、バルブ242A、242B、252A、252Bの概略断面図である。各バルブは、流体連通している3つのポート、即ち反応物入口112、パージ入口114及び出口116を有する本体110を含む。上述したように、反応物入口112は、反応物源238、239と流体連通する。パージ入口114は、パージガス源240、241と流体連通し、更に、出口116は、プロセスチャンバ200と流体連通している。
【0037】
[0052]図5は、図4に示されたバルブの概略斜視図である。バルブ本体110は、埋設加熱素子511を挿入するための1つ以上の穴510を含んでもよい。好ましくは、これらの穴510は、反応物入口112(図4に示す)の近くにあって、反応物を加熱して、バルブ242A、242B内で反応物が凝縮するのを防止する。又、バルブ本体110は、バルブ本体110の温度を監視するための熱電対装置521を挿入する1つ以上の穴520を含んでもよい。例えば、測定された温度をフィードバックループに使用して、電源から加熱素子511へ供給される電流を制御し、バルブの本体温度を希望の温度又は希望の温度範囲内に維持又は制御できるようにしてもよい。穴510及び520は、反応物入口を良好に加熱すると共に反応物入口112の温度を良好に監視するために、反応物入口112に密接に接近して、好ましくは、約2.0mm未満の距離に配置することができる。好ましくは、埋設加熱素子のための各穴510は、入口112、114及び出口116の平面に平行な方向に配置されて、埋設加熱素子が入口112、114及び出口116をより均一に加熱することもできる。
【0038】
[0053]図6は、ダイアフラム134がバルブチャンバ111内で弁座120の上に取り付けられたところを示す。ダイアフラム134は、バルブ242A、242Bの一方の一実施形態の概略断面図である。しかしながら、ダイアフラムは、通常、閉位置にバイアスされ、開位置(図示された)と閉位置との間で選択的に移動される。ダイアフラム134は、ステム336に取り付けられ、このステムは、ボンネット332を貫通して延びてそれにスライド可能に支持される。ステム336は、ダイアフラム134を閉位置と開位置との間で選択的に移動する。シリンダー340は、ボンネット332の頂部に固定され、ピストン342を収容している。ステム336の頂部は、ボンネット332から突出し、ピストン342の下面に取り付けられる。スプリング344は、ボンネット332とピストン342の下面との間にのせられ、ピストン342及びステム336を上方に押しやる。シリンダー340は、ピストン342の上面とシリンダー340の内面との間に操作チャンバ346を形成する。
【0039】
[0054]ダイアフラム134は、空気圧で操作されてもよいし電気的に操作されてもよい。ダイアフラム134は、これを選択的に移動するように空気又は他のガスのような加圧ガス供給源150からの加圧ガスを制御することにより空気圧で操作されるのが好ましい。ダイアフラム134は空気圧で操作されるが、ソレノイドバルブのような電子的に制御されるバルブ152がシリンダー340に取り付けられ又は結合されて、加圧ガス供給源150からガスライン151を経て加圧ガスを選択的に供給することができる。電子的に制御されるバルブ152がダイアフラムアッセンブリ130に加圧ガスを供給するが、バルブ242A、242Bは、ダイアフラム134が空気圧で操作されるので、空気圧で操作されるバルブである。
【0040】
[0055]ダイアフラム134は、開又は閉にバイアスされてもよく、閉又は開に各々操作されてもよい。開位置では、ダイアフラム134は、反応物入口112からの反応物の導入流と、パージ入口114からバルブチャンバ111を経て出口116へ、次いで、チャンバ本体202へ送られるパージガスの導入流とを許す。閉位置では、ダイアフラム134は、弁座120に接触し、反応物入口112からバルブチャンバ111を経て送られる反応物の導入流を防止する。ある好ましい実施形態では、閉位置において、ダイアフラム134は、パージ入口114からバルブチャンバ111を経て出口116へ、次いで、チャンバ本体202へ送られるパージガスの導入流を阻止しない。バルブチャンバ111は、更に、弁座120の下でバルブ本体110に形成された溝122を含み、ダイアフラム134が閉位置にあるか開位置にあるかに関わらずパージ入口114及び出口116が流体連通状態に保たれるようにしてもよい。図示のように溝122は、形状が環状になっているが、他の適当な形状でもよい。
【0041】
[0056]弁座120は、バルブ本体110と一体的な部片でもよい。別の実施形態では、弁座120がバルブ本体110とは個別の部片でもよい。弁座120は、反応物入口112を経て供給される反応物と反応しない化学的に耐性のある材料で作られるのが好ましい。化学的に耐性のある材料は、例えば、ポリイミド(PI)、ポリテトラフルオロエチレン(PTFE)、ポリクロロトリフルオロエチレン(PCTFE)、パーフルオロアルコキシ(PFA)、及び他の適当なポリマーを含む。あまり好ましくない実施形態では、弁座120は、金属、金属合金、及び他の適当な材料で作られてもよい。ある実施形態では、供給される反応物に基づいて、バルブ本体110は、ダイアフラム134又は他のバルブ242A、242Bの部品に反応物が凝縮するのを防止するために約80℃から約90°の温度に加熱される。アンモニアガスを反応物として使用する場合には、弁座120は、化学的に耐性のあるポリイミド、例えば、Vespel(登録商標)CR−6100で作られるのが好ましい。アンモニアガスは、80℃以上の温度ではポリイミドVespel(登録商標)CR−6100とは化学的に不活性であるが、アンモニアガスは、他のポリイミドとは80℃以上の温度において反応し得ることが示されている。
【0042】
[0057]バルブ242A、242Bの動作については、プログラム可能なロジックコントローラ(PLC)248A、248Bがバルブ242A、242Bに結合されて、電子的に制御されるバルブ152への電気的信号を制御する。電子的に制御されるバルブ152は、これが開くと、コネクタ349を経て操作チャンバ346へ加圧ガスを供給し、スプリング344の弾性力に抗してピストン342及びステム336を下方に押しやる圧力を形成する。ダイアフラム134の中央部分は、ステム336により下方に押され、弁座120と接触状態になり、反応物入口112から出口116への反応物の導入流を閉じる。ダイアフラム134が弁座120と接触すると、ダイアフラム134は溝122を塞がず、パージガスがパージガス入口114から出口116へ流れることができる。電子的に制御されるバルブ152は、これが閉じると、加圧ガスの供給を停止し、操作チャンバ346内の加圧ガスを解放する。加圧ガスの供給が停止され、操作チャンバ346内の圧力が解放されると、ピストン342及びステム336は、スプリング344の弾性力により上昇される。ピストン342及びステム336が上昇すると、ダイアフラム134がバルブ本体110の弁座120から離れるように移動し、反応物入口112から出口116への反応物の導入流を許す。
【0043】
[0058]ダイアフラム134は、反応物のパルスを出口116へ、次いで、チャンバ本体202へ供給するために、開位置と閉位置との間で移動される。ダイアフラム134は、閉位置において、溝122を塞がないので、パージガスの連続流を、パージ入口114からバルブチャンバ111を経て出口116へ与えることができる。その結果、バルブチャンバ111を経て与えられるパージガスの連続流に反応物のパルスをドーズすることができる。バルブチャンバ111を経て与えられるパージガスの連続流は、反応物のパルスとパルスとの間にバルブチャンバ111に残された残留反応物をフラッシュする。1つの態様において、バルブ242A、242Bの各々は、ゼロ不感容積を有する。というのは、バルブ本体110を経て反応物入口112の弁座120へ送られるパージガスの流路間の不感容積が無視できる程度のものだからである。
【0044】
[0059]図7は、閉位置と開位置との間で移動されるバルブ242A又は242Bの一方の、ダイアフラム134のようなダイアフラムのグラフである。ここで使用する「応答時間」という語は、バルブのダイアフラムを開位置から閉位置へ又は閉位置から開位置へ移動するための時間として定義される。バルブのダイアフラムを開位置から閉位置へ移動するための応答時間、及びバルブのダイアフラムを閉位置から開位置へ移動するための応答時間は、同じでもよいし、異なってもよいが、ほぼ同じであるのが好ましい。バルブ242A、242Bは、応答時間が好ましくは約50ミリ秒未満であり、更に好ましくは20ミリ秒未満である。操作チャンバの内部容積が約2.8cm3であるバルブ242A又は242Bのようなバルブは、応答時間が約40ミリ秒未満であることが観察されている。操作チャンバの内部容積が約0.9cm3であるバルブ242A又は242Bのようなバルブは、応答時間が約15ミリ秒未満であることが観察されている。
【0045】
[0060]バルブアッセンブリの応答時間を短縮すると、時間と共に供給されるべき反応物のパルスサイクルがより多く許される。それ故、基板処理のスループットが高くされる。しかしながら、バルブ242A、242Bは、いかなる希望のパルス時間720でも動作できる。ここで使用する「パルス時間」という語は、ダイアフラムを完全に閉じた位置から完全に開いた位置へ、次いで、完全に閉じた位置へ戻すように移動するための時間として定義される。バルブ242A、242Bは、約1.0秒以下、約500ミリ秒以下、更には、約200ミリ秒以下のパルス時間を与えるように動作されてもよい。
【0046】
[0061]ダイアフラム134の空気式制御は、ソレノイドにより上下に駆動されるダイアフラムに比して、弁座120にダイアフラム134を「ソフト」ランディングさせる。この「ソフト」ランディングは、開位置と閉位置との間でのダイアフラムの移動中に弁座120に対するダイアフラム134の衝撃により生じる粒子の形成を減少させる。又、この「ソフト」ランディングは、ダイアフラムをソレノイドにより直接移動することにより生じる「ハード」ランディングに比して、バルブアッセンブリ100を経て反応物をより一層の層流で供給する。
【0047】
[0062]ある実施形態では、操作チャンバ346の内部容積は、好ましくは約3.0cm3以下の、更に好ましくは、約1.0cm3以下の小さな容積より成る。ここで使用する「操作チャンバの内部容積」という語は、操作チャンバ内の圧力が解放されたときの操作チャンバの内部容積を指し、操作チャンバ346と電気的に制御されるバルブ152との間のコネクタ349及びガスラインの内部容積を含む。操作チャンバ346の小さな内部容積は、より迅速に加圧することができ、その結果、ダイアフラム134をより迅速に操作することができる。
【0048】
[0063]電子的に制御されるバルブ152は、操作チャンバの内部容積に追加されるガスラインの容積を減少するためにダイアフラムアッセンブリ130のシリンダー340に取り付けられる。ガスラインの追加容積は、操作チャンバの内部容積を増加し、従って、操作チャンバ346を加圧するに要する時間を増加し、ひいては、バルブ242A、242Bの応答時間を増加する。別の実施形態において、ガスラインを使用して、電子的に制御されるバルブ152をダイアフラムアッセンブリ130のシリンダー340へ結合する場合には、操作チャンバの内部容積を減少するために、ガスラインの長さが約1.0インチ以下であるのが好ましい。
【0049】
[0064]加圧ガス供給源150を電子的に制御されるバルブ152に結合するガスライン151は、好ましくは、内径が約0.125インチより大きく、更に好ましくは、約0.25インチ以上である。ガスライン151の大きな内径は、大きなコンダクタンスの加圧ガスを供給することにより、操作チャンバ346の内部容積を埋め易くする。その結果、加圧ガスを電子的に制御されるバルブ152へ供給するガスライン151の大きな内径は、バルブアッセンブリ242A、242Bに応答時間を短縮する。
【0050】
[0065]図1を再び参照すれば、バルブ242A、242Bは、配送ライン243Bに結合されたガス入口236Bを経て拡大チャンネル234と流体連通する。1つの態様において、ガス入口236A、236Bは、拡大チャンネル234の上部237付近に配置される。別の態様では、ガス入口236A、236Bは、拡大チャンネル234の長さに沿ってその上部237と下部235との間に配置される。バルブ242A、242Bの配送ライン243A、243Bは、ガスコンジット250A、250Bを経てガス入口236A、236Bに結合されてもよい。ガスコンジット250A、250Bは、バルブ242A、242Bと一体化されてもよいし、又はそれとは個別のものでもよい。1つの態様において、バルブ242A、242Bは、これらバルブ242A、242Bとガス入口236A、236Bとの間で配送ライン243A、243B及びガスコンジット250A、250Bの不必要な容積を減少するために、拡大チャンネル234に接近して結合される。
【0051】
[0066]拡大チャンネル234は、その内径が上部237から下部235へ増加する。1つの特定の実施形態では、200mm直径の基板を処理するためのチャンバに対する拡大チャンネル234の内径は、拡大チャンネル234の上部237において、約0.2インチから約1.0インチであり、より好ましくは、約0.3インチから約0.9インチであり、更に好ましくは、0.3インチから約0.5インチであり、更に、拡大チャンネル234の下部235において、約0.5インチから約3.0インチであり、好ましくは、約0.75インチから約2.5インチであり、更に好ましくは、約1.1インチから約2.0インチである。別の特定の実施形態では、300mm直径の基板を処理するためのチャンバに対する拡大チャンネル234の内径は、拡大チャンネル234の上部237において、約0.2インチから約1.0インチであり、より好ましくは、約0.3インチから約0.9インチであり、更に好ましくは、0.3インチから約0.5インチであり、更に、300mm基板に対する拡大チャンネル234の下部235において、約0.5インチから約3.0インチであり、好ましくは、約0.75インチから約2.5インチであり、更に好ましくは、約1.2インチから約2.2インチである。一般に、上記寸法は、約500sccmから約3000sccmの全ガス流量を与えるための拡大チャンネルに適用される。しかしながら、いかなるガス流量も受け入れるようにこれら寸法を変更してもよい。
【0052】
[0067]拡大チャンネル234は、裁頭円錐の形状でよい(裁頭円錐に似た形状を含む)。ガスが拡大チャンネル234の壁に向って供給されるか、基板210に向けて下方に直接供給されるかに関わらず、ガス流はガスの膨張により拡大チャンネル234を経て進行するので、ガス流の速度は低下する。ガス流の速度の低下は、基板210の表面に吸着した反応物をガスが吹き飛ばすおそれを低減する上で助けとなる。
【0053】
[0068]理論によって束縛されることを望まないが、上部237から下部235へと徐々に増加する拡大チャンネル234の直径は、拡大チャンネル234を経て流れるガスの断熱膨張を僅かに許し、これは、ガスの温度を制御する上で助けとなると考えられる。拡大チャンネル234に流れるガスの急激な断熱膨張は、ガスの温度を低下させ、ガスの凝縮及び粒子の形成を招くことがある。ガスの断熱膨張が僅かに生じると、より多くの熱がガスへ又はガスから伝達され、従って、ガスの温度を容易に制御することができる。徐々に拡がるチャンネルは、1つ以上のテーパー付けされた内面、例えば、テーパー付けされたまっすぐな面、凹状面、凸状面、又はその組合せで構成されてもよいし、或いは1つ以上のテーパー付けされた内面の区分(即ちテーパー付けされた部分とテーパー付けされない部分)で構成されてもよい。
【0054】
[0069]図8は、チャンバ蓋232の膨張区分234の一実施形態の上部断面図である。各ガスコンジット250A、250Bは、そのガスコンジット250A、250Bの中心線302から、及び拡大チャンネル234の中心からの半径線304から、角度αに配置することができる。好ましくは角度αに配置された(即ち、α>0°のとき)ガスコンジット250A、250Bを経てガスを導入すると、ガスは、矢印310A(又は310B)で示されたように円方向に流される。拡大チャンネルの壁に直接まっすぐ(即ち、α=0°のとき)ではなく、角度αでガスを供給すると、拡大チャンネル234を経て、乱流ではなく、より一層の層流が形成される。拡大チャンネル234を通る層流は、拡大チャンネル234の内面及びチャンバ蓋232の他の面のパージ作用を改善すると考えられる。これに対して、乱流は、拡大チャンネル234の内面及び他の面にわたって均一に流れず、ガスが流れないデッドスポット又は停滞スポットを含むことがある。1つの態様において、ガスコンジット250A、250B並びにそれに対応するガス入口236A、236Bは、互いに離間され、同じ円方向に流れを向ける(即ち時計方向又は反時計方向)。
【0055】
[0070]図9は、1つ又は複数のバルブ(図示せず)に結合された1つのガスコンジット650から1つのガス入口636を経て単一ガス流を受け入れるようにしたチャンバ蓋の拡大チャンネルの別の実施形態を示す上部断面図である。ガスコンジット650は、そのガスコンジット650の中心線602から、及び拡大チャンネル634の中心からの半径線604から、角度αに配置することができる。角度αに配置された(即ち、α>0°のとき)ガスコンジット650は、矢印610で示すようにガスを円方向に流させる。
【0056】
[0071]図10は、1つ又は複数のバルブ(図示せず)に各々結合された3つのガスコンジット750A、750B、750Cから3つのガス入口736A、736B、736Cを経て3つのガス流を一緒に、又は部分的に一緒に(即ち3つのガス流のうちの2つを一緒に)、或いは別々に、受け入れるようにしたチャンバ蓋の拡大チャンネルの別の実施形態を示す上部断面図である。ガスコンジット750A、750B、750Cは、そのガスコンジット750A、750B、750Cの中心線702から、及び拡大チャンネル734の中心からの半径線704から、角度αに配置することができる。角度αに配置された(即ち、α>0°のとき)ガスコンジット750A、750B、750Cは、矢印710で示すようにガスを円方向に流させる。
【0057】
[0072]図11は、拡大チャンネル234の断面図で、それを通る2つのガス流を簡単に示す図である。各ガスコンジット250A、250B及びガス入口236A、236Bは、拡大チャンネルの長手軸290に対して任意の関係で配置することができる。各ガスコンジット250A、250B及びガス入口236A、236Bは、長手軸290に対して直角(+B、−B=90°まで)で配置されるか、或いはガスコンジット250A、250Bの中心線302A、302Bから長手軸290までの角度+B又は角度−B(0°<+B<90°又は0°<−B<90°)で配置されるのが好ましい。それ故、ガスコンジット250A、250Bは、図3に示すように、長手軸290に対し水平方向に直角に配置されるか、角度+Bで下方に傾斜されるか、或いは角度−Bで上方に傾斜されて、基板210に向けて直接下方ではなく、拡大チャンネル234の壁に向けてガス流を供給してもよく、これは、基板210の表面に吸着された反応物を吹き飛ばすおそれを低減する。更に、ガスコンジット250A、250Bの直径は、バルブ242A、242Bの配送ライン243A、243Bからガス入口236A、236Bまで増加してもよく、これは、ガス流が拡大チャンネル234に入り込む前にその速度を下げる上で助けとなる。例えば、ガスコンジット250A、250Bは、内径が徐々に増加してもよいし、又は内径が増加する複数の接続されたコンジットで構成されてもよい。
【0058】
[0073]拡大チャンネル234を通る厳密な流れパターンは知らないが、円形の流れ310は、矢印402A、402Bで示すように、拡大チャンネル234を通して「渦巻」又は「螺旋」流402A、402Bとして進行し得ると考えられる。1つの態様において、渦巻流は、拡大チャンネル234の内面にわたり渦巻流パターンのスイープアクションにより拡大チャンネル234のより効率的なパージを確立する上で助けとなり得る。
【0059】
[0074]一実施形態において、ガス入口236A、236Bと基板210との間の距離410は、基板210の面にわたる螺旋流は望ましくないので、「渦巻」流402が矢印404で示す下向きの流れへ分散するに充分なほど遠くされる。「渦巻」流402及び下向きの流れ404は、層流のように進行し、チャンバ蓋232及び基板210を効率的にパージすると考えられる。1つの特定の実施形態では、拡大チャンネル234の上部237と基板210との間の距離410は、約1.0インチ以上であり、更に好ましくは、約2.0インチ以上である。1つの特定の実施形態では、距離410の上限は、実用的な範囲により指示される。例えば、距離410が非常に長い場合には、拡大チャンネル234を通して進行するガスの滞留時間が長くなり、従って、ガスが基板上に堆積する時間が長くなり、ひいては、スループットが低くなる。更に、距離410が非常に長い場合には、拡大チャンネル234の製造が困難となる。一般に、距離410の上限は、200mm直径の基板を処理するためのチャンバの場合には3インチ以上、又は300mm直径の基板を処理するためのチャンバの場合には5インチ以上でよい。
【0060】
[0075]図12は、チャンバ蓋232の底面260と基板210の面との間の2つの異なる位置502、504においてガスの流れを示す概略図である。任意の流れ区分、即ち任意の半径におけるガスの速度は、理論的に、次の式で決定される。
【0061】
(1) Q/A=V
「Q」は、ガスの流量である。「A」は、流れ区分の面積である。「V」は、ガスの速度である。ガスの速度は、流れ区分の面積(Hx2πR)に逆比例し、ここで、「H」は、流れ区分の高さであり、「2πR」は、流れ区分の周囲長である。換言すれば、ガスの速度は、流れ区分の高さ「H」及び流れ区分の半径「R」に逆比例する。
【0062】
[0076]位置502及び位置504における流れ区分の速度を比較すると、チャンバ蓋232の底面260と基板210の面との間の全ての位置におけるガスの流量「Q」が等しいと仮定すれば、ガスの速度は、流れ区分の面積「A」を等しくすることにより、理論的に等しくすることができる。位置502及び位置504における流れ区分の面積が等しい場合には、R2>R1であるから、位置502の高さH1が高さH2より大きくなければならない。
【0063】
[0077]運転中に、基板210は、ロボット(図示せず)により開口208を通してチャンバ200へ配送される。基板210は、リフトピン220及びロボットの協働により基板支持体212に配置される。基板支持体212は、基板210をチャンバ蓋232の底面260に接近対向させるように持ち上げる。第1のガス流をバルブ242Aによりチャンバ200の拡大チャンネル234へ注入し、それと一緒に又はそれとは別に(即ちパルスで)第2のガス流をバルブ242Bによりチャンバ200へ注入することができる。第1のガス流は、パージガス源240からのパージガスの連続流と、反応ガス源238からの反応ガスのパルスとで構成されてもよいし、或いは反応ガス源238からの反応ガスのパルスと、パージガス源240からのパージガスのパルスとで構成されてもよい。第2のガス流は、パージガス源240からのパージガスの連続流と、反応ガス源239からの反応ガスのパルスとで構成されてもよいし、或いは反応ガス源239からの反応ガスのパルスと、パージガス源240からのパージガスのパルスとで構成されてもよい。ガス流は、渦巻流パターン402として拡大チャンネル234を経て進行し、これは、拡大チャンネル234の内面にわたりスイープアクションを与える。渦巻流パターン402は、基板210の面に向って下向きの流れ404へと分散する。ガス流の速度は、それが拡大チャンネル234を通して進むにつれて低下する。次いで、ガス流は、基板210の面を横切ると共に、チャンバ蓋232の底面260を横切って進む。下方に傾斜しているチャンバ蓋232の底面260は、基板210の面を横切るガス流の速度の変化を減少する上で助けとなる。次いで、ガス流は、チョーク262によりチャンバ200のポンピングゾーン266へと進行する。過剰なガス、副産物等は、ポンピングチャンネル279へ流れ込み、次いで、真空システム278によりチャンバ200から排出される。1つの態様において、ガスの流れは、拡大チャンネル234を通り、基板210の面とチャンバ蓋232の底面260との間を層流のように進み、これは、反応ガスを基板210の面に均一に露出させると共に、チャンバ蓋232の内面を効率的にパージする上で助けとなる。
【0064】
[0078]図13及び図14は、本発明による原子層堆積を実行することのできるガス配送システムの別の実施形態を示す。ある構成要素は、上述したものと同じ又は同様であるから、同じ参照番号を適宜使用している。
【0065】
[0079]より詳細には、図13は、実質的に平坦な下面860を有するチャンバ蓋832を備えたガス配送装置830を有するチャンバ800を示す。1つの態様において、チョーク262と基板支持体210との間隔は、約0.04インチから約2.0インチであり、更に好ましくは、約0.04インチから約0.2インチである。
【0066】
[0080]図14は、容積の小さな反応ゾーン964を備えると共に、下方に傾斜した即ち漏斗状の下面960を備えたチャンバ蓋932を含むガス配送装置930を有するチャンバ900を示す。ガス源937は、1つ以上のバルブ941を通して通路933に結合される。1つの形態において、通路933は、バルブ941を経て導入されたガスが、基板210の面に吸着された反応物を吹き飛ばすおそれを少なくするために長さが長くなっている。
【0067】
[0081]図15は、本発明に有用なガスボックス1000の一実施形態を示す概略図である。説明を明瞭化及び容易にするために、ガスボックス1000は、図3に示すチャンバ200を参照して説明する。ガスボックス1000は、1つ以上のコンパウンドをバルブ242A、242Bに供給する。ガスボックス1000は、単一又は複数のガスボックス区分でよい(2つが示されている1000A、1000B)。各ガスボックス区分1000A、1000Bは、各パージガス源240、241への接続部1010も含んでよい。ガスボックス区分1000A、1000Bは、更に、バルブ242A、242Bへ送られるコンパウンドを調整又はその他制御するための種々のバルブを含んでもよい。
【0068】
[0082]図16は、PDMATのような固体反応物源から昇華によりガスを発生するためのキャニスター1300の一実施形態を示す概略断面図である。キャニスター1330は、液体反応物源からガスを供給するように構成されてもよい。一般に、キャニスター1330は、内部容積1238を包囲する側壁1202、蓋1204及び底1232を含む。蓋1204又は側壁1202の少なくとも一方は、ガス導入及び放出のための入口ポート1206及び出口ポート1208を含む。入口及び出口ポート1206、1208は、キャニスター1300をガス配送システム230から取り外し易くするために嵌合切断フィッティング1236A、1236Bが取り付けられたバルブ1112、1114に結合される。任意であるが、オイルトラップ1250が出口ポート1208とバルブ1114との間に結合され、プロセスチャンバ200へ流れるガスに存在することのあるオイル粒子を捕獲する。
【0069】
[0083]キャニスター1300の内部容積1238は、上部領域1218と下部領域1234とに分割される。ソース固体1214が下部領域1234を少なくとも部分的に満たす。キャニスター1300の内部容積1238にはチューブ1302が配置され、これは、キャニスター1300内のガスの流れをソース固体1214から離れるように向けさせ、チューブ1302から出たガス流がソース固体1214に直接当たるのを好都合に防止すると共に、粒子を空気に載せて出口ポート1208を経てプロセスチャンバ200へ搬送させる。
【0070】
[0084]チューブ1302は、その第1端1304が入口ポート1206に結合される。チューブ1302は、その第1端1304から第2端1326Aへと延び、該第2端は、ソース固体1214の上で上部領域1218に配置される。第2端1326Aは、ガスの流れを側壁1202に向けさせ、従って、ポート1206と1208との間でキャニスター1300を通してガスが直接的に(直線的に)流れるのを防止し、延長した平均流路を形成することができる。
【0071】
[0085]一実施形態では、チューブ1302の第2端1326Aの出口1306は、キャニスター1300の中心軸1308に対して約15°から約90°の角度で配置される。別の実施形態では、チューブ1302は、「J」字型の第2端1326Bを有し、これは、出口1306を出るガスの流れをキャニスター1300の蓋1204に向けさせる。別の実施形態では、チューブ1302は、該チューブ1302の端を閉じるプラグ又はキャップ1310をもつ第2端1326Cを有する。この第2端1326Cは、キャップ1310に近いチューブ1320の側に形成された少なくとも1つの開口1328を有する。開口1328を出るガスは、通常、中心軸1308に垂直に、且つキャニスター1300の下部領域1234に配置されたソース個体1214から離れるように向けられる。任意であるが、上述したように少なくとも1つのバッフル1210(仮想線で示す)をチャンバ1300内に配置し、上述したチューブ1302のいずれかの実施形態とタンデムに使用してもよい。
【0072】
[0086]運転中に、キャニスター1300の下部領域1234には、ソース固体1214が少なくとも部分的に満たされる。或いは又、ソース固体1214に液体1216を追加して、スラリー1212を生成してもよい。キャニスター1300は、希望の圧力に保持されると共に、キャニスター1300に接近配置された抵抗ヒーター1230により希望の温度に加熱される。アルゴンガスのような担体ガスが入口ポート1206及びチューブ1302を通して上部領域1218に希望の割合で流し込まれる。チューブ1302の第2端1326Aは、担体ガスの流れを延長平均流路において出口ポート1208から離れるように向け、キャニスター1300の上部領域1218における担体ガスの平均停止時間を好都合にも延長すると共に、ソース固体1214へ担体ガスが直接流れ込むのを防止して、粒子の発生を最小にする。キャニスター1300における停止時間の延長は、担体ガス内の昇華固体の蒸気の飽和レベルを好都合にも高める一方、粒子発生の減少は、製品の収率を改善し、ソース固体を保存し、且つ下流の汚染を減少する。
【0073】
[0087]図15を参照すれば、チャンバ200及びガスボックス1000の種々の要素の温度は、チャンバにおける望ましからぬ粒子の生成を減少するように制御することができる。例えば、温度を制御して、チャンバ200及びガスボックス1000の種々の要素におけるガスの分解、堆積又は凝縮を防止することができる。例えば、反応物源からガス分配システム230への反応物の流路は、この流路における反応物の凝縮(即ち蒸気から固体又は蒸気から液体)を防止するために比較的高い温度にするのが望ましいことがある。チャンバ本体202及びチャンバ蓋232は、これらチャンバ本体及びチャンバ蓋の表面に反応物が堆積するのを防止するために比較的低い温度にするのが望ましいことがある。
【0074】
[0088]一実施形態では、キャニスター1300は、約60℃から約70℃の温度に維持される。キャニスター1300からバルブ242Aへ及びキャニスター1300からフォアライン(foreline)へのガスライン(領域1330で示す)は、ヒーターテープ又は他の加熱装置等により、約80℃から約90℃の温度に維持される。バルブ242Aは、約80℃から約90℃の温度に維持される。バルブ242Aからチャンバ本体202へのガスライン255(領域1332で示す)は、ヒーターテープ又は他の加熱装置等により、約85℃から約95℃の温度に維持される。キャニスター1300からチャンバ本体202への反応物の流路には若干増加する温度勾配があって、反応物の凝縮がチャンバ本体202に向うのではなくキャにスターに向って流れるようにするのが好ましい。更に、パージガス源240は、約85℃から約95℃の温度に予熱されたパージガス、例えば、アルゴンガスを供給するのが好ましい。この予熱されたパージガスは、領域1332における容積増加により領域1332においてガスが膨張するために領域1332に粒子が生成されるおそれを低減する上で助けとなる。
【0075】
[0089]次いで、チャンバプレート部分270からキャップ272へのガスライン255(領域1334で示す)は、カートリッジヒーター又はヒーターテープ等により、約45℃から約55℃の温度に維持される。他の実施形態では、領域1334は、直接加熱されない(即ち、領域1334の温度を直接制御する加熱装置は存在しない)。
【0076】
[0090]一実施形態では、パージガス源及び窒素収容源からバルブ242Bへのガスラインは、加熱されない。バルブ242Bは、加熱されない。バルブ242Bからチャンバ本体202へのガスライン255、並びにチャンバプレート部分270からキャップ272へのガスライン255も、加熱されない。
【0077】
[0091]一実施形態では、チャンバ側壁204は、約20℃から約25℃の温度に維持される。チャンバプレート部分270は、約25℃から約35℃の温度に維持される。キャップ272は、約30℃から約40℃の温度に維持される。チャンバ側壁202は、それを貫通するチャンネル295(図1)を形成すると共に、これらのチャンネルを通して冷却流体や加熱流体のような温度制御流体を供給することにより、希望の温度に維持することができる。
【0078】
[0092]一実施形態では、チャンバプレート部分270及びキャップ272は、加熱又は冷却素子を含まない。チャンバプレート部分270及びキャップ272の冷却は、チャンバプレート部分270及びキャップ272からチャンバ側壁204への熱伝達により行われる。他の実施形態では、チャンバプレート部分270及びキャップ272は、冷却素子及び/又は加熱素子を含んでもよい。一実施形態において、チャンバ本体202を経て配管されたガスライン255は、チャンバ本体202に接触せず、及び/又はガスライン255とチャンバ本体202との間の熱伝達を最小にする絶縁材によりチャンバ本体202から分離される。
【0079】
[0093]ある実施形態では、バルブ242A、242Bは、チャンバ蓋232の温度制御を簡単にするために、チャンバ蓋232から離れて取り付けられ、例えば、図1に示すようにチャンバ本体202の下に取り付けられる。例えば、チャンバ蓋232に取り付けられるか又はその至近に取り付けられた加熱バルブがチャンバ蓋232に熱を伝達してもよい。チャンバ蓋232に伝達される熱は、その内面、例えば、拡大チャンネル234や底面260に望ましからぬガスの堆積を生じさせ又はそれを増加させることがある。蓋から離れて取り付けられたバルブ242A、242Bは、ガスコンジット250A、250Bへのガスの逆流がほとんど又は全くないので、反応ゾーン264の容積を著しく増加しない。例えば、連続的なパージ流がバルブ242A、242Bにより供給され、そのパージガス流に反応物がドーズされる状態では、実質的に一定の順方向ガス流がガスコンジット250A、250Bを経てチャンバ本体202へ供給される。
【0080】
[0094]プログラムされたパーソナルコンピュータ、ワークステーションコンピュータ等の制御ユニット280は、図1に示すように、処理状態を制御するためにチャンバ200に結合することができる。例えば、制御ユニット280は、基板処理シーケンスの異なる段階中に、ガス源238、239、240からバルブ242A、242Bを経て送られる種々のプロセスガス及びパージガスの流量を制御するように構成することができる。制御ユニット280は、中央処理ユニット(CPU)282、サポート回路284、及び関連制御ソフトウェア283を含むメモリ286を備えることができる。
【0081】
[0095]制御ユニット280は、種々のチャンバ及びサブプロセッサを制御するための工業用設定に使用できる任意の形態の汎用コンピュータプロセッサの1つでよい。CPU282は、適当なメモリ286、例えば、ランダムアクセスメモリ、リードオンリメモリ、フロッピーディスクドライブ、ハードディスク、又は他の形態のデジタル記憶装置をローカル又はリモートで使用してもよい。チャンバ200をサポートするために種々のサポート回路がCPU282に結合されてもよい。制御ユニット280は、個々のチャンバ要素の付近に配置された別のコントローラ、例えば、バルブ242A、242Bのプログラム可能なロジックコントローラ248A、248Bに結合されてもよい。制御ユニット280とチャンバ200の種々の他の要素との間の両方向通信は、信号バス288と集合的に称される多数の信号ケーブルを経て取り扱われ、その幾つかが図1に示されている。ガス源238、239、240からのプロセスガス及びパージガスをバルブ242A、242Bのプログラム可能なロジックコントローラ248A、248Bから制御するのに加えて、制御ユニット280は、ウェハ処理に使用される他のアクティビティ、例えば、他のアクティビティの中でもウェハ搬送、温度制御、チャンバ排気等を自動制御する役割を果たすように構成されてもよく、その幾つかが明細書のどこかで説明されている。
【0082】
[0096]上述した処理チャンバ200及びガス配送装置230は、タンタル、チタン、タングステン及び銅を含む(これらに限定されないが)元素の繰返し堆積を実施し、或いは窒化タンタル、窒化タンタルシリコン、窒化チタン、窒化チタンシリコン、窒化タングステン、窒化タングステンシリコン、及び銅アルミニウムを含む(これらに限定されないが)コンパウンド又は合金/組合せ膜の繰返し堆積を基板面上で実施するように効果的に使用することができる。又、上述した処理チャンバ200及びガス配送装置230は、基板面上に種々の材料の化学気相堆積を実施するように効果的に使用することもできる。
【0083】
[0097]ここで使用する「基板面」という語は、膜処理が実行される基板の表面を指す。例えば、基板面は、用途にもよるが、シリコン、酸化シリコン、ドープされたシリコン、ゲルマニウム、砒化ガリウム、ガラス、サファイア、及び他の材料、例えば、金属、金属窒化物、金属合金、及び他の導電性材料を含み得る。又、基板面は、二酸化シリコンや、炭素をドープした酸化シリコンのような誘電体材料も含み得る。
【0084】
[0098]ここで使用する「繰返し堆積」という語は、2つ以上の反応性コンパウンドを順次導入して単一材料層を基板面に堆積することを指す。2つ以上の反応性コンパウンドは、処理チャンバの反応ゾーンに交互に導入される。各反応性コンパウンドは、各コンパウンドが基板面に付着及び/又はそこで反応するのを許すために、ある時間遅延だけ分離される。1つの態様では、第1の先駆物質即ちコンパウンドAが反応ゾーンへパルス付勢され、その後、第1の時間遅延がとられる。次いで、第2の先駆物質即ちコンパウンドBが反応ゾーンへパルス付勢され、その後、第2の遅延がとられる。例えば、窒化チタンシリコンのような第3の材料が望まれるときには、第3のコンパウンド(C)が反応ゾーンへドーズされ/パルス付勢され、その後、第3の時間遅延がとられる。各時間遅延の間に、アルゴンのような不活性ガスが処理チャンバに導入されて、反応ゾーンをパージするか、さもなければ、残留する反応性コンパウンドを反応ゾーンから除去する。或いは又、パージガスが堆積プロセス全体にわたって連続的に流れて、反応性コンパウンドのパルスとパルスとの間の時間遅延中にはパージパルスだけが流れるようにしてもよい。反応性コンパウンドは、希望の膜又は膜厚が基板面に形成されるまで交互にパルス付勢される。
【0085】
[0099]ここで使用する「パルス」又は「ドーズ」という語は、処理チャンバの反応ゾーンに間欠的に即ち不連続に導入される特定コンパウンドの量を指す。各パルス内の特定コンパウンドの量は、パルスの幅に基づいて時間と共に変化し得る。各パルスの幅は、例えば、使用するプロセスチャンバの容積容量、それに結合された真空システム、及び特定コンパウンド自体の揮発性/反応性のような多数のファクタに基づいて可変である。
【0086】
[00100]各パルス/ドーズに対する幅は、可変であり、例えば、処理チャンバの容積容量、及びそれに結合された真空システムの能力を受け入れるように調整することができる。更に、コンパウンドのドーズ時間は、コンパウンドの流量、コンパウンドの圧力、コンパウンドの温度、ドーズバルブの形式、使用する制御システムの形式、並びに基板面に吸着するコンパウンドの性能に基づいて変化し得る。又、ドーズ時間は、形成されている層の形式及びデバイスの形状にも基づいて変化し得る。一般に、ドーズ時間は、基板の実質的に全面に吸着/化学吸着するに充分なコンパウンドの量を与えると共に、希望厚みの層をコンパウンドに形成するに充分な長さでなければならない。
【0087】
[00101]「コンパウンド」という語は、1つ以上の先駆物質、酸化体、還元剤、反応物、触媒又はその組合せを含むことが意図される。又、「コンパウンド」という語は、2つ以上のコンパウンドが同時に処理システムに導入されたときのようなコンパウンドのグループ編成も含むことが意図される。例えば、コンパウンドのグループ編成は、1つ以上の触媒及び1つ以上の先駆物質を含んでもよい。「コンパウンド」という語は、更に、1つ以上の先駆物質、酸化体、還元剤、反応物、触媒又はその組合せを、例えば、分解又はイオン化により活性化、さもなければ、付勢された状態で含むことが意図される。
【0088】
[00102]基板面に反応物の単層を物理吸着、吸着、吸収又は化学吸着させるのに使用される表面吸引は、基板面が反応物に使用できるサイトを一定数しかもたないので、所与のパルス中に基板面に1つの単層しか堆積できないという点で自己制限式であると考えられる。一定数のサイトが反応物により占有されると、反応物のそれ以上の堆積が阻止される。このサイクルは、窒化タンタル層の希望厚みまで繰り返すことができる。
【0089】
[00103]説明を明確に且つ容易にするために、上記方法は、繰返し堆積技術を使用して窒化タンタル(TaN)バリア層の堆積に関連するものとして更に説明する。タンタル含有コンパウンド、例えば、ペンタジメチルアミノ−タンタル(PDMAT;Ta(NMe2)5)のパルスをガス源238によりバルブ242Aを経て導入することができる。このタンタル含有コンパウンドは、ヘリウム(He)、アルゴン(Ar)、窒素(N2)、水素(H2)及びその組合せを含む(それらに限定されないが)担体ガスの助けで供給することができる。窒素含有コンパウンド、例えば、アンモニアのパルスは、ガス源239によりバルブ242Aを経て導入することができる。又、担体ガスを使用して、窒素含有コンパウンドの配送を助けることもできる。アルゴンのようなパージガスは、ガス源240によりバルブ242A及び/又はバルブ242Bを経て導入することができる。1つの態様において、パージガスの流れは、ガス源240によりバルブ242A、242Bを経て連続的に供給され、タンタル含有コンパウンドのパルスと窒素含有コンパウンドのパルスとの間にパージガスとして作用すると共に、タンタル含有コンパウンド及び窒素含有コンパウンドのパルス中に単体ガスとして作用することができる。1つの態様において、2つのガスコンジット250A、250Bを経てパージガスを配送すると、1つのガスコンジット250A、250Bを経て送られるパージガスよりも反応ゾーン264がより完全にパージされる。1つの態様では、反応ガスは、1つのガスコンジット250A、250Bを経て配送されてもよい。というのは、タンタル含有コンパウンド又は窒素含有コンパウンドのような反応ガスの流れの均一さは、基板構造体の表面における反応物の自己制限式吸着プロセスのためにパージガスの均一性ほど重要でないからである。他の実施形態では、パージガスは、パルスで供給されてもよい。他の実施形態では、パージガスは、2つより多い又は2つより少ないガス流で供給されてもよい。他の実施形態では、タンタル含有ガスは、1つより多い(即ち2つ以上の)ガス流で供給されてもよい。
【0090】
[00104]タンタル含有コンパウンドの他の例は、他の有機金属先駆物質又はその派生物、例えば、ペンタエチルメチルアミノ−タンタル(PEMAT;Ta[N(C2H5CH3)2]5)、ペンダジメチルアミノ−タンタル(PDEAT;Ta(NEt2)5)、並びにPEMAT、PDEAT又はPDMATの任意の及び全ての派生物を含むが、これらに限定されない。他のタンタル含有コンパウンドは、TBTDET(Ta(NEt2)3NC4H9又はC16H39N4Ta)及びハロゲン化タンタル、例えば、TaX5を含むが、これに限定されない。ここで、Xはフッ素(F)、臭素(Br)又は塩素(Cl)、及び/又はその派生物である。他の窒素含有コンパウンドを使用してもよく、これは、x及びyを整数とすれば、NxHy(例えば、ヒドラジン(N2H4))、ジメチルヒドラジン((CH3)2N2H2)、t−ブチルヒドラジン(c4h9n2h3)フェニルヒドラジン(C6H5N2H3)、他のヒドラジン派生物、窒素プラズマ源(例えば、N2、N2/H2、NH3、又はN2H4プラズマ)、2、2’−アゾイソブタン((CH3)6C2N2)、エチルアジド(C2H5N3)、及び他の適当なガスを含むが、それらに限定されない。パージガスの他の例は、ヘリウム(He)、窒素(N2)、水素(H2)、他のガス及びその組合せを含むが、それらに限定されない。
【0091】
[00105]窒化タンタル層の形成は、タンタル含有コンパウンドの単層を基板に吸着した後に、窒素含有コンパウンドの単層を吸着するところから始めて説明する。或いは又、窒化タンタル層の形成は、窒素含有コンパウンドの単層を基板に吸着した後に、タンタル含有コンパウンドの単層を吸着するところから始めてもよい。更に、他の実施形態では、反応ガスのパルスとパルスとの間にポンプ排気のみを使用して反応ガスの混合を防止してもよい。
【0092】
[00106]タンタル含有コンパウンドの各パルスの時間幅、窒素含有コンパウンドの各パルスの時間幅、及び反応物のパルスとパルスとの間のパージガスの時間幅は、可変であり、使用する堆積チャンバの容積容量及びそれに結合される真空システムに依存する。例えば、(1)ガスのチャンバ圧力が低いと、長いパルス時間を必要とし、(2)ガス流量が低いと、チャンバ圧力が上昇して安定するのに長時間を要して、長いパルス時間を必要とし、(3)容積の大きなチャンバは、それを満たすのに長時間を要し、チャンバ圧力が安定化するのに長時間を要し、従って、長いパルス時間を必要とする。同様に、各パルス間の時間も可変であり、処理チャンバの容積容量及びそれに結合される真空システムに依存する。一般に、タンタル含有コンパウンド又は窒素含有コンパウンドのパルスの時間幅は、コンパウンドの単層を吸着するに充分な長さでなければならない。1つの態様において、窒素含有コンパウンドのパルスがチャンバに入ったときにタンタル含有コンパウンドのパルスが依然チャンバ内にあってもよい。一般に、パージガス及び/又はポンプ排気の時間幅は、タンタル含有コンパウンド及び窒素含有コンパウンドのパルスが反応ゾーンにおいて一緒に混合されるのを防止するに充分な長さでなければならない。
【0093】
[00107]一般に、タンタル含有コンパウンドに対する約1.0秒以下のパルス時間と、窒素含有コンパウンドに対する約1.0秒以下のパルス時間は、基板構造体に交互の単層を吸着させるに通常充分である。タンタル含有コンパウンドのパルスと窒素含有コンパウンドのパルスとの間に約1.0秒以下の時間があることは、連続パージガスであるかパルスパージガスであるかに関わらず、パージガスが、タンタル含有コンパウンド及び窒素含有コンパウンドのパルスが反応ゾーンで一緒に混合するのを防止するのに通常充分である。もちろん、反応物の長いパルス時間を使用して、タンタル含有コンパウンド及び窒素含有コンパウンドの吸着を確保してもよいし、反応物のパルスとパルスとの間に長い時間を使用して、反応副産物の除去を確保してもよい。
【0094】
[00108]堆積中に、基板210は、選択されたタンタル含有コンパウンドの熱分解温度のほぼ下に維持されてもよい。ここに示すタンタル含有コンパウンドに使用されるべき例示的ヒーター温度範囲は、約100torr未満、好ましくは、50torr未満のチャンバ圧力においておおよそ約20℃から約500℃である。タンタル含有ガスがPDMATであるときには、ヒーター温度は、好ましくは、約100℃から約300℃であり、更に好ましくは、約175℃から250℃であり、更に、チャンバ圧力は、約1.0torrから約5.0torrである。他の実施形態では、他の温度及び圧力を使用してもよいことを理解されたい。例えば、熱分解温度より上の温度を使用してもよい。しかしながら、温度は、堆積活動の50%以上が吸着プロセスによるものであるよう選択されねばならない。別の実施例では、各先駆物質堆積中の分解量が制限されて、成長モードが原子層堆積の成長モードと同様になるように、熱分解温度より上の温度が使用されてもよい。
【0095】
[00109]繰返し堆積により窒化タンタル層を堆積する例示的プロセスは、ガス源238からペンタジメチルアミノ−タンタル(PDMAT)のパルスを、約100sccmから約1000sccm、好ましくは、約100sccmから約400sccmの流量で、バルブ242Aを経て、反応ゾーン264の小さな容積により、約0.5秒以下、約0.1秒以下、又は約0.05秒以下のパルス時間中、供給することを含む。アンモニアのパルスは、ガス源239から、約100sccmから約1000sccm、好ましくは、約200sccmから約600sccmの流量で、バルブ242Bを経て、反応ゾーン264の小さな容積により、約0.5秒以下、約0.1秒以下、又は約0.05秒以下のパルス時間中、供給されてもよい。約100sccmから約1000sccm、好ましくは、約100sccmから約400sccmの流量のアルゴンパージガスは、ガス源240からバルブ242A、242Bを経て連続的に供給されてもよい。タンタル含有コンパウンド及び窒素含有コンパウンドのパルス間の時間は、反応ゾーン264の小さな容積により、約0.5秒以下、約0.1秒以下、又は約0.07秒以下でよい。反応ゾーン264に反応ガス及び/又はパージガスを満たすには、約0.016秒以上のパルス時間が要求されると考えられる。約1.0から約5.0torrのチャンバ圧力において約100℃から約300℃のヒーター温度が維持されるのが好ましい。このプロセスは、サイクル当たり約0.5Åから約1.0Åの厚みの窒化タンタル層を形成する。希望の厚みに達するまで交互のシーケンスを繰り返すことができる。
【0096】
[00110]一実施形態において、窒化タンタル層のような層が約50Å以下の側壁カバレージへと堆積される。別の実施形態では、層が約20Å以下の側壁カバレージへと堆積される。更に別の実施形態では、層が約10Å以下の側壁カバレージへと堆積される。厚みが約10Å以下の窒化タンタル層は、その用途において銅の拡散を防止するためのバリア層として充分な厚みであると考えられる。1つの態様において、薄いバリア層は、高いアスペクト比(例えば、5対1より大きい)を有するサブミクロン(例えば、0.15μm未満)以下の特徴部を埋め込むのに効果的に使用できる。もちろん、側壁カバレージが50Åより大きい層を使用してもよい。
【0097】
[00111]繰返し堆積の実施形態は、基板に反応物の単層を吸着するものとして以上に説明した。本発明は、反応物が単層より多く又は少なく堆積される実施形態も包含する。又、本発明は、反応物が自己制限式に堆積されない実施形態も包含する。又、本発明は、堆積が主として化学気相堆積プロセスで行われ、反応物が順次に又は同時に配送される実施形態も包含する。
【0098】
[00112]繰返し堆積の実施形態は、2つの反応物のパルスを使用して窒化タンタルのバイナリコンパウンドを堆積するものとして上述した。他の元素又はコンパウンドの堆積では、2つ以上の反応物のパルスも使用してよい。
【0099】
[00113]本発明の好ましい実施形態を以上に述べたが、本発明の基本的な範囲から逸脱せずに他の及び更に別の実施形態も案出でき、本発明の範囲は、特許請求の範囲により限定される。
【図面の簡単な説明】
【0100】
【図1】原子層堆積のためのガス配送装置を含むチャンバの一実施形態を示す概略断面図である。
【図2A】チャンバ蓋の下面に配置されたチョークの一実施形態を示す概略断面図である。
【図2B】チャンバ蓋の下面に配置されたチョークの別の実施形態を示す概略断面図である。
【図3】原子層堆積のためのガス配送装置を含むチャンバの別の実施形態を示す概略断面図である。
【図4】バルブ入口及び出口を示すバルブの概略断面図である。
【図5】図4に例示したバルブの概略平面図である。
【図6】バルブの内部要素及び機構を示すバルブの一実施形態の概略断面図である。
【図7】開位置と開位置の間で移動されるダイアフラムのグラフである。
【図8】本発明のガス配送装置内に形成される拡大チャンネルの一実施形態を示す横断面図である。
【図9】単一ガス流を受け入れる拡大チャンネルの一実施形態を示す横断面図である。
【図10】3つのガス流を受け入れる拡大チャンネルの一実施形態を示す横断面図である。
【図11】本発明のガス配送装置内に形成された拡大チャンネルの断面図である。
【図12】基板の面とチャンバ蓋1の下面との間の2つの異なる位置でガスの流れを示した概略断面図である。
【図13】原子層堆積のためのガス配送装置を含むチャンバの別の実施形態の概略断面図である。
【図14】原子層堆積のためのガス配送装置を含むチャンバの別の実施形態を示す図である。
【図15】本発明のガス配送装置に有用なガスボックスの概略図である。
【図16】図15のガスボックス内で昇華によりガスを発生するためのキャニスターの一実施形態を示す概略断面図である。
【符号の説明】
【0101】
200・・・チャンバ、202・・・チャンバ本体、204・・・側壁、206・・・下部、208・・・スリットバルブ、210・・・基板、211・・・基板受け入れ面、212・・・基板支持体、214・・・リフトモーター、216・・・リフトプレート、220・・・ピン、222・・・パージリング、224・・・パージチャンネル、230・・・ガス配送システム、232・・・チャンバ蓋、234・・・拡大チャンネル、238、239・・・反応ガス源、240・・・パージガス源、243A、243B・・・配送ライン、248A、248B・・・プログラム可能なロジックコントローラ、250A、B・・・ガスコンジット、242A、242B、252A、252B・・・バルブ、244A、244B・・・弁座アッセンブリ、260・・・底面、262・・・チョーク、264・・・反応ゾーン、266・・・ポンピングゾーン、270・・プレート部分、272・・・キャップ部分、278・・・真空システム、279・・・ポンピングチャンネル、280・・・制御ユニット、299・・・ライナー。
Claims (20)
- 基板受け入れ面を有する基板支持体と、
チャンバ蓋であって、該チャンバ蓋の中央部分から下方に延びる徐々に拡がる通路と、該通路から上記チャンバ蓋の周囲部分へ延びる底面とを含み、該底面が上記基板受け入れ面を実質的に覆う形状及びサイズにされているチャンバ蓋と、
上記徐々に拡がる通路と流体連通した1つ以上のバルブと、
上記各バルブと流体連通した1つ以上のガス源と、
を備えたチャンバ。 - 上記1つ以上のバルブと上記通路とを流体接続し、上記通路の長手軸に直角に配置されている1つ以上のガスコンジットを更に備えた、請求項1に記載のチャンバ。
- 上記1つ以上のガスコンジットは、上記通路の上記長手軸に対してある角度に配置される、請求項2に記載のチャンバ。
- 上記チャンバ蓋の上記底面は、そのカバー部材の中央部分から延びるテーパー付けされた面で構成され、該テーパー付けされた面は、まっすぐな面、凹状面、凸状面又はその組合せより成るグループから選択された面で構成される、請求項1に記載のチャンバ。
- 上記通路は、裁頭円錐の形状とされる、請求項1に記載のチャンバ。
- 上記通路は、上部及び下部を備え、該上部は該下部より内径が小さい、請求項1に記載のチャンバ。
- 共通のパージガス源が各バルブに結合されると共に、個別の反応ガス源が各バルブに結合される、請求項1に記載のチャンバ。
- 上記底面の周囲付近で上記チャンバ蓋に配置されたチョークを更に備えた、請求項1に記載のチャンバ。
- 上記反応ゾーンの断面積は、上記通路から上記反応ゾーンの周囲まで実質的に均一である、請求項1に記載の方法。
- 基板受け入れ面を有する基板支持体と、
チャンバ蓋であって、該チャンバ蓋の中央部分から延びる拡大チャンネルと、該拡大チャンネルから上記チャンバ蓋の周囲部分へ延びるテーパー付けされた下面とを含むチャンバ蓋と、
上記拡大チャンネルの上部の周りに配置された1つ以上のガスコンジットであって、上記拡大チャンネルの中心から一定角度で配置された1つ以上のガスコンジットと、
上記徐々に拡がるチャンネルに結合された1つ以上のバルブと、
上記テーパー付けされた底面の周囲付近で上記チャンバ蓋に配置されたチョークと、
を備えたチャンバ。 - 上記1つ以上のガスコンジットは、上記拡大チャンネルの長手軸に直角に配置される、請求項10に記載のチャンバ。
- 上記1つ以上のガスコンジットは、上記拡大チャンネルの長手軸に対して一定角度で配置される、請求項10に記載のチャンバ。
- 上記反応ゾーンの断面積は、上記通路から上記反応ゾーンの周囲まで実質的に均一である、請求項10に記載の方法。
- 上記1つ以上のバルブは、上記チャンバ蓋に取り付けられる、請求項9に記載のチャンバ。
- 上記1つ以上のバルブは、チャンバ本体の下に取り付けられる、請求項9に記載のチャンバ。
- タンタル含有コンパウンドを保持するためのキャニスターを更に備えるように適合され、該キャニスターは、約60℃から約70℃の温度にある、請求項9に記載のチャンバ。
- 基板処理チャンバ内の基板へガスを配送する方法であって、
1つ以上のガスを上記基板処理チャンバ内へ上記基板の中央部分の上に初期円形方向に供給するステップと、
非断熱膨張により上記ガスの速度を低下させるステップと、
上記基板の中央部分に上記ガスを供給するステップと、
上記基板の中央部分から上記基板の周囲部分へ上記基板を半径方向に横切って実質的に均一な速度でガスを指向するステップと、
を備えた方法。 - 実質的に均一な速度は、最大速度と最小速度の比が約2.0以下である、請求項17に記載の方法。
- 上記ガスを上記基板の中央部分に向けて下向きの流路に第2の速度で供給するステップであって、該第2の速度が上記第1速度より低いようなステップを更に備えた、請求項17に記載の方法。
- 上記ガスを上記基板のエッジから半径方向に第3の速度で供給するステップであって、該第3の速度が上記実質的に均一な速度より高いようなステップを更に備えた、請求項17に記載の方法。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US34608601P | 2001-10-26 | 2001-10-26 | |
US10/032,284 US6916398B2 (en) | 2001-10-26 | 2001-12-21 | Gas delivery apparatus and method for atomic layer deposition |
US39723002P | 2002-07-19 | 2002-07-19 | |
PCT/US2002/034553 WO2003035927A2 (en) | 2001-10-26 | 2002-10-25 | Gas delivery apparatus for atomic layer deposition |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010205796A Division JP5371917B2 (ja) | 2001-10-26 | 2010-09-14 | 原子層堆積のためのガス配送装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2005507030A true JP2005507030A (ja) | 2005-03-10 |
JP4615859B2 JP4615859B2 (ja) | 2011-01-19 |
Family
ID=27364083
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2003538423A Expired - Lifetime JP4615859B2 (ja) | 2001-10-26 | 2002-10-25 | 原子層堆積のためのガス配送装置 |
JP2010205796A Expired - Lifetime JP5371917B2 (ja) | 2001-10-26 | 2010-09-14 | 原子層堆積のためのガス配送装置 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2010205796A Expired - Lifetime JP5371917B2 (ja) | 2001-10-26 | 2010-09-14 | 原子層堆積のためのガス配送装置 |
Country Status (5)
Country | Link |
---|---|
EP (1) | EP1444380B1 (ja) |
JP (2) | JP4615859B2 (ja) |
DE (2) | DE20221269U1 (ja) |
TW (1) | TW563176B (ja) |
WO (1) | WO2003035927A2 (ja) |
Cited By (356)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007537360A (ja) * | 2004-05-12 | 2007-12-20 | アプライド マテリアルズ インコーポレイテッド | ハフニウム含有高誘電率誘電材料の原子層堆積装置および方法 |
JP2009224775A (ja) * | 2008-02-20 | 2009-10-01 | Tokyo Electron Ltd | ガス供給装置、成膜装置及び成膜方法 |
JP2011500961A (ja) * | 2007-10-11 | 2011-01-06 | バレンス プロセス イクウィップメント,インコーポレイテッド | 化学気相成長反応器 |
JP2011176369A (ja) * | 2003-05-27 | 2011-09-08 | Applied Materials Inc | 半導体処理システムのための前駆物質を生成する方法及び装置 |
JP2012522901A (ja) * | 2009-04-06 | 2012-09-27 | エイエスエム・アメリカ・インコーポレイテッド | 半導体プロセス反応器及びその構成要素 |
JP2013522463A (ja) * | 2010-03-12 | 2013-06-13 | アプライド マテリアルズ インコーポレイテッド | 複式噴射を伴う原子層堆積チャンバ |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8883270B2 (en) | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US8986456B2 (en) | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9029253B2 (en) | 2012-05-02 | 2015-05-12 | Asm Ip Holding B.V. | Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9177784B2 (en) | 2012-05-07 | 2015-11-03 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9891521B2 (en) | 2014-11-19 | 2018-02-13 | Asm Ip Holding B.V. | Method for depositing thin film |
US9899405B2 (en) | 2014-12-22 | 2018-02-20 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
JP2018048394A (ja) * | 2008-09-08 | 2018-03-29 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | インサイチュチャンバ処理および堆積プロセス |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
US10249577B2 (en) | 2016-05-17 | 2019-04-02 | Asm Ip Holding B.V. | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10262859B2 (en) | 2016-03-24 | 2019-04-16 | Asm Ip Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10287684B2 (en) | 2014-07-08 | 2019-05-14 | Kokusai Electric Corporation | Substrate processing apparatus |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10468262B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
US11976359B2 (en) | 2020-01-06 | 2024-05-07 | Asm Ip Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
US11986868B2 (en) | 2020-02-28 | 2024-05-21 | Asm Ip Holding B.V. | System dedicated for parts cleaning |
US11987881B2 (en) | 2020-05-22 | 2024-05-21 | Asm Ip Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11993843B2 (en) | 2017-08-31 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11996309B2 (en) | 2019-05-16 | 2024-05-28 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11996292B2 (en) | 2019-10-25 | 2024-05-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
US12006572B2 (en) | 2019-10-08 | 2024-06-11 | Asm Ip Holding B.V. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
US12020934B2 (en) | 2020-07-08 | 2024-06-25 | Asm Ip Holding B.V. | Substrate processing method |
US12027365B2 (en) | 2021-11-19 | 2024-07-02 | Asm Ip Holding B.V. | Methods for filling a gap and related systems and devices |
Families Citing this family (17)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6861094B2 (en) | 2002-04-25 | 2005-03-01 | Micron Technology, Inc. | Methods for forming thin layers of materials on micro-device workpieces |
US6838114B2 (en) | 2002-05-24 | 2005-01-04 | Micron Technology, Inc. | Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces |
US7118783B2 (en) | 2002-06-26 | 2006-10-10 | Micron Technology, Inc. | Methods and apparatus for vapor processing of micro-device workpieces |
US6821347B2 (en) | 2002-07-08 | 2004-11-23 | Micron Technology, Inc. | Apparatus and method for depositing materials onto microelectronic workpieces |
CN1795290B (zh) * | 2003-05-27 | 2010-06-16 | 应用材料股份有限公司 | 一种用来产生一可用于半导体处理系统的前体的方法和设备 |
US7258892B2 (en) | 2003-12-10 | 2007-08-21 | Micron Technology, Inc. | Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition |
US7906393B2 (en) | 2004-01-28 | 2011-03-15 | Micron Technology, Inc. | Methods for forming small-scale capacitor structures |
US8133554B2 (en) | 2004-05-06 | 2012-03-13 | Micron Technology, Inc. | Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces |
JP2006022354A (ja) * | 2004-07-06 | 2006-01-26 | Tokyo Electron Ltd | 成膜方法 |
TWI261313B (en) | 2005-07-29 | 2006-09-01 | Ind Tech Res Inst | A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof |
JP5410348B2 (ja) | 2010-03-26 | 2014-02-05 | 株式会社豊田中央研究所 | 表面処理装置 |
JP5761067B2 (ja) * | 2012-02-13 | 2015-08-12 | 東京エレクトロン株式会社 | ガス供給装置及び熱処理装置 |
JP5961297B1 (ja) * | 2015-03-26 | 2016-08-02 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
RU2673515C2 (ru) * | 2017-02-02 | 2018-11-27 | Общество С Ограниченной Ответственностью "Монолюм" | Способ подачи газов в реактор для выращивания эпитаксиальных структур на основе нитридов металлов iii группы и устройство для его осуществления |
CN108060410B (zh) * | 2017-12-15 | 2023-08-18 | 浙江晶盛机电股份有限公司 | 用于平板式pecvd的进气管道保护结构 |
US10964533B2 (en) | 2018-12-21 | 2021-03-30 | Applied Materials, Inc. | ALD process and hardware with improved purge efficiency |
WO2022060561A1 (en) * | 2020-09-15 | 2022-03-24 | Lam Research Corporation | Heat-transferring valve flexure and methods |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0487323A (ja) * | 1990-07-31 | 1992-03-19 | Mitsubishi Electric Corp | Cvd装置 |
JP2001020075A (ja) * | 1999-05-10 | 2001-01-23 | Asm Microchemistry Oy | 薄膜製造装置 |
Family Cites Families (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5951771A (en) * | 1996-09-30 | 1999-09-14 | Celestech, Inc. | Plasma jet system |
US6026762A (en) * | 1997-04-23 | 2000-02-22 | Applied Materials, Inc. | Apparatus for improved remote microwave plasma source for use with substrate processing systems |
US20020127336A1 (en) * | 2001-01-16 | 2002-09-12 | Applied Materials, Inc. | Method for growing thin films by catalytic enhancement |
-
2002
- 2002-10-25 WO PCT/US2002/034553 patent/WO2003035927A2/en active IP Right Grant
- 2002-10-25 TW TW91125351A patent/TW563176B/zh not_active IP Right Cessation
- 2002-10-25 DE DE20221269U patent/DE20221269U1/de not_active Expired - Lifetime
- 2002-10-25 JP JP2003538423A patent/JP4615859B2/ja not_active Expired - Lifetime
- 2002-10-25 DE DE60203413T patent/DE60203413T2/de not_active Expired - Lifetime
- 2002-10-25 EP EP02792211A patent/EP1444380B1/en not_active Expired - Lifetime
-
2010
- 2010-09-14 JP JP2010205796A patent/JP5371917B2/ja not_active Expired - Lifetime
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH0487323A (ja) * | 1990-07-31 | 1992-03-19 | Mitsubishi Electric Corp | Cvd装置 |
JP2001020075A (ja) * | 1999-05-10 | 2001-01-23 | Asm Microchemistry Oy | 薄膜製造装置 |
Cited By (469)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2011176369A (ja) * | 2003-05-27 | 2011-09-08 | Applied Materials Inc | 半導体処理システムのための前駆物質を生成する方法及び装置 |
JP2007537360A (ja) * | 2004-05-12 | 2007-12-20 | アプライド マテリアルズ インコーポレイテッド | ハフニウム含有高誘電率誘電材料の原子層堆積装置および方法 |
US8343279B2 (en) | 2004-05-12 | 2013-01-01 | Applied Materials, Inc. | Apparatuses for atomic layer deposition |
US8986456B2 (en) | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
JP2011500961A (ja) * | 2007-10-11 | 2011-01-06 | バレンス プロセス イクウィップメント,インコーポレイテッド | 化学気相成長反応器 |
TWI498988B (zh) * | 2008-02-20 | 2015-09-01 | Tokyo Electron Ltd | A gas supply device, a film forming apparatus, and a film forming method |
US8945306B2 (en) | 2008-02-20 | 2015-02-03 | Tokyo Electron Limited | Gas supply device |
JP2009224775A (ja) * | 2008-02-20 | 2009-10-01 | Tokyo Electron Ltd | ガス供給装置、成膜装置及び成膜方法 |
JP2018048394A (ja) * | 2008-09-08 | 2018-03-29 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | インサイチュチャンバ処理および堆積プロセス |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US10480072B2 (en) | 2009-04-06 | 2019-11-19 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
US10844486B2 (en) | 2009-04-06 | 2020-11-24 | Asm Ip Holding B.V. | Semiconductor processing reactor and components thereof |
JP2012522901A (ja) * | 2009-04-06 | 2012-09-27 | エイエスエム・アメリカ・インコーポレイテッド | 半導体プロセス反応器及びその構成要素 |
US10804098B2 (en) | 2009-08-14 | 2020-10-13 | Asm Ip Holding B.V. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8883270B2 (en) | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
JP2013522463A (ja) * | 2010-03-12 | 2013-06-13 | アプライド マテリアルズ インコーポレイテッド | 複式噴射を伴う原子層堆積チャンバ |
US8877655B2 (en) | 2010-05-07 | 2014-11-04 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US10707106B2 (en) | 2011-06-06 | 2020-07-07 | Asm Ip Holding B.V. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US11725277B2 (en) | 2011-07-20 | 2023-08-15 | Asm Ip Holding B.V. | Pressure transmitter for a semiconductor processing environment |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9892908B2 (en) | 2011-10-28 | 2018-02-13 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US10832903B2 (en) | 2011-10-28 | 2020-11-10 | Asm Ip Holding B.V. | Process feed management for semiconductor substrate processing |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9340874B2 (en) | 2011-11-23 | 2016-05-17 | Asm Ip Holding B.V. | Chamber sealing member |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9384987B2 (en) | 2012-04-04 | 2016-07-05 | Asm Ip Holding B.V. | Metal oxide protective layer for a semiconductor device |
US9029253B2 (en) | 2012-05-02 | 2015-05-12 | Asm Ip Holding B.V. | Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same |
US9177784B2 (en) | 2012-05-07 | 2015-11-03 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US9299595B2 (en) | 2012-06-27 | 2016-03-29 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US10566223B2 (en) | 2012-08-28 | 2020-02-18 | Asm Ip Holdings B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US10023960B2 (en) | 2012-09-12 | 2018-07-17 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9605342B2 (en) | 2012-09-12 | 2017-03-28 | Asm Ip Holding B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US11501956B2 (en) | 2012-10-12 | 2022-11-15 | Asm Ip Holding B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US11967488B2 (en) | 2013-02-01 | 2024-04-23 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US9228259B2 (en) | 2013-02-01 | 2016-01-05 | Asm Ip Holding B.V. | Method for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US10340125B2 (en) | 2013-03-08 | 2019-07-02 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US10366864B2 (en) | 2013-03-08 | 2019-07-30 | Asm Ip Holding B.V. | Method and system for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9790595B2 (en) | 2013-07-12 | 2017-10-17 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9412564B2 (en) | 2013-07-22 | 2016-08-09 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US10361201B2 (en) | 2013-09-27 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor structure and device formed using selective epitaxial process |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10604847B2 (en) | 2014-03-18 | 2020-03-31 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10287684B2 (en) | 2014-07-08 | 2019-05-14 | Kokusai Electric Corporation | Substrate processing apparatus |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US10787741B2 (en) | 2014-08-21 | 2020-09-29 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US11795545B2 (en) | 2014-10-07 | 2023-10-24 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US10561975B2 (en) | 2014-10-07 | 2020-02-18 | Asm Ip Holdings B.V. | Variable conductance gas distribution apparatus and method |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US9891521B2 (en) | 2014-11-19 | 2018-02-13 | Asm Ip Holding B.V. | Method for depositing thin film |
US10438965B2 (en) | 2014-12-22 | 2019-10-08 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US9899405B2 (en) | 2014-12-22 | 2018-02-20 | Asm Ip Holding B.V. | Semiconductor device and manufacturing method thereof |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11742189B2 (en) | 2015-03-12 | 2023-08-29 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11242598B2 (en) | 2015-06-26 | 2022-02-08 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US10312129B2 (en) | 2015-09-29 | 2019-06-04 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11233133B2 (en) | 2015-10-21 | 2022-01-25 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US11956977B2 (en) | 2015-12-29 | 2024-04-09 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US11676812B2 (en) | 2016-02-19 | 2023-06-13 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top/bottom portions |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10720322B2 (en) | 2016-02-19 | 2020-07-21 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on top surface |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US10262859B2 (en) | 2016-03-24 | 2019-04-16 | Asm Ip Holding B.V. | Process for forming a film on a substrate using multi-port injection assemblies |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10851456B2 (en) | 2016-04-21 | 2020-12-01 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11101370B2 (en) | 2016-05-02 | 2021-08-24 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10665452B2 (en) | 2016-05-02 | 2020-05-26 | Asm Ip Holdings B.V. | Source/drain performance through conformal solid state doping |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10249577B2 (en) | 2016-05-17 | 2019-04-02 | Asm Ip Holding B.V. | Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US11749562B2 (en) | 2016-07-08 | 2023-09-05 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11649546B2 (en) | 2016-07-08 | 2023-05-16 | Asm Ip Holding B.V. | Organic reactants for atomic layer deposition |
US10541173B2 (en) | 2016-07-08 | 2020-01-21 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US11094582B2 (en) | 2016-07-08 | 2021-08-17 | Asm Ip Holding B.V. | Selective deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11694892B2 (en) | 2016-07-28 | 2023-07-04 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11205585B2 (en) | 2016-07-28 | 2021-12-21 | Asm Ip Holding B.V. | Substrate processing apparatus and method of operating the same |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11107676B2 (en) | 2016-07-28 | 2021-08-31 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US11610775B2 (en) | 2016-07-28 | 2023-03-21 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10741385B2 (en) | 2016-07-28 | 2020-08-11 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10943771B2 (en) | 2016-10-26 | 2021-03-09 | Asm Ip Holding B.V. | Methods for thermally calibrating reaction chambers |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10720331B2 (en) | 2016-11-01 | 2020-07-21 | ASM IP Holdings, B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US11810788B2 (en) | 2016-11-01 | 2023-11-07 | Asm Ip Holding B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10622375B2 (en) | 2016-11-07 | 2020-04-14 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10644025B2 (en) | 2016-11-07 | 2020-05-05 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US11396702B2 (en) | 2016-11-15 | 2022-07-26 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10934619B2 (en) | 2016-11-15 | 2021-03-02 | Asm Ip Holding B.V. | Gas supply unit and substrate processing apparatus including the gas supply unit |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US11222772B2 (en) | 2016-12-14 | 2022-01-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11970766B2 (en) | 2016-12-15 | 2024-04-30 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11851755B2 (en) | 2016-12-15 | 2023-12-26 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US12000042B2 (en) | 2016-12-15 | 2024-06-04 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11001925B2 (en) | 2016-12-19 | 2021-05-11 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11251035B2 (en) | 2016-12-22 | 2022-02-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10784102B2 (en) | 2016-12-22 | 2020-09-22 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10468262B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures |
US11410851B2 (en) | 2017-02-15 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US11658030B2 (en) | 2017-03-29 | 2023-05-23 | Asm Ip Holding B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10714335B2 (en) | 2017-04-25 | 2020-07-14 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10950432B2 (en) | 2017-04-25 | 2021-03-16 | Asm Ip Holding B.V. | Method of depositing thin film and method of manufacturing semiconductor device |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US11848200B2 (en) | 2017-05-08 | 2023-12-19 | Asm Ip Holding B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11976361B2 (en) | 2017-06-28 | 2024-05-07 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US11695054B2 (en) | 2017-07-18 | 2023-07-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11164955B2 (en) | 2017-07-18 | 2021-11-02 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10734497B2 (en) | 2017-07-18 | 2020-08-04 | Asm Ip Holding B.V. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11004977B2 (en) | 2017-07-19 | 2021-05-11 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11802338B2 (en) | 2017-07-26 | 2023-10-31 | Asm Ip Holding B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11417545B2 (en) | 2017-08-08 | 2022-08-16 | Asm Ip Holding B.V. | Radiation shield |
US11587821B2 (en) | 2017-08-08 | 2023-02-21 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10672636B2 (en) | 2017-08-09 | 2020-06-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11069510B2 (en) | 2017-08-30 | 2021-07-20 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11581220B2 (en) | 2017-08-30 | 2023-02-14 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11993843B2 (en) | 2017-08-31 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
US10928731B2 (en) | 2017-09-21 | 2021-02-23 | Asm Ip Holding B.V. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US11387120B2 (en) | 2017-09-28 | 2022-07-12 | Asm Ip Holding B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US11094546B2 (en) | 2017-10-05 | 2021-08-17 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10734223B2 (en) | 2017-10-10 | 2020-08-04 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US10734244B2 (en) | 2017-11-16 | 2020-08-04 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by the same |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11127617B2 (en) | 2017-11-27 | 2021-09-21 | Asm Ip Holding B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11682572B2 (en) | 2017-11-27 | 2023-06-20 | Asm Ip Holdings B.V. | Storage device for storing wafer cassettes for use with a batch furnace |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11501973B2 (en) | 2018-01-16 | 2022-11-15 | Asm Ip Holding B.V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
US11972944B2 (en) | 2018-01-19 | 2024-04-30 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
US11393690B2 (en) | 2018-01-19 | 2022-07-19 | Asm Ip Holding B.V. | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD913980S1 (en) | 2018-02-01 | 2021-03-23 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11735414B2 (en) | 2018-02-06 | 2023-08-22 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US11685991B2 (en) | 2018-02-14 | 2023-06-27 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US11387106B2 (en) | 2018-02-14 | 2022-07-12 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US11482418B2 (en) | 2018-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Substrate processing method and apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11939673B2 (en) | 2018-02-23 | 2024-03-26 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US12020938B2 (en) | 2018-03-27 | 2024-06-25 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11398382B2 (en) | 2018-03-27 | 2022-07-26 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10847371B2 (en) | 2018-03-27 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10867786B2 (en) | 2018-03-30 | 2020-12-15 | Asm Ip Holding B.V. | Substrate processing method |
US11469098B2 (en) | 2018-05-08 | 2022-10-11 | Asm Ip Holding B.V. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US11056567B2 (en) | 2018-05-11 | 2021-07-06 | Asm Ip Holding B.V. | Method of forming a doped metal carbide film on a substrate and related semiconductor device structures |
US11361990B2 (en) | 2018-05-28 | 2022-06-14 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11908733B2 (en) | 2018-05-28 | 2024-02-20 | Asm Ip Holding B.V. | Substrate processing method and device manufactured by using the same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11837483B2 (en) | 2018-06-04 | 2023-12-05 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11530483B2 (en) | 2018-06-21 | 2022-12-20 | Asm Ip Holding B.V. | Substrate processing system |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11296189B2 (en) | 2018-06-21 | 2022-04-05 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11499222B2 (en) | 2018-06-27 | 2022-11-15 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11952658B2 (en) | 2018-06-27 | 2024-04-09 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11814715B2 (en) | 2018-06-27 | 2023-11-14 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US11168395B2 (en) | 2018-06-29 | 2021-11-09 | Asm Ip Holding B.V. | Temperature-controlled flange and reactor system including same |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10914004B2 (en) | 2018-06-29 | 2021-02-09 | Asm Ip Holding B.V. | Thin-film deposition method and manufacturing method of semiconductor device |
US11646197B2 (en) | 2018-07-03 | 2023-05-09 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755923B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US11923190B2 (en) | 2018-07-03 | 2024-03-05 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11804388B2 (en) | 2018-09-11 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11274369B2 (en) | 2018-09-11 | 2022-03-15 | Asm Ip Holding B.V. | Thin film deposition method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11885023B2 (en) | 2018-10-01 | 2024-01-30 | Asm Ip Holding B.V. | Substrate retaining apparatus, system including the apparatus, and method of using same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11414760B2 (en) | 2018-10-08 | 2022-08-16 | Asm Ip Holding B.V. | Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
US11251068B2 (en) | 2018-10-19 | 2022-02-15 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
US11664199B2 (en) | 2018-10-19 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11735445B2 (en) | 2018-10-31 | 2023-08-22 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11499226B2 (en) | 2018-11-02 | 2022-11-15 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11866823B2 (en) | 2018-11-02 | 2024-01-09 | Asm Ip Holding B.V. | Substrate supporting unit and a substrate processing device including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US11798999B2 (en) | 2018-11-16 | 2023-10-24 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11411088B2 (en) | 2018-11-16 | 2022-08-09 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US11244825B2 (en) | 2018-11-16 | 2022-02-08 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
US11488819B2 (en) | 2018-12-04 | 2022-11-01 | Asm Ip Holding B.V. | Method of cleaning substrate processing apparatus |
US11769670B2 (en) | 2018-12-13 | 2023-09-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
US11658029B2 (en) | 2018-12-14 | 2023-05-23 | Asm Ip Holding B.V. | Method of forming a device structure using selective deposition of gallium nitride and system for same |
US11390946B2 (en) | 2019-01-17 | 2022-07-19 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11959171B2 (en) | 2019-01-17 | 2024-04-16 | Asm Ip Holding B.V. | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
US11171025B2 (en) | 2019-01-22 | 2021-11-09 | Asm Ip Holding B.V. | Substrate processing device |
US11127589B2 (en) | 2019-02-01 | 2021-09-21 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11227789B2 (en) | 2019-02-20 | 2022-01-18 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11342216B2 (en) | 2019-02-20 | 2022-05-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11798834B2 (en) | 2019-02-20 | 2023-10-24 | Asm Ip Holding B.V. | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
US11251040B2 (en) | 2019-02-20 | 2022-02-15 | Asm Ip Holding B.V. | Cyclical deposition method including treatment step and apparatus for same |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
US11615980B2 (en) | 2019-02-20 | 2023-03-28 | Asm Ip Holding B.V. | Method and apparatus for filling a recess formed within a substrate surface |
US11629407B2 (en) | 2019-02-22 | 2023-04-18 | Asm Ip Holding B.V. | Substrate processing apparatus and method for processing substrates |
US11114294B2 (en) | 2019-03-08 | 2021-09-07 | Asm Ip Holding B.V. | Structure including SiOC layer and method of forming same |
US11901175B2 (en) | 2019-03-08 | 2024-02-13 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
US11424119B2 (en) | 2019-03-08 | 2022-08-23 | Asm Ip Holding B.V. | Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer |
US11378337B2 (en) | 2019-03-28 | 2022-07-05 | Asm Ip Holding B.V. | Door opener and substrate processing apparatus provided therewith |
US11551925B2 (en) | 2019-04-01 | 2023-01-10 | Asm Ip Holding B.V. | Method for manufacturing a semiconductor device |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11814747B2 (en) | 2019-04-24 | 2023-11-14 | Asm Ip Holding B.V. | Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly |
US12025484B2 (en) | 2019-04-29 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US11781221B2 (en) | 2019-05-07 | 2023-10-10 | Asm Ip Holding B.V. | Chemical source vessel with dip tube |
US11289326B2 (en) | 2019-05-07 | 2022-03-29 | Asm Ip Holding B.V. | Method for reforming amorphous carbon polymer film |
US11355338B2 (en) | 2019-05-10 | 2022-06-07 | Asm Ip Holding B.V. | Method of depositing material onto a surface and structure formed according to the method |
US11515188B2 (en) | 2019-05-16 | 2022-11-29 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
US11996309B2 (en) | 2019-05-16 | 2024-05-28 | Asm Ip Holding B.V. | Wafer boat handling device, vertical batch furnace and method |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
US11345999B2 (en) | 2019-06-06 | 2022-05-31 | Asm Ip Holding B.V. | Method of using a gas-phase reactor system including analyzing exhausted gas |
US11476109B2 (en) | 2019-06-11 | 2022-10-18 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
US11908684B2 (en) | 2019-06-11 | 2024-02-20 | Asm Ip Holding B.V. | Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
US11390945B2 (en) | 2019-07-03 | 2022-07-19 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11746414B2 (en) | 2019-07-03 | 2023-09-05 | Asm Ip Holding B.V. | Temperature control assembly for substrate processing apparatus and method of using same |
US11605528B2 (en) | 2019-07-09 | 2023-03-14 | Asm Ip Holding B.V. | Plasma device using coaxial waveguide, and substrate treatment method |
US11664267B2 (en) | 2019-07-10 | 2023-05-30 | Asm Ip Holding B.V. | Substrate support assembly and substrate processing device including the same |
US11664245B2 (en) | 2019-07-16 | 2023-05-30 | Asm Ip Holding B.V. | Substrate processing device |
US11996304B2 (en) | 2019-07-16 | 2024-05-28 | Asm Ip Holding B.V. | Substrate processing device |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11615970B2 (en) | 2019-07-17 | 2023-03-28 | Asm Ip Holding B.V. | Radical assist ignition plasma system and method |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
US11282698B2 (en) | 2019-07-19 | 2022-03-22 | Asm Ip Holding B.V. | Method of forming topology-controlled amorphous carbon polymer film |
US11557474B2 (en) | 2019-07-29 | 2023-01-17 | Asm Ip Holding B.V. | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
US11430640B2 (en) | 2019-07-30 | 2022-08-30 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11443926B2 (en) | 2019-07-30 | 2022-09-13 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11876008B2 (en) | 2019-07-31 | 2024-01-16 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11680839B2 (en) | 2019-08-05 | 2023-06-20 | Asm Ip Holding B.V. | Liquid level sensor for a chemical source vessel |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
US11639548B2 (en) | 2019-08-21 | 2023-05-02 | Asm Ip Holding B.V. | Film-forming material mixed-gas forming device and film forming device |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11594450B2 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Method for forming a structure with a hole |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11898242B2 (en) | 2019-08-23 | 2024-02-13 | Asm Ip Holding B.V. | Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film |
US11827978B2 (en) | 2019-08-23 | 2023-11-28 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11527400B2 (en) | 2019-08-23 | 2022-12-13 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
US11495459B2 (en) | 2019-09-04 | 2022-11-08 | Asm Ip Holding B.V. | Methods for selective deposition using a sacrificial capping layer |
US11823876B2 (en) | 2019-09-05 | 2023-11-21 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
US11610774B2 (en) | 2019-10-02 | 2023-03-21 | Asm Ip Holding B.V. | Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process |
US11339476B2 (en) | 2019-10-08 | 2022-05-24 | Asm Ip Holding B.V. | Substrate processing device having connection plates, substrate processing method |
US12006572B2 (en) | 2019-10-08 | 2024-06-11 | Asm Ip Holding B.V. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
US11735422B2 (en) | 2019-10-10 | 2023-08-22 | Asm Ip Holding B.V. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
US11637011B2 (en) | 2019-10-16 | 2023-04-25 | Asm Ip Holding B.V. | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
US11315794B2 (en) | 2019-10-21 | 2022-04-26 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching films |
US11996292B2 (en) | 2019-10-25 | 2024-05-28 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11594600B2 (en) | 2019-11-05 | 2023-02-28 | Asm Ip Holding B.V. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11915929B2 (en) | 2019-11-26 | 2024-02-27 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
US11401605B2 (en) | 2019-11-26 | 2022-08-02 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11923181B2 (en) | 2019-11-29 | 2024-03-05 | Asm Ip Holding B.V. | Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing |
US11646184B2 (en) | 2019-11-29 | 2023-05-09 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11929251B2 (en) | 2019-12-02 | 2024-03-12 | Asm Ip Holding B.V. | Substrate processing apparatus having electrostatic chuck and substrate processing method |
US11840761B2 (en) | 2019-12-04 | 2023-12-12 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11976359B2 (en) | 2020-01-06 | 2024-05-07 | Asm Ip Holding B.V. | Gas supply assembly, components thereof, and reactor system including same |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
US11551912B2 (en) | 2020-01-20 | 2023-01-10 | Asm Ip Holding B.V. | Method of forming thin film and method of modifying surface of thin film |
US11521851B2 (en) | 2020-02-03 | 2022-12-06 | Asm Ip Holding B.V. | Method of forming structures including a vanadium or indium layer |
US11828707B2 (en) | 2020-02-04 | 2023-11-28 | Asm Ip Holding B.V. | Method and apparatus for transmittance measurements of large articles |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11986868B2 (en) | 2020-02-28 | 2024-05-21 | Asm Ip Holding B.V. | System dedicated for parts cleaning |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
US11837494B2 (en) | 2020-03-11 | 2023-12-05 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11488854B2 (en) | 2020-03-11 | 2022-11-01 | Asm Ip Holding B.V. | Substrate handling device with adjustable joints |
US11961741B2 (en) | 2020-03-12 | 2024-04-16 | Asm Ip Holding B.V. | Method for fabricating layer structure having target topological profile |
US11823866B2 (en) | 2020-04-02 | 2023-11-21 | Asm Ip Holding B.V. | Thin film forming method |
US11830738B2 (en) | 2020-04-03 | 2023-11-28 | Asm Ip Holding B.V. | Method for forming barrier layer and method for manufacturing semiconductor device |
US11437241B2 (en) | 2020-04-08 | 2022-09-06 | Asm Ip Holding B.V. | Apparatus and methods for selectively etching silicon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
US11530876B2 (en) | 2020-04-24 | 2022-12-20 | Asm Ip Holding B.V. | Vertical batch furnace assembly comprising a cooling gas supply |
US11887857B2 (en) | 2020-04-24 | 2024-01-30 | Asm Ip Holding B.V. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
US11959168B2 (en) | 2020-04-29 | 2024-04-16 | Asm Ip Holding B.V. | Solid source precursor vessel |
US11798830B2 (en) | 2020-05-01 | 2023-10-24 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11515187B2 (en) | 2020-05-01 | 2022-11-29 | Asm Ip Holding B.V. | Fast FOUP swapping with a FOUP handler |
US11626308B2 (en) | 2020-05-13 | 2023-04-11 | Asm Ip Holding B.V. | Laser alignment fixture for a reactor system |
US11804364B2 (en) | 2020-05-19 | 2023-10-31 | Asm Ip Holding B.V. | Substrate processing apparatus |
US11705333B2 (en) | 2020-05-21 | 2023-07-18 | Asm Ip Holding B.V. | Structures including multiple carbon layers and methods of forming and using same |
US11987881B2 (en) | 2020-05-22 | 2024-05-21 | Asm Ip Holding B.V. | Apparatus for depositing thin films using hydrogen peroxide |
US11767589B2 (en) | 2020-05-29 | 2023-09-26 | Asm Ip Holding B.V. | Substrate processing device |
US11646204B2 (en) | 2020-06-24 | 2023-05-09 | Asm Ip Holding B.V. | Method for forming a layer provided with silicon |
US11658035B2 (en) | 2020-06-30 | 2023-05-23 | Asm Ip Holding B.V. | Substrate processing method |
US12020934B2 (en) | 2020-07-08 | 2024-06-25 | Asm Ip Holding B.V. | Substrate processing method |
US11644758B2 (en) | 2020-07-17 | 2023-05-09 | Asm Ip Holding B.V. | Structures and methods for use in photolithography |
US11674220B2 (en) | 2020-07-20 | 2023-06-13 | Asm Ip Holding B.V. | Method for depositing molybdenum layers using an underlayer |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
US11827981B2 (en) | 2020-10-14 | 2023-11-28 | Asm Ip Holding B.V. | Method of depositing material on stepped structure |
US11873557B2 (en) | 2020-10-22 | 2024-01-16 | Asm Ip Holding B.V. | Method of depositing vanadium metal |
US11901179B2 (en) | 2020-10-28 | 2024-02-13 | Asm Ip Holding B.V. | Method and device for depositing silicon onto substrates |
US11891696B2 (en) | 2020-11-30 | 2024-02-06 | Asm Ip Holding B.V. | Injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
US11885020B2 (en) | 2020-12-22 | 2024-01-30 | Asm Ip Holding B.V. | Transition metal deposition method |
US12033885B2 (en) | 2021-01-04 | 2024-07-09 | Asm Ip Holding B.V. | Channeled lift pin |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
US12033861B2 (en) | 2021-06-07 | 2024-07-09 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
US12027365B2 (en) | 2021-11-19 | 2024-07-02 | Asm Ip Holding B.V. | Methods for filling a gap and related systems and devices |
US12033849B2 (en) | 2022-12-08 | 2024-07-09 | Asm Ip Holding B.V. | Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane |
Also Published As
Publication number | Publication date |
---|---|
JP5371917B2 (ja) | 2013-12-18 |
JP4615859B2 (ja) | 2011-01-19 |
WO2003035927A3 (en) | 2003-07-31 |
TW563176B (en) | 2003-11-21 |
EP1444380B1 (en) | 2005-03-23 |
DE60203413D1 (de) | 2005-04-28 |
WO2003035927A2 (en) | 2003-05-01 |
DE20221269U1 (de) | 2005-12-08 |
EP1444380A2 (en) | 2004-08-11 |
DE60203413T2 (de) | 2006-04-20 |
JP2011042876A (ja) | 2011-03-03 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4615859B2 (ja) | 原子層堆積のためのガス配送装置 | |
US7699023B2 (en) | Gas delivery apparatus for atomic layer deposition | |
CN1774525B (zh) | 用于原子层淀积的气体输送装置 | |
JP4925558B2 (ja) | ハイブリッド化学処理装置 | |
JP5889806B2 (ja) | 複式噴射を伴う原子層堆積チャンバ | |
US11384432B2 (en) | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate | |
KR101561018B1 (ko) | 반도체 처리 챔버용 공정 가스 분배 | |
KR101448447B1 (ko) | 원자 층 증착을 위한 보텍스 챔버 리드 | |
KR100956189B1 (ko) | 원자층 증착용 가스 전달 장치 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20051019 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20080930 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20090105 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20090113 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090129 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090303 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20090528 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20091208 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100329 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100513 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20100518 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100727 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100914 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20101012 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20101021 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 4615859 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20131029 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20131029 Year of fee payment: 3 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: R3D02 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |