DE20221269U1 - Gaszuführvorrichtung zur Abscheidung atomarer Schichten - Google Patents

Gaszuführvorrichtung zur Abscheidung atomarer Schichten Download PDF

Info

Publication number
DE20221269U1
DE20221269U1 DE20221269U DE20221269U DE20221269U1 DE 20221269 U1 DE20221269 U1 DE 20221269U1 DE 20221269 U DE20221269 U DE 20221269U DE 20221269 U DE20221269 U DE 20221269U DE 20221269 U1 DE20221269 U1 DE 20221269U1
Authority
DE
Germany
Prior art keywords
gas
chamber
chamber according
valve
channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE20221269U
Other languages
English (en)
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/032,284 external-priority patent/US6916398B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE20221269U1 publication Critical patent/DE20221269U1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45582Expansion of gas before it reaches the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Fluid Mechanics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

Eine Kammer umfassend:
eine Substrathaltevorrichtung mit einer Substrataufnahmefläche;
einen Kammerdeckel, umfassend einen Durchgang an einem zentralen Bereich des Kammerdeckels, und eine Unterseite, die sich vom Durchgang zu einem Randbereich des Kammerdeckels erstreckt, wobei die Unterseite so gestaltet und bemessen ist, dass sie die Substrataufnahmefläche im Wesentlichen bedeckt;
ein oder mehrere Ventile gekoppelt mit dem Durchgang; und
eine oder mehrere Gasquellen gekoppelt mit jedem Ventil.

Description

  • Ausführungsformen der vorliegenden Erfindung beziehen sich im Allgemeinen auf eine Vorrichtung zur Abscheidung atomarer Schichten. Genauer, Ausführungsformen der vorliegenden Erfindung beziehen sich auf eine verbesserte Gaszuführvorrichtung zur Abscheidung atomarer Schichten.
  • Zuverlässiges Herstellen von Features (Merkmalen) im Submikrometerbereich und kleiner ist eine der Schlüsseltechnologien für die nächste Generation von „sehr hoch integrierten Schaltungen" (VLSI, engl. Very Large Scale Integration) und „ultrahoch integrierten Schaltungen" ( ULSI, engt. Ultra Large Scale Integration) von Halbleiterbausteinen. Da jedoch die Grenzen der Schaltkreistechnologie immer weiter getrieben werden, stellen die schrumpfenden Abmessungen von Verbindungen in VLSI- und ULSI-Technik zusätzliche Anforderungen an die Bearbeitungsfähigkeiten. Die mehrschichtigen Verbindungen, die im Herzstück dieser Technologie liegen, erfordern präzise Bearbeitung von Features mit hohem Aspektverhältnis, wie Kontaktlöchern und anderen Verbindungen. Eine zuverlässige Ausbildung dieser Verbindungen ist sehr wichtig für den Erfolg von VLSI und VLSI und für die fortgesetzten Bemühungen die Schaltkreisdichte und – Qualität einzelner Substrate zu steigern.
  • Da die Schaltkreisdichten zunehmen, reduzieren sich die Breiten der Kontaktlöcher, Kontakte und andere Features, ebenso wie die nichtleitenden Materialien dazwischen, auf Abmessungen im Submikrometerbereich (z. B. kleiner als 0,2 Mikrometer oder kleiner), wohingegen die Dicke der nichtleitenden Schichten im wesentlichen konstant bleibt, mit dem Ergebnis, dass die Aspektverhältnisse für die Features, d. h. ihre Höhe dividiert durch die Breite, zunehmen. Viele herkömmliche Abscheideverfahren haben Schwierigkeiten Strukturen im Submikrometerbereich auszufüllen, wo das Aspektverhältnis 4:1 überschreitet und insbesondere wo das Aspektverhältnis 10:1 überschreitet. Deshalb gibt es in großem Umfang aktuelle Bemühungen, die auf die Entwicklung von im wesentlichen fehlstellenfreien und spaltfreien Features im Submikrometerbereich mit hohen Aspektverhältnissen gerichtet sind.
  • Das Abscheiden atomarer Schichten ist eine Abscheidetechnik, die zum Abscheiden von Materialschichten auf Features mit hohen Aspektverhältnissen untersucht ist. Ein Beispiel der Abscheidung atomarer Schichten umfasst die sequenzielle Einleitung von Gaspulsen. Zum Beispiel kann ein Zyklus der sequenzielle Einleitung von Gaspulsen einen Puls eines ersten Reaktantgases beinhalten, gefolgt von einem Puls eines Spülgases und/oder einer Evakuierung mittels Pumpe, gefolgt von einem Puls eines zweiten Reaktantgases und gefolgt von einem Puls eines Spülgases und/oder einer Evakuierung mittels Pumpe. Der Begriff „Gas" , wie er hier benutzt wird, ist so festgelegt, dass er ein einzelnes Gas oder eine Vielzahl von Gasen beinhaltet. Sequenzielle Einleitung getrennter Pulse des ersten Reaktanten und des zweiten Reaktanten kann die wechselnde selbstbegrenzende Adsorption von Molekularschichten des Reaktants auf der Oberfläche des Substrat zur Folge haben und folglich bei jedem Zyklus eine molekulare Materialschicht ausbilden. Der Zyklus kann bis zu einer gewünschten Dicke des abgeschiedenen Materials wiederholt werden. Ein Puls eines Spülgases und/oder einer Evakuierung mittels Pumpe zwischen den Pulsen des ersten Reaktantgases und den Pulsen des zweiten Reaktantgases dient dazu die Wahrscheinlichkeit von Reaktionen der Gasphasen der Recktanten aufgrund überschüssiger Reaktantmengen, die in der Kammer zurückbleiben, zu reduzieren.
  • Dennoch besteht ein Bedarf nach einer neuen Vorrichtung, um die Gaszuführung bereitzustellen, und das Abscheiden von Filmen durch Abscheidung atomarer Schichten durchzuführen.
  • Eine Vorrichtung zum Durchführen eines zyklischen Schichtabscheideverfahrens, wie z.B. Abscheidung atomarer Schichten, wird bereitgestellt. In einem Aspekt beinhaltet die Vorrichtung eine Substrathaltevorrichtung mit einer Substrataufnahmefläche und einen Kammerdeckel, umfassend einen konisch zulaufenden Durchgang, der sich von einem zentralen Bereich des Kammerdeckels erstreckt, und eine Unterseite, die sich vom Durchgang zu einem Randbereich des Kammerdeckels erstreckt, wobei die Unterseite so gestaltet und bemessen ist, dass sie die Substrataufnahmefläche im Wesentlichen bedeckt. Die Vorrichtung beinhaltet auch eines oder mehrere an den allmählich sich erweiternden Durchgang gekoppelte Ventile und eine oder mehrere an jedes Ventil gekoppelte Gasquellen.
  • In einem weiteren Aspekt beinhaltet die Vorrichtung eine Substrathaltevorrichtung mit einer Substrataufnahmefläche, einen Kammerdeckel, umfassend einen sich erweiternden Kanal, der sich nach unten zu einem zentralen Bereich des Kammerdeckels erstreckt, und umfassend eine konische Unterseite des Deckels, die sich von dem erweiternden Kanal zu einem Umfangsbereich des Kammerdeckels erstreckt und eine oder mehrere, um einen oberen Bereich des sich erweiternden Kanals angeordnete Gasleitungen, wobei die eine oder mehrere Gasleitungen in einem Winkel zu einer Mittelachse des sich erweiternden Kanals angeordnet sind. Die Vorrichtung beinhaltet ebenfalls ein oder mehrere, an den allmählich sich erweiternden Kanal gekoppelte Ventile und eine auf dem Kammerdeckel, an einen Umfang der konischen Unterseite benachbart angeordnete Drossel.
  • Ein offenbartes Verfahren umfasst das Bereitstellen eines oder mehrerer Gase Substratbearbeitungskammer hinein in einer anfänglich kreisförmigen Richtung über einem zentralen Bereich des Substrates; Reduzieren der Geschwindigkeit des Gases durch nicht-adiabate Expansion; Zuführen der Gase zu einem zentralen Bereich des Substrates; und Führen der Gase radial über das Substrat, von dem zentralen Bereich des Substrates zu einem Randbereich des Substrates, bei einer im Wesentlichen gleichmäßigen Geschwindigkeit.
  • Damit die Art und Weise, in der die oben vorgetragenen Features der vorliegenden Erfindung erzielt werden, im Einzelnen verstanden werden kann, kann man eine speziellere Beschreibung der oben kurz zusammengefassten Erfindung bekommen unter Bezugnahme auf deren Ausführungsformen, die in den beigefügten Zeichnungen dargestellt sind.
  • Es muss jedoch bemerkt werden, dass die beigefügten Zeichnungen nur typische Ausführungsformen dieser Erfindung darstellen und deshalb nicht als den Anwendungsbereich der Erfindung begrenzend angesehen werden dürfen, da die Erfindung andere, gleichwertige Ausführungsformen zulassen kann.
  • 1 ist eine schematische Schnittzeichnung einer Ausführungsform einer Kammer, die eine für die Abscheidung atomarer Schichten angepaßte Gaszuführvorrichtung enthält.
  • 2A ist eine schematische Schnittzeichnung einer Ausführungsform einer Drossel, die an der Unterseite eines Kammerdeckels angeordnet ist.
  • 2B ist eine Schnittzeichnung einer alternativen Ausführungform einer Drossel, die an der Unterseite eines Kammerdeckels angeordnet ist.
  • 3 ist eine schematische Schnittzeichnung einer alternativen Ausführungsform einer Kammer, die eine für die Abscheidung atomarer Schichten angepaßte Gaszuführvorrichtung enthält.
  • 4. ist eine schematische Schnittzeichnung eines Ventils, die die Ventilein- und -auslässe zeigt.
  • 5 ist eine schematische Ansicht eines musterhaften, in 4 dargestellten Ventils.
  • 6 ist eine schematische Schnittzeichnung einer Ausführungsform eines Ventils, die die inneren Bestandteile und Mechanismen des Ventils darstellt.
  • 7 zeigt ein Diagramm einer Membran, die sich zwischen einer offenen Stellung und einer geschlossenen Stellung bewegt.
  • 8 ist eine waagrechte Schnittzeichnung einer Ausführungsform eines sich erweiternden Kanals, ausgebildet innerhalb der Gaszuführvorrichtung der vorliegenden Erfindung.
  • 9 ist eine waagrechte Schnittzeichnung einer Ausführungsart eines sich erweiternden Kanals, der angepasst ist, um einen einzelnen Gasstrom aufzunehmen.
  • 10 ist eine waagrechte Schnittzeichnung einer Ausführungsart eines sich erweiternden Kanals, der angepasst ist, um drei Gasströme aufzunehmen.
  • 11 ist eine Schnittzeichnungen des sich erweiternden Kanals, ausgebildet innerhalb der Gaszuführvorrichtung der vorliegenden Erfindung
  • 12 ist eine schematische Schnittzeichnung, die die Gasströmung an zwei verschiedenen Stellen zwischen der Oberfläche eines Substrat und Unterseite des Kammerdeckels 1 zeigt
  • 13 ist eine schematische Schnittzeichnung einer anderen Ausführungsart einer Kammer, die eine auf die Abscheidung atomarer Schichten angepasste Gaszuführvorrichtung beinhaltet.
  • 14 zeigt eine weitere Ausführungsform einer Kammer, die eine auf die Abscheidung atomarer Schichten angepasste Gaszuführvorrichtung beinhaltet.
  • 15 ist eine schematische Darstellung eines mit der Gaszuführvorrichtung der vorliegenden Erfindung verwendbaren Gasbehälters.
  • 16 ist eine schematische Schnittzeichnung einer Ausführungsform eines Behälters zur Gaserzeugung mittels Sublimation im Innern des Gasbehälters der 15.
  • 1 ist eine schematische partielle Schnittzeichnung eines beispielhaften Bearbeitungssystems 200, das geeignet ist zyklische Schichtabscheidetechniken, Abscheidetechniken für atomare Schichten, digitale chemische Gasphasenabscheidetechniken und schnelle chemische Gasphasenabscheidetechniken durchzuführen. Die Begriffe „zyklische Schichtabscheidung", „atomare Schichtabscheidung", „digitale chemische Gasphasenabscheidung" und „schnelle chemische Gasphasenabscheidung" werden hier abwechselnd gebraucht und beziehen sich auf Gasphasenabscheidetechniken, wobei zwei oder mehr Komponenten sequenziell in eine Reaktionszone einer Bearbeitungskammer eingeleitet werden, um dünne Materialschichten auf einer Substratoberfläche abzuscheiden.
  • Die Kammer 200 beinhaltet einen Kammeraufbau 202, ein Gaszuführsystem 230, ein Vakuumssystem 278 und eine Steuereinheit 280. Der Kammeraufbau 202 hat Seitenwände 204, einen Boden 206 und einen Einsatz 299. Ein Blendenventil 208 ist in einer Seitenwand 204 des Kammeraufbaus 202 ausgebildet, um Zugang für einen Roboter (nicht gezeigt) bereitzustellen, um ein Substrat 210, wie einen 200 mm oder 300 mm Halbleiterwafer, zu übergeben und zurückzuholen.
  • Innerhalb des Kammeraufbaus 202 ist eine Substrathaltevorrichtung 212 mit einer darauf befindlichen Substrataufnahmefläche 211 angeordnet, um ein Substrat 210 zu halten. Ein Hebemotor 214 hebt und senkt die Substrathaltevorrichtung 212. Eine mit einem Hebemotor 218 verbundene Hebeplatte 216 ist in die Kammer 200 eingebaut und hebt und senkt Stifte 220, die durch die Substrathaltevorrichtung 212 hindurch beweglich angeordnet sind. Die Stifte 220 heben und senken das Substrat 210 über die Substrataufnahmefläche 211 der Substrathaltevorrichtung 212. Die Substrathaltevorrichtung 212 kann eine Vakuumsspannvorrichtung, eine elektrostatische Spannvorrichtung, oder einen Befestigungsring umfassen zum Festhalten des Substrates 210 auf der Substrathaltevorrichtung 212 während der Bearbeitung. Die Substrathaltevorrichtung 212 kann auch aufgeheizt werden, um ein darauf angeordnetes Substrat 210 zu erwärmen. Z. B. kann die Substrathaltevorrichtung 212 durch den Gebrauch eines eingebetteten Heizelements, wie einer Widerstandsheizung, aufgeheizt werden, oder kann durch den Gebrauch von Strahlungswärme, wie über der Substrathaltevorrichtung 212 angeordneter Heizlampen, aufgeheizt werden.
  • Der Kammeraufbau 202 umfasst auch einen auf der Substrathaltevorrichtung 212 angeordneten Spülring 222, um einen Spülkanal 224 festzulegen. Ein Spülgas fließt durch den Spülkanal 224 zu einem Randbereich des Substrates 210 um Abscheidung darauf zu verhindern.
  • Das Vakuumsystem 278 steht mit einem Pumpkanal 279 in Verbindung, der innerhalb der Seitenwand 204 des Kammeraufbaus 202 ausgebildet ist. Das Vakuumsystem 278 evakuiert Gase aus dem Kammeraufbau 202 und hält einen gewünschten Druck oder einen gewünschten Druckbereich innerhalb einer Pumpzone 266 der Kammer 202 aufrecht. Die Pumpzone 266 ist im Innern des Kammeraufbaus 202 ausgebildet und umgibt dabei die Substrathaltevorrichtung 212.
  • Das Gaszuführsystem 230 und der Kammeraufbau 202 definieren eine Reaktionszone 264 im Innern des Kammeraufbaus 202. Die Reaktionszone 264 steht in hydraulischer Verbindung mit der Substrathaltevorrichtung 212. Genauer, die Reaktionszone 264 umfasst jedes Volumen im Innern der Kammer 200, das sich zwischen einer Gasquelle und der Substratoberfläche befindet. Ein Reaktantgas oder Spülgas kann die Reaktionszone 264 angemessen füllen und sicherstellen, dass das Substrat 210 dem Reaktant- oder Spülgas ausreichend ausgesetzt ist. Bei der herkömmlichen chemischen Gasphasenabscheidung werden Kammern nach dem Stand der Technik gebraucht, um einen gemeinsamen Durchfluss von Reaktanten gleichzeitig und gleichmäßig zur gesamten Oberfläche des Substrates bereitzustellen, um sicherzustellen, dass die Co-Reaktion der Reaktanten gleichmäßig über die Oberfläche des Substrates erfolgen. Bei der Abscheidung atomarer Schichten lässt die Kammer 200 Reaktanten der Reihe nach zur Substratoberfläche einfließen, um für die Adsorption von sich abwechselnden dünnen Reaktantschichten auf der Substratoberfläche zu sorgen. Als Folge braucht die Abscheidung atomarer Schichten keinen Strom von Reaktanten, die gleichzeitig die Substratoberfläche erreichen. Stattdessen ist es nötig, den Strom jedes Reaktanten in einer Menge bereitzustellen, die ausreichend ist, damit eine dünne Schicht des Reaktanten auf der Substratoberfläche adsorbiert wird.
  • Da die Reaktionszone 264 ein geringeres Volumen enthält, verglichen mit einem inneren Volumen einer konventionellen CVD-Kammer (chemical vapor desorption, chemische Gasphasenabscheidung.), wird eine geringere Menge Gas benötigt, um die Reaktionszone 264 für einen einzelnen Arbeitsgang zu füllen. Z. B. ist in einer Ausführungsform das Volumen der Reaktionszone 264 etwa 1000 ccm oder geringer, vorzugsweise 500 ccm oder geringer und besonders bevorzugt 200 ccm oder weniger für eine Kammer, die auf die Bearbeitung von Substraten mit 200 mm Durchmesser abgestimmt ist. In einer Ausführungsform ist das Volumen der Reaktionszone 264 etwa 3000 ccm oder geringer, vorzugsweise 1500 ccm oder geringer und besonders bevorzugt 600 ccm oder weniger für eine Kammer, die auf die Bearbeitung von Substraten mit 300 mm Durchmesser abgestimmt ist. In einer Ausführungsform kann die Substrathaltevorrichtung 212 angehoben oder gesenkt werden, um das Volumen der Reaktionszone 264 zur Abscheidung anzupassen. Wegen des geringeren Volumens der Reaktionszone 264 wird weniger Gas, egal ob Abscheidegas oder Spülgas, benötigt, um die Kammer 200 einzuströmen Deshalb ist der Durchsatz der Kammer 200 größer und Abfall kann auf Grund der geringeren benutzten Gasmenge minimiert werden, was die Betriebskosten reduziert.
  • In der Ausführungsform von 1 ist das Gaszuführsystem 230 in einem oberen Bereich des Kammeraufbaus 202 angeordnet, um dem Kammeraufbau 202 ein Gas, wie ein Prozessgas und/oder ein Spülgas, bereitzustellen. Das Gaszuführsystem 230 umfasst einen Kammerdeckel 232 und einen durch ihn hindurch ausgebildeten, sich erweiternden Kanal 234. Der Kammerdeckel 232 umfasst eine Unterseite 260, die so bemessen und geformt ist, dass sie das im Inneren des Kammeraufbaus 202 angeordnete Substrat 210 im Wesentlichen bedeckt.
  • Mindestens ein Bereich der Unterseite 260 des Kammerdeckels 232 kann vom sich erweiternden Kanal 234 zu einem Randbereich des Kammerdeckels 232 konisch zulaufen, um ein verbessertes Gasgeschwindigkeitsprofil über der Substratoberfläche 210 zu liefern (d. h. von der Mitte des Substrates zum Substratrand). Die Unterseite 260 kann eine oder mehrere konische Oberflächen umfassen, wie z. B. eine gerade Oberfläche, eine konkave Oberfläche, eine konvexe Oberfläche oder Kombinationen davon. Vorzugsweise ist die Unterseite 260 in Gestalt eines Trichters konisch zulaufend. Das Verhältnis der maximalen Fläche des Durchflussbereiches zur minimalem Fläche des Durchflussbereiches zwischen einer nach unten abfallenden Unterseite 260 des Kammerdeckels 232 und der Substratoberflächen 210 ist vorzugsweise kleiner als etwa 2, besonders bevorzugt kleiner als etwa 1,5, besonders bevorzugt kleiner als etwa 1,3 und in am meisten bevorzugter Weise etwa 1.
  • Ohne sich von der Theorie einschränken zu lassen, wird angenommen, dass ein Gas mit einer gleichförmigen Geschwindigkeit über der Oberfläche des Substrates 210 gleichmäßigere Abscheidung des Gases auf dem Substrat 210 liefert. Es wird angenommen, dass die Gasgeschwindigkeit direkt proportional zur Gaskonzentration ist, welche wiederum direkt proportional zur Gasabscheiderate auf der Substratoberfläche ist. Demzufolge wird angenommen, dass eine höhere Geschwindigkeit eines Gases über einem ersten Bereich der Substratoberfläche im Gegensatz zu einem zweiten Bereich der Substratoberfläche für eine höhere Abscheidung des Gases im ersten Bereich sorgt. Dementsprechend wird angenommen, dass ein Kammerdeckel mit einer nach unten abfallenden Unterseite 260 für eine gleichförmigere Abscheidung des Gases über die Substratoberfläche sorgt, weil die nach unten abfallende Unterseite eine gleichmäßigere Geschwindigkeit und folglich eine gleichmäßigere Konzentration des Gases über die Substratoberfläche hinweg liefert.
  • Mindestens ein Bereich der inneren Oberfläche des Kammerdeckels 232, einschließlich des sich erweiternden Kanals 234 und der Unterseite 260, hat eine Oberflächenrauheit (Ra in um) vorzugsweise zwischen etwa 46 Ra und 62 Ra, vorzugsweise etwa 54 Ra. Zusätzlich kann eine obere Oberfläche des Spülrings 222 und eine obere Oberfläche der Kammereisatzes 299 eine Oberflächenrauheit zwischen 46 Ra und 62 Ra, vorzugsweise etwa 54 Ra, haben. Es wird angenommen, dass diese Oberflächenrauheiten die Haftung des auf diesen Oberflächen abgeschiedenen Filmes erhöhen. Erhöhte Haftung des abgeschiedenen Filmes reduziert die Wahrscheinlichkeit, dass der abgeschiedene Film während der Bearbeitung von Substraten abbröckelt, und reduziert und folglich die Wahrscheinlichkeit von Verunreinigung der Substrate durch Teilchen. In einer bevorzugten Ausführungsform werden die Oberflächenrauheiten durch Elektropolieren bereitgestellt, um eine spiegelpolierte Oberfläche zu liefern. Eine spiegelpolierte Oberfläche hilft eine laminare Strömung darauf zu erzeugen. In weiteren weniger bevorzugten Ausführungsformen kann die Oberflächenrauheit durch Strukturieren der Oberfläche auf geeignete Weise bereitgestellt werden.
  • Regelung der Temperatur des Kammerdeckels 232 ist wichtig um Gasdegradation, Abscheidung, oder Kondensation am Kammerdeckel 232 zu vermeiden. Dementsprechend kann der Kammerdeckel 232 Kühlelemente und/oder Heizelemente umfassen, abhängig vom einzelnen Gas, das durch ihn hindurch gefördert wird. Z. B. können im Kammerdeckel 232 Wasserkanäle (nicht gezeigt) ausgebildet sein, um den Kammerdeckel 232 zu kühlen. In einem anderen Beispiel können Heizelemente (nicht gezeigt) eingelassen sein oder können Teile des Kammerdeckels 232 umschließen, um den Kammerdeckel 232 aufzuheizen.
  • Der Kammerdeckel 232 kann auch einen Kammerplattenbereich 270 und einen Kappenbereich 272 umfassen. Der Kappenbereich 272 kann auf einem Temperaturbereich gehalten werden und der Plattenbereich 270 kann auf einem anderen Temperaturbereich gehalten werden. Z. B. kann die Kappe 272 mit einem Heizband oder jeder anderen Heizeinrichtung aufgeheizt werden, um Kondensation des Reaktantgases zu vermeiden, während der Plattenbereich 270 auf Umgebungstemperatur gehalten wird. In einem anderen Beispiel kann die Kappe 272 aufgeheizt werden, und der Plattenbereich 270 kann mit Wasserkanälen, die durch ihn hindurch ausgebildet sind (nicht gezeigt), gekühlt werden, um thermische Gasdegradation des Reaktantgases zu vermeiden.
  • Der Kammerdeckel 232 kann aus Edelstahl, Aluminium, vernickeltem Aluminium, Nickel oder geeigneten Materialien bestehen, die mit der durchzuführenden Bearbeitung vereinbar sind. In einer Ausführungsform besteht der Kappenbereich 272 aus Edelstahl und der Plattenbereich 270 der Kammer besteht aus Aluminium. In einer Ausführungsform besteht die zusätzliche Platte aus Edelstahl. In einer Ausführungsform kann der sich erweiternde Kanal 234 und die Unterseite 260 des Kammerdeckels 232 aus einer spiegelpolierten Oberfläche bestehen, um das Erzeugen einer laminaren Gasströmung entlang des sich erweiternden Kanals 234 und der Unterseite 260 des Kammerdeckels 232 zu fördern. In einer anderen Ausführungsform kann die innere Oberfläche der Gasleitungen 250A und 250B elektropoliert sein, um das Erzeugen einer laminaren Gasströmung durch sie hindurch zu fördern.
  • Der Kammerdeckel 232 kann desweiteren eine Drossel 262 umfassen, die in einem Randbereich des Kammerdeckels 232, benachbart zum Rand des Substrates 210, angeordnet ist. Als Drossel 262 kann jeder Typ von Hindernis dienen, der geeignet ist den Gasdurchfluss innerhalb der Reaktionszone 264 in einem Bereich, der dem Umfang des Substrates 210 benachbart ist, zu begrenzen. Die Drossel 262 unterstützt das Aufrechterhalten eines im wesentlichen gleichmäßigen Druckes innerhalb der Reaktionszone 264.
  • 2A zeigt beispielsweise einen schematischen Querschnitt einer Ausführungsform der Drossel 262. In dieser Ausführungsform umfasst die Drossel 262 einen umlaufenden seitlichen Bereich 267. In einem Aspekt kann der Spülring 222 angepasst werden, um ein Spülgas in Richtung des seitlichen Bereiches 267 der Drossel 262 zu lenken.
  • Als weiteres Beispiel zeigt 2B einen schematischen Querschnitt einer anderen Ausführungsform der Drossel 262. Die Drossel 262 umfasst einen umlaufenden sich nach unten erstreckenden Vorsprung 268. In einem Aspekt kann der Spülring 222 angepasst werden, um ein Spülgas in Richtung des umlaufenden sich nach unten erstreckenden Vorsprungs 268 zu lenken. In einem Aspekt ist die Dicke des sich nach unten erstreckenden Vorsprungs 268 zwischen etwa 0,01 Inch und etwa 1,0 Inch, besonders bevorzugt 0,01 Inch und 0,5 Inch.
  • Der Abstand zwischen der Drossel 262 und der Substrathaltevorrichtung 212 liegt typischerweise zwischen etwa 0,04 Inch und etwa 2,0 Inch und vorzugsweise zwischen 0,04 Inch und etwa 0,2 Inch. Der Abstand kann in Abhängigkeit von den Gasen, die zugeführt werden, und den Verfahrensbedingungen während der Abscheidung variieren. Die Drossel 262 unterstützt das Bereitstellen einer gleichmäßigeren Druckverteilung innerhalb des Volumens einer Reaktionszone 264, die zwischen dem Kammerdeckel 232 und dem Substrat 2l0 bestimmt wird durch Abtrennen der Reaktionszone 264 von der ungleichmäßigen Druckverteilung der Pumpzone 266 (1).
  • Das Gaszuführsystem 230 umfasst desweiteren eines oder mehrere Ventile (vier sind dargestellt 242A, 242B, 252A, 252B), die mit einzelnen Gasquellen in hydraulischer Verbindung stehen. Jedes Ventil 242A, 242B umfasst eine Zuführstrecke 243A, 243B mit einer Ventilsitz-Baugruppe 244A, 244B, und jedes Ventil 252A, 252B umfasst eine Spülstrecke 245A, 245B mit einer Ventilsitz-Baugruppe 246A, 246B. Jeder Zuführstrecke 243A, 243B steht in Verbindung mit einer zugehörigen Reaktantgasquelle 238, 239 und einem zugehörigen Gaseinlass 236A, 236B des sich erweiternden Kanals 234. Die Ventilsitz-Baugruppe 244A, 244B der Zuführstrecke 243A, 243B regelt den Durchfluss des Reaktantgases von der Reaktantgasquelle 238, 239 zum sich erweiternden Kanal 234. Die Spülstrecke 245A, 245B steht in Verbindung mit der Spülgasquelle 240 und kreuzt die Zuführstrecke 243A, 243B stromabwärts von der Ventilsitz-Baugruppe 244A, 244B der Zuführstrecke 243A, 243B. Die Ventilsitz-Baugruppe 246A, 246B der Spülstrecke 245A, 245B regelt den Durchfluss des Spülgases von der Spülgasquelle 240 zur Zuführstrecke 243A, 243B. Wenn ein Trägergas eingesetzt wird, um Reaktantgas von der Reaktantgasquelle 238, 239 zuzuführen, wird vorzugsweise als Trägergas und Spülgas das gleiche Gas benutzt (z. B. wird Argongas als Träger- und Spülgas eingesetzt).
  • Programmierbare Steuerungen 248A, 248B können an die Ventile 242A, 242B angeschlossen werden, um die Betätigung der Membranen der Ventilsitz-Baugruppen 244A, 244B, 246A, 246B zu steuern. Pneumatisch betätigte Ventile können Gaspulse in Zeitintervallen die etwa 0,020 sec kurz sind bereitstellen. Elektrisch betätigte Ventile können Gaspulse in Zeitintervallen die etwa 0,005 sec kurz sind bereitstellen. Ein elektrisch betätigtes Ventil erfordert üblicherweise den Einsatz eines Antriebs, der zwischen das Ventil und die programmierbare Steuerung gekoppelt ist.
  • Jedes Ventil 242A, 242B kann ein Ventil ohne Totvolumen (zero dead volume) sein, um Spülen eines Reaktantgases aus der Zuführstrecke 243A, 243B zu ermöglichen, während die Ventilsitz-Baugruppe 244A, 244B des Ventils geschlossen ist. Während die Ventilsitz-Baugruppe 244A, 244B geschlossen ist, kann die Spülstrecke 245A, 245B ein Spülgas bereitstellen, um die Zuführstrecke 243A, 243B zu spülen. Die Spülstrecke 245A, 245B kann benachbart zur Ventilsitz-Baugruppe 244A, 244B der Zuführstrecke 243A, 243B angeordnet sein. Alternativ ist die Spülstrecke 245A, 245B etwas entfernt von der Ventilsitz-Baugruppe 244A, 244B der Zuführstrecke 243A, 243B, wie gezeigt, angeordnet, sodass das Spülgas nicht direkt in die Ventilsitz-Baugruppe 244A, 244B zugeführt wird, während diese offen ist. Ein Totvolumen-Ventil, wie hier verwendet, ist definiert als ein Ventil, das ein vernachlässigbares Totvolumen hat (d. h. nicht unbedingt ein Totvolumen von Null).
  • Jedes Ventil 242A, 242B kann angepasst werden, um einen gemeinsamen Gasdurchfluss und/oder getrennte Gasdurchflüsse des Reaktantgases 238, 239 und des Spülgases 240 bereitzustellen. In Bezug auf Ventil 242A umfasst ein Beispiel eines gemeinsamen Gasdurchflusses des Reaktantgases 238 und des Spülgases 240, das vom Ventil 242A bereitgestellt wird, einen kontinuierlichen Durchfluss eines Spülgases aus der Spülgasquelle 240 durch die Spülgasstrecke 245A und Pulse eines Reaktantgases aus der Reaktantgasquelle 238 durch die Zuführstrecke 243A. Der kontinuierliche Durchfluss des Spülgases kann bereitgestellt werden durch Offenlassen der Membran des Montage-Ventilsitzes 246A der Spülstrecke 245A. Die Pulse des Reaktantgases aus der Reaktantgasquelle 238 können bereitgestellt werden durch Öffnen und Schließen der Membran des Ventilsitzes 244A der Zuführstrecke 243A. In Bezug auf Ventil 242A umfasst ein Beispiel eines getrennten Gasdurchflusses des Reaktantgases 238 und des Spülgases 240, das vom Ventil 242A bereitgestellt wird, Pulse eines Spülgases aus der Spülgasquelle 240 durch die Spülgasstrecke 245A und Pulse eines Reaktantgases aus der Reaktantgasquelle 238 durch die Zuführstrecke 243A. Die Pulse des Spülgases können bereitgestellt werden durch Offnen und Schließen der Membran des Ventilsitz-Aufbaus 246A des Ventils 252A. Die Pulse des Reaktantgases aus der Reaktantgasquelle 238 können bereitgestellt werden durch Öffnen und Schließen des Ventilsitzes 244A des Ventils 242A.
  • 3 zeigt eine alternative Ausführungsform des Gaszuführsystems 230 mit Ventilen 242A, 242B, die unterhalb des Kammeraufbaus 202 befestigt und gekoppelt sind an eine oder mehrere durch den Kammeraufbau 202 geführte Gasstrecken 255. Die Gasstrecken 255 sind wiederum an die Gasleitungen 250A, 250B gekoppelt. Die Ventile 242A, 242B können auch in anderen Stellungen und an anderen Kammerbauteilen befestigt sein, wie z. B. am Kammerdeckel 232.
  • In einem Aspekt sind die Ventile 242A, 242B an getrennte Reaktantquellen 238, 239 und getrennte Spülgasquellen 240, 241 gekoppelt. Getrennte Spülgasquellen verringern die Wahrscheinlichkeit der gegenseitigen Beeinflussung der Ventile 242A, 242B. In anderen Ausführungsformen können die Ventile 242A und 242B an die gleiche Spülgasquelle 240, 241, wie oben beschrieben, gekoppelt sein.
  • 4 zeigt einen schematischen Querschnitt der Ventile 242A, 242B, 252A, 252B. Jedes Ventil umfasst ein Gehäuse 110 mit drei hydraulisch verbundenen Anschlüssen, einen Reaktanteinlass 112, einen Spüleinlass 114 und einen Auslass 116. Wie oben beschrieben ist der Reaktanteinlass 112 hydraulisch verbunden mit einer Reaktantgasquelle 238, 239. Der Spüleinlass 114 ist hydraulisch verbunden mit einer Spülgasquelle 240, 241 und der Auslass 116 ist hydraulisch verbunden mit der Bearbeitungskammer 200.
  • 5 zeigt eine schematische räumliche Darstellung des in 4 dargestellten Ventils. Das Ventilgehäuse 110 kann eines oder mehrere Löcher 510 zum Einsetzen von eingebetteten Heizelementen 511 umfassen. Vorzugsweise liegen die Löcher 510 nahe am Reaktanteinlass 112 (dargestellt in 4), sodass der Reaktant erwärmt wird, um Kondensation des Reaktanten innerhalb des Ventils 242A, 242B zu verhindern. Das Ventilgehäuse 110 kann auch eines oder mehrere Löcher 250 zum Einsetzen einer Thermoelementanordnung 521 zum Uberwachen der Temperatur des Ventilgehäuses 110 umfassen. Z. B. kann eine gemessene Temperatur in einer Rückkopplungsschleife benutzt werden, um den von einer Stromversorgung an das Heizelement 511 angelegten elektrischen Strom zu regeln, sodass die Ventilgehäusetemperatur auf einer gewünschten Temperatur oder innerhalb eines gewünschten Temperaturbereichs konstant gehalten oder geregelt werden kann. Die Löcher 510 und 520 können in enger Nachbarschaft angeordnet sein, vorzugsweise mit einer Entfernung von etwa 2,0 Millimetern oder weniger zum Reaktanteinlass 112, um das Aufheizen des Reaktanteinlasses besser bewerkstelligen und die Temperatur des Reaktanteinlasses 112 besser überwachen zu können. Vorzugsweise ist jedes Loch 510 für ein eingebettetes Heizelement parallel zur Ebene der Einlässe 112, 114 und des Auslasses 116 angeordnet, so dass das eingebettete Heizelement auch eine gleichmäßigere Aufheizung der Einlässe 112, 114 und des Auslasses 116 bewerkstelligen kann.
  • 6 zeigt eine Membran 134, die innerhalb der Ventilkammer 111 über einem Ventilsitz 120 befestigt ist. Die Membran 134 ist ein schematischer Querschnitt einer Ausführungsform eines der Ventile 242A, 242B. Jedoch ist die Membran üblicherweise in einer geschlossenen Position vorgespannt und wird wahlweise zwischen einer offenen Position (wie gezeigt) und einer geschlossenen Position bewegt. Die Membran 134 ist an einem Schaft 336 befestigt, der sich durch eine Haube 332 erstreckt und von ihr gleitend geführt wird. Der Schaft 336 bewegt die Membran 134 wahlweise zwischen einer geschlossenen Position und einer offenen Position. Ein Zylinder 340 ist am oberen Ende der Haube 332 befestigt und nimmt einen Kolben 342 auf. Das Kopfende des Schaftes 336 ragt aus der Haube 332 hervor und ist an einer Unterseite des Kolbens 342 befestigt. Eine Feder 344 ruht zwischen der Haube 332 und der Unterseite des Kolbens 342 und zwingt den Kolben 342 und den Schaft 336 nach oben. Der Zylinder 340 bildet zwischen einer Oberseite des Kolbens 342 und der inneren Oberfläche des Zylinders 340 eine Antriebskammer 346.
  • Die Membran 134 kann pneumatisch oder elektronisch angetrieben werden. Vorzugsweise wird die Membran durch Regeln von Druckgas, wie Luft oder anderes Gas, aus einem Druckgasspeicher 150 pneumatisch angetrieben, um die Membran 134 wahlweise zu bewegen. Obwohl die Membran 134 pneumatisch angetrieben wird, kann ein elektronisch gesteuertes Ventil 152, wie z. B. ein Magnetventil, am Zylinder 340 befestigt oder damit gekoppelt werden, um wahlweise das Druckgas aus dem Druckgasspeicher 150 durch die Gasstrecke 151 bereitzustellen. Obwohl ein elektronisch gesteuertes Ventil 152 Druckgas für die Membranbaugruppe 130 bereitstellt, sind die Ventile 242A, 242B pneumatisch angetriebene Ventile, da die Membran 134 pneumatisch angetrieben ist.
  • Die Membran 134 kann offen oder geschlossen vorgespannt sein und kann geschlossen beziehungsweise offen angetrieben werden. In einer offenen Position erlaubt die Membran 134 das Einströmen eines Reaktanten aus dem Reaktanteinlass 112 und das Einströmen eines Spülgases aus dem Spülgaseinlass 114 durch die Ventilkammer 111 zum Auslass 116 und in den Kammeraufbau 202. In einer geschlossenen Position ist die Membran 134 im Kontakt mit dem Ventilsitz 120, um Einströmen eines Reaktanten vom Reaktanteinlass 112 durch die Ventilkammer 111 zu verhindern. In bestimmten bevorzugten Ausführungsformen sperrt die Membran 134 in einer geschlossenen Position nicht das Einströmen des Spülgases aus dem Spülgaseinlass 114 durch die Ventilkammer 111 zum Auslass 116 und in den Kammeraufbau 202. Die Ventilkammer 111 kann desweiteren eine in das Ventilgehäuse 110 unter dem Ventilsitz 120 ausgebildete Auskehlung aufweisen, sodass der Spüleinlass 114 und der Auslass 116 in hydraulischer Verbindung bleiben, egal ob die Membran in einer geschlossenen oder einer offenen Position ist. Wie dargestellt, ist die Auskehlung 122 von ringförmiger Gestalt, kann aber von jeder geeigneten Gestalt sein.
  • Der Ventilsitz 120 kann mit dem Ventilgehäuse 110 ein Teil bilden. In einer alternativen Ausführungsform kann der Ventilsitz 120 ein vom Ventilgehäuse 110 getrenntes Teil sein. Der Ventilsitz 120 ist vorzugsweise aus einem chemisch beständigen Material gefertigt, das nicht mit dem Reaktanten, der durch den Reaktanteinlass 112 bereitgestellt wird, reagiert. Beispiele chemisch beständiger Materialien umfassen Polyimide (PI), Polytetraflourethylene (PTFE), Polychlortriflourethylen (PCTFE), Perflouralkoxy-Copolymer (PFA) und andere geeignete Polymere. In weniger bevorzugten Ausführungsformen kann der Ventilsitz 120 aus Metall, Metalllegierungen und anderen geeigneten Materialien gefertigt sein. In gewissen Ausführungsformen, abhängig vom durch sie hindurch bereitgestellten Reaktanten, wird der Ventilkörper 110 auf eine Temperatur zwischen etwa 80 °C und etwa 90 °C erhitzt, um Kondensation des Reaktanten auf der Membran 134 oder anderen Ventilbauteilen 242A, 242B zu verhindern. Wenn Ammoniak als Reaktant eingesetzt wird, besteht der Ventilsitz 120 vorzugsweise aus einem chemisch beständigen Polyimid wie z. B. VESPEL® CR-6100. Es hat sich gezeigt, dass sich Ammoniak mit VESPEL® CR-6100 chemisch inert bei Temperaturen von 80 °C oder darüber verhält, während Ammoniak mit anderen Polyimiden bei Temperaturen von 80 °C oder darüber reagieren kann.
  • Bezugnehmend auf den Betrieb der Ventile 242A, 242B sind programmierbare Steuerungen (programmable logic controller, PLC) 248A, 248B an die Ventile 242A, 242B gekoppelt, um elektrische Signale für das elektronisch gesteuerte Ventil 152 zu steuern. Das elektronisch gesteuerte Ventil 152 führt, wenn es offen ist, Druckgas durch das Anschlussstück 349 in die Antriebskammer 346, das einen Druck erzeugt, der den Kolben 342 und den Schaft 336 nach unten gegen die elastische Kraft der Feder 344 zwingt. Der Mittelbereich der Membran 134 wird vom Schaft 336 nach unten gepresst und kommt mit dem Ventilsitz 120 in Kontakt, wobei der Zustrom des Reaktanten aus dem Reaktanteinlass 112 zum Auslass 116 beendet wird. Wenn die Membran 134 mit dem Ventilsitz 120 in Kontakt ist, versperrt die Membran 134 nicht die Auskehlung 122 und ein Spülgas kann von Spülgaseinlass 114 zum Auslass 116 strömen. Das elektronisch gesteuerte Ventil 152 stoppt, wenn es geschlossen ist, die Zufuhr von Druckgas und der Druck im Innern der Antriebskammer 346 fällt ab. Wenn die Zufuhr von Druckgas gestoppt ist, und der Druck im Innern der Antriebskammer 346 abgefallen ist, werden der Kolben 342 und der Schaft 336 von der elastischen Kraft der Feder 334 angehoben. Wenn der Kolben 342 und der Schaft 336 nach oben gehen, bewegt sich die Membran 134 vom Ventilsitz 120 des Ventilgehäuses 110 und erlaubt das Einströmen des Reaktanten aus dem Reaktanteinlass 112 zum Auslass 116.
  • Die Membran 134 wird zwischen einer offenen Position und einer geschlossenen Position bewegt, um Pulse eines Reaktanten zum Auslass 116 und in den Kammeraufbau 202 bereit zu stellen. Da die Membran 134 die Auskehlung 122 in einer geschlossenen Position nicht versperrt, kann ein kontinuierlicher Strom von Spülgas vom Spüleinlass 114 durch die Ventilkammer 111 und hinaus zum Auslass 116 bereitgestellt werden. Als Folge können die Pulse des Reaktanten in den kontinuierlichen Strom des Spülgases, das durch die Ventilkammer 111 bereitgestellt wird, zudosiert werden. Der kontinuierliche Strom des durch die Ventilkammer 111 bereitgestellten Spülgases spült restlichen in der Ventilkammer 111 zwischen den Reaktantpulsen zurückbleibenden Reaktanten aus. In einem Aspekt hat jedes der Ventile 242A, 242B ein zero dead volume, da hier vernachlässigbares Totvolumen zwischen dem Durchflussweg des Spülgases durch das Ventilgehäuse 110 zum Ventilsitz 120 des Reaktanteinlasses 112 vorliegt.
  • 7 ist eine Kurve einer Membran, wie z. B. einer Membran 134 eines der Ventile 242A oder 242B, die zwischen einer geschlossenen Position und einer offenen Position bewegt wird. Der Begriff „Antwortzeit" , wie er hier benutzt wird, ist definiert als die Zeitspanne, um die Membran eines Ventils von einer offenen Position zu einer geschlossenen Position oder von einer geschlossenen Position zu einer offenen Position zu bewegen. Die Antwortzeit um die Membran eines Ventilsitz von einer offenen Position zu einer geschlossenen Position und die Antwortzeit um die Membran eines Ventils von einer geschlossenen Position zu einer offenen Position zu bewegen kann die gleiche sein oder kann unterschiedlich sein, vorzugsweise aber nahezu die gleiche. Vorzugsweise haben die Ventile 242A, 242B eine Antwortzeit von etwa 50 ms oder weniger, besonders bevorzugt 20 ms oder noch weniger. Es ist beobachtet worden, dass ein Ventil, wie z. B. Ventil 242A oder 242B, bei einem inneren Volumen der Antriebskammer von etwa 2,8 ccm eine Antworzeit von etwa 40 ms oder weniger hat. Es ist beobachtet worden dass ein Ventil, wie z. B. Ventil 242A oder 242B bei einem inneren Volumen der Antriebskammer von etwa 0,9 ccm eine Antwortzeit von etwa 15 ms oder weniger hat.
  • Reduzieren der Antwortzeit einer Ventilbaugruppe erlaubt, dass über die Zeit mehr Pulszyklen der Reaktanten bereitgestellt werden. Folglich wird der Durchsatz an bearbeiteten Substraten erhöht. Die Ventile 242A, 242B können in beliebiger gewünschter Pulszeit 720 betrieben werden. Der Begriff „Pulszeit", wie er hier benutzt wird, ist definiert als die Zeit zum Bewegen einer Membran von einer völlig geschlossenen Position zu einer völlig offenen Position und zurück zu der völlig geschlossenen Position. Die Ventile 242A, 242B können betrieben werden, um Pulszeiten von etwa 1,0 s oder weniger, etwa 500 ms oder weniger und sogar etwa 200 ms oder weniger bereit zu stellen.
  • Die pneumatische Steuerung der Membran 134 sorgt für ein „weiches" Aufsetzen der Membran 134 auf dem Ventilsitz 120, im Vergleich zu Membranen, die von Magneten auf und ab bewegt werden. Das „weiche" Aufsetzen vermindert die Teilchenbildung, verursacht durch den Aufprall der Membran 134 gegen den Ventilsitz 120 während der Membranbewegung zwischen einer offenen Position und einer geschlossenen Position. Das „weiche" Aufsetzen stellt den Reaktanten durch die Ventilbaugruppen 100 in einer mehr laminaren Strömung bereit, verglichen mit einem „harten" Aufsetzen verursacht durch direktes Bewegen der Membrane durch einen Magneten.
  • In gewissen Ausführungsformen umfasst das interne Volumen der Antriebskammer 346 ein kleines Volumen vorzugsweise etwa 3 ccm oder kleiner, besonders bevorzugt etwa 1 ccm oder weniger. Der Begriff "internes Volumen der Antriebskammer", wie er hier benutzt wird, bezieht sich auf das Innenvolumen der Antriebskammer wenn der Druck im Inneren der Antriebskammer abgefallen ist und umfasst das Innenvolumen des Anschlussstücks 349 und jeglicher Gasstrecken zwischen der Antriebskammer 346 und dem elektrisch gesteuerten Ventil 152. Ein kleines internes Volumen der Antriebskammer 346 kann schneller mit Druck beaufschlagt werden und kann demzufolge die Membran 134 schneller betätigen.
  • Das elektronisch gesteuerte Ventil 152 ist am Zylinder 340 der Membranbaugruppe 130 befestigt, um das Volumen einer Gasstrecke, das sich zum internen Volumen der Antriebskammer addiert, zu verringern. Ein addiertes Volumen einer Gasstrecke wird das interne Volumen der Antriebskammer vergrößern und wird folglich die benötigte Zeitspanne vergrößern, um die Antriebskammer 346 mit Druck zu beaufschlagen, und wird folglich die Antwortzeit des Ventils 242A, 242B vergrößern. In alternativen Ausführungsformen ist die Länge der Gasstrecke vorzugsweise etwa 1 Inch oder weniger, um das interne Volumen der Antriebskammer zu verringern, wenn eine Gasstrecke eingesetzt wird, um das elektronisch gesteuerte Ventil 152 mit dem Zylinder 340 der Membranbaugruppe 130 zu koppeln.
  • Die Gasstrecke 151, die den Druckgasvorrat 150 mit dem elektronisch gesteuerten Ventil 152 verbindet, hat vorzugsweise einen Innendurchmesser von mehr als etwa 0,125 inch, besonders bevorzugt etwa 0,25 inch oder mehr. Der größere Innendurchmesser der Gasstrecke 151 erleichtert, durch Bereitstellen einer schnelleren Ableitung des Druckgases durch sie hindurch, das Füllen des internen Volumens der Antriebskammer 346. Als Folge verringert ein größerer Innendurchmesser der Gasstrecke 151, die Druckgas zum elektronisch gesteuerten Ventil 152 zuführt, die Antwortzeit der Ventilbaugrube 242A, 242B.
  • Wieder Bezug nehmend auf 1 befinden sich die Ventile 242A, 242B in hydraulischer Verbindung mit dem sich erweiternden Kanal 234 über Gaseinlässe 236A, 236B die an die Zuführstrecken 243(B) gekoppelt sind. In einem Aspekt sind die Gaseinlässe 236A, 236B benachbart zum oberen Bereich 237 des sich erweiternden Kanals 234 angeordnet. In einem anderen Aspekt sind die Gaseinlässe 236A, 236B am Umfang des sich erweiternden Kanals 234 entlang angeordnet zwischen dem oberen Bereich 237 und dem unteren Bereich 235. Die Zuführstrecken 243A, 243B der Ventile 242A, 242B können durch Gasleitungen 250A, 250B an die Gaseinlässe 236A, 236B gekoppelt sein. Die Gasleitungen 250A, 250B können in die Ventile 242A, 242B integriert oder von ihnen getrennt sein. In einem Aspekt sind die Ventile 242A, 242B in enger Nachbarschaft an den sich erweiternden Kanal 234 gekoppelt, um jegliches unnötiges Volumen der Zuführstrecke 243A, 243B und der Gasleitungen 250A, 250B zwischen den Ventilen 242A, 242B und den Gaseinlässen 236A, 236B zu verringern.
  • Der sich erweiternde Kanal 234 hat einen Innendurchmesser der sich von einem oberen Bereich 237 zu dessem unteren Bereich 235 vergrößert. In einer besonderen Ausführungsform ist der Innendurchmesser des sich erweiternden Kanals 234 einer zum Bearbeiten von Substraten mit 200 mm Durchmesser angepassten Kammer zwischen etwa 0,2 Inch und etwa 1,0 Inch, vorzugsweise zwischen etwa 0,3 Inch und etwa 0,9 Inch und besonders bevorzugt zwischen 0,3 Inch und etwa 0,5 Inch im oberen Bereich 237 des sich erweiternden Kanals 234 und zwischen etwa 0,5 Inch und etwa 3,0 Inch, vorzugsweise zwischen etwa 0,75 Inch und etwa 2,5 Inch und besonders bevorzugt zwischen etwa 1,1 Inch und etwa 2,0 Inch im unteren Bereich 235 des sich erweiternden Kanals 234. In einer anderen besonderen Ausführungsform ist der Innendurchmesser des sich erweiternden Kanals 234 einer zum Bearbeiten von Substraten mit 300 mm Durchmesser angepassten Kammer zwischen etwa 0,2 Inch und etwa 1,0 Inch, vorzugsweise zwischen etwa 0,3 Inch und etwa 0,9 Inch und besonders bevorzugt zwischen 0,3 Inch und etwa 0,5 Inch im oberen Bereich 237 des sich erweiternden Kanals 234 und zwischen etwa 0,5 Inch und etwa 3,0 Inch, vorzugsweise zwischen etwa 0,75 Inch und etwa 2,5 Inch und besonders bevorzugt zwischen etwa 1,2 Inch und etwa 2,2 Inch im unteren Bereich 235 des sich erweiternden Kanals 234 für ein 300 mm Substrat. Im allgemeinen beziehen sich die obengenannten Abmessungen auf einen sich erweiternden Kanal der angepasst ist, um einen gesamten Gasdurchfluss von zwischen etwa 500 sccm (Standardkubikzentimeter pro Minute) und etwa 3000 sccm bereitzustellen. Die Abmessungen können jedoch geändert werden um jeglichen Gasdurchfluss durch ihn hindurch unterzubringen.
  • Der sich erweiternden Kanal 234 kann als Kegelstumpf (einschließlich Kegelstumpf ähnlicher Form) gestaltet sein. Egal ob ein Gas in Richtung der Wände des sich erweiternden Kanals 234 bereitgestellt wird, oder direkt nach unten in Richtung des Substrates 210, die Gasdurchflussgeschwindigkeit nimmt, auf Grund der Expansion des Gases ab, wenn das Gas durch den sich erweiternden Kanal 234 strömt. Die Verringerung der Gasdurchflussgeschwindigkeit hilft die Wahrscheinlichkeit zu reduziern, dass das Gas auf der Substratoberfläche 210 adsorbierte Recktanten wegbläst.
  • Ohne sich von der Theorie einschränken zu lassen, wird angenommen, dass der Durchmesser des sich erweiternden Kanals 234, der vom oberen Bereich 237 zum unteren Bereich 235 allmählich zunimmt, weniger adiabatische Expansion eines durch den sich erweiternden Kanal 234 fließenden Gases erlaubt, was die Regelung der Gastemperatur unterstützt. Eine plötzliche adiabatische Expansion eines durch den sich erweiternden Kanal 234 fließenden Gases kann die Gastemperatur absenken was zur Gaskondensation und Teilchenbildung führt. Durch Erzeugen einer geringeren adiabatischen Gasexpansion kann mehr Wärme vom oder zum Gas übertragen werden und folglich kann die Gastemperatur leichter geregelt werden. Der sich allmählich erweiternde Kanal kann eine oder mehrere konisch zulaufende Innenflächen umfassen wie z. B. eine konisch zulaufende gerade Fläche, eine konkave Fläche, eine konvexe Fläche oder Kombinationen davon oder kann Bereiche einer oder mehrerer konisch zulaufender Innenflächen aufweisen (d. h. einen Teil konisch zulaufende und einen Teil nicht konisch zulaufende).
  • 8 ist die Draufsicht einer Ausführungsform des sich erweiternden Bereiches 234 des Kammerdeckels 232. Jede Gasleitung 250A, 250B kann in einem Winkel α zwischen Mittellinie 302 der Gasleitung 25UA, 250B und einer Radiuslinie 304 vom Mittelpunkt des sich erweiternden Kanals 234 angeordnet sein. Gaseintritt durch die Gasleitung 250A, 250B, vorzugsweise in einem Winkel α (d. h. wenn α > 0°) veranlasst das Gas in einer kreisförmigen Richtung wie durch Pfeil 310A (oder 310B) dargestellt zu strömen. Gaszufuhr nur einem Winkel α, im Gegensatz zu einer direkt auf die Wände des sich erweiternden Kanals (d. h. wenn α = 0°) unterstützt das Bereitstellen einer mehr laminaren als turbulenten Strömung durch den sich erweiternden Kanal 234. Es wird angenommen, dass eine laminare Strömung durch den sich erweiternden Kanal 234 zu einer verbesserten Reinigung der Innenflächen des sich erweiternden Kanals 234 und anderer Flächen des Kammerdeckels 232 führt. Verglichen damit, kann eine turbulente Strömung nicht gleichmäßig über die Innenflächen des sich erweiternden Kanals 234 und anderer Flächen strömen und kann tote oder stehende Stellen enthalten in denen keine Gasströmung stattfindet. In einem Aspekt sind die Gasleitungen 250A, 250B und die entsprechenden Gaseinlässe 236A, 236B gegeneinander versetzt und führen eine Strömung in die gleiche kreisförmige Richtung (d. h. im oder gegen den Uhrzeigersinn).
  • 9 ist eine Draufsicht einer anderen Ausführungsform des sich erweiternden Kanals des Kammerdeckels, die angepasst ist, um eine einzelne Gasströmung durch einen Gaseinlass 636 aus einer Gasleitung 650, gekoppelt an eines oder mehrere Ventile (nicht gezeigt), aufzunehmen. Die Gasleitung 650 kann in einem Winkel α zwischen der Mittellinie 602 der Gasleitung 650 und einer Radiuslinie 604 vom Mittelpunkt des sich erweiternden Kanals 634 angeordnet sein. Die in einem W inkel α (d. h. wenn α > 0°) angeordnete Gasleitung 650 erzeugt eine Gasströmung in einer kreisförmigen Richtung wie durch Pfeil 610 dargestellt.
  • 10 ist eine Draufsicht einer anderen Ausführungsform des sich erweiternden Kanals des Kammerdeckels, die angepasst ist, um drei Gasströmungen in gemeinsam aufzunehmen, teilweise gemeinsam (d. h. zwei von drei Gasströmungen gemeinsam) oder getrennt durch drei Gaseinlässe 736A, 736B, 736C aus drei Gasleitungen 750A, 750B, 750T wobei jede Leitung an ein einzelnes oder eine Mehrzahl von Ventilen (nicht gezeigt) gekoppelt ist. Die Gasleitungen 750A, 750B, 750C können in einem Winkel α zwischen Mitellinie 702 der Gasleitungen 750A, 750B, 750C und von einer Radiuslinie 704 vom Mittelpunkt des sich erweiternden Kanals 734 angeordnet sein. Die in einem Winkel α (d. h. wenn α > 0°) angeordneten Gasleitungen 750A, 750B, 750C erzeugen eine Gasströmung in einer kreisförmigen Richtung wie durch Pfeil 710 dargestellt.
  • 11 stellt einen Querschnitt des sich erweiternden Kanals 234 dar, der eine vereinfachte Darstellung von zwei Gasströmungen durch ihn hindurch zeigt. Jede Gasleitung 250A, 250B und jeder Gaseinlass 236A, 236B kann in irgend einem Verhältnis zu einer Längsachse 290 des sich erweiternden Kanals angeordnet sein. Jede Gasleitung 250A, 250B und jeder Gaseinlass 236A, 236B sind vorzugsweise senkrecht (wobei +B und –B 90° sind) zur Längsachse 290 angeordnet, oder in einem Winkel +B oder einem Winkel –B (wobei 0° < +B < 90° oder 0° < –B < 90° sind) von der Mittellinie 302A, 302B der Gasleitung 250A, 250B zur Längsachse 290. Deshalb kann die Gasleitung 250A, 250B horizontal senkrecht zur Längsachse 290, wie in 3 dargestellt, angeordnet sein, kann nach unten abgewinkelt sein mit einem Winkel +B, oder kann nach oben abgewinkelt sein mit einem Winkel –B, um eine Gasströmung eher gegen die Wände des sich erweiternden Kanals 234 zu führen als direkt nach unten gegen das Substrat 210, was die Wahrscheinlichkeit des Wegblasens von Reaktanten, die auf der Oberfläche des Substrates 210 adsorbier bzw. absorbiert sind, verringert. Zusätzlich kann der Durchmesser der Gasleitungen 250A, 250B von den Zuführstrecken 243A, 243B der Ventile 242A, 242B zum Gaseinlass 236A, 236B zunehmen, um das Vermindern der Strömungsgeschwindigkeit des Gases vor seinem Eintrtit in den sich erweiternden Kanal 234 zu unterstützen. Z. B. können die Gasleitungen 250A, 250B einen sich allmählich erweiternden Innendurchmesser umfassen oder können eine Mehrzahl von angeschlossenen Leitungen mit sich erweiternden Innendurchmessern umfassen.
  • Obwohl das genaue Strömungsbild durch den sich erweiternden Kanal 234 nicht bekannt ist, wird angenommen, dass die kreisförmige Strömung 310 als eine „Wirbel-" oder „Spiral-" Strömung 402A, 402B durch den sich erweiternden Kanal 234 strömen kann, wie durch die Pfeile 402A, 402B dargestellt ist. In einem Aspekt kann die Wirbelströmung das Aufbauen einer wirksamen Reinigung des sich erweiternden Kanals 234 unterstützen, aufgrund der mitreißenden Wirkung des Strömungsbildes der Wirbelströmung über der Innenfläche des sich erweiternden Kanals 234.
  • In einer Ausführungsform ist der Abstand 410 zwischen den Gaseinlässen 236A, 236B und dem Substrat 210 weit genug, damit die „Wirbel-" Strömung 402 sich in eine Abwärtsströmung umwandelt, wie durch die Pfeile 404 dargestellt, da eine Spiralströmung über die Substratoberfläche 210 nicht wünschenswert sein kann. Es wird angenommen, dass die „Wirbel-" Strömung 402 und die Abwärtsströmung 404 laminar ablaufen und dabei den Kammerdeckel 232 und das Substrat 210 wirksam reinigen. In einer besonderen Ausführungsform ist der Abstand 410 zwischen dem oberen Bereich 237 des sich erweiternden Kanals 234 und des Substrates 210 etwa 1,0 Inch oder größer, vorzugsweise etwa 2,0 Inch oder größer. In einer besonderen Ausführungsform wird die Obergrenze des Abstandes 410 durch praxisnahe Begrenzungen bestimmt. Wenn zum Beispiel der Abstand 410 sehr groß ist, dann wäre die Verweildauer eines durch den sich erweiternden Kanal 234 strömenden Gases lang, dann wäre die Zeit für ein Gas zum Abscheiden auf dem Substrat lang, und dann wäre der Durchsatz niedrig. Außerdem wäre die Herstellung des sich erweiternden Kanals 234 schwierig, wenn der Abstand 410 sehr groß ist. Im allgemeinen kann die Obergrenze des Abstandes 410 3 Inch oder mehr für eine an die Bearbeitung von Substraten mit 200 mm Durchmesser angepasste Kammer betragen, oder 5 Inch oder mehr für eine an die Bearbeitung von Substraten mit 300 mm Durchmesser angepasste Kammer.
  • 12 zeigt eine schematische Darstellung, die die Strömung eines Gases an zwei unterschiedlichen Stellen 502, 504 zwischen der Unterseite 260 des Kammerdeckels 232 und der Substratoberfläche 210 erläutert. Die Gasgeschwindigkeit in jeglichem Bereich, d. h. bei jedwedem Radius, ist theoretisch durch die folgende Gleichung bestimmt: Q/A = V (1)„Q" ist die Durchflussmenge des Gases. „A" ist die Querschnittsfläche des Durchflussbereiches. „V" ist die Gasgeschwindigkeit. Die Gasgeschwindigkeit ist umgekehrt proportional zur Querschnittsfläche des Durchflussbereiches (H·2πR), worin „H" die Höhe des Durchflussbereiches und „ 2πR" der Kreisumfang des Durchflussbereiches sind. Mit anderen Worten ist die Gasgeschwindigkeit umgekehrt zur Höhe „H" des Durchflussbereiches und zum Radius „R" des Durchflussbereiches proportional.
  • Wird die Geschwindigkeit im Durchflussbereich an der Stelle 502 und der Stelle 504 verglichen, unter Annahme, dass die Durchflussmenge „Q" des Gases an allen Stellen zwischen der Unterseite 260 des Kammerdeckels 232 und der Substratoberfläche 210 gleich ist, kann die Geschwindigkeit durch die gleiche Querschnittsfläche „A" der Durchflussbereiche theoretisch gleichgehalten werden. Damit die Querschnittsfläche der Durchflussbereiche an den Stellen 502 und 504 gleich ist, muss die Höhe H1 an der Stelle 502 größer als die Höhe H2 sein, da R2 > R1.
  • Im Betrieb wird ein Substrat 210 der Kammer 200 mittels eines Roboters (nicht gezeigt) durch die Öffnung 208 zugeführt. Das Substrat 210 wird durch Zusammenwirken der Hebestifte 220 und des Roboters positioniert. Die Substrathaltevorrichtung 212 hebt das Substrat 210 in nahe Opposition zur Unterseite 260 des Kammerdeckels 232. Ein erster Gasstrom kann in den sich erweiternden Kanal 234 der Kammer 200 durch Ventil 242A eingespeist werden, zusammen oder getrennt (d. h. Pulse) mit einem zweiten durch Ventil 242B in die Kammer 200 eingespeisten Gasstrom. Der erste Gasstrom kann einen kontinuierlichen Strom eines Spülgases aus der Spülgasquelle 240 und Pulse eines Reaktantgases aus der Reaktantgasquelle 238 umfassen, oder kann Pulse eines Reaktantgases aus der Reaktantgasquelle 238 und Pulse eines Spülgases aus der Spülgasquelle 240 umfassen. Der zweite Gasstrom kann einen kontinuierlichen Strom eines Spülgases aus der Spülgasquelle 240 und Pulse eines Reaktantgases aus der Reaktantgasquelle 239 umfassen, oder kann Pulse eines Reaktantgases aus der Reaktantgasquelle 239 und Pulse eines Spülgases aus der Spülgasquelle 240 umfassen. Der Gasstrom strömt durch den sich erweiternden Kanal 234 mit einem Wirbel-Strömungsbild 402, welches für eine reinigende Wirkung über der Innenfläche des sich erweiternden Kanals 234 sorgt. Das Wirbel-Strömungsbild 402 wandelt sich in eine Abwärtsströmung 404 in Richtung Substratoberfläche 210 um. Die Strömungsgeschwindigkeit des Gases vermindert sich, während es durch den sich erweiternden Kanal 234 strömt. Der Gasstrom strömt über die Oberfläche des Substrates 210 und über die Unterseite 260 des Kammerdeckels 232. Die Unterseite 260 des Kammerdeckels 232, die nach unten abfällt, unterstützt die Verringerung der Geschwindigkeitsschwankungen des Gasstroms über der Substratoberfläche 210. Der Gasstrom strömt dann durch die Drossel 262 und in die Pumpzone 266 der Kammer 200. Überschussgas, Nebenprodukte etc. strömen in den Pumpenkanal 279 und werden dann vom Vakuumsystem 278 aus der Kammer 200 abgesaugt. In einem Aspekts verläuft der Gasstrom durch den sich erweiternden Kanal 234 und zwischen der Substratoberfläche 210 und der Unterseite 260 des Kammerdeckels 232 auf eine laminare Weise, was zu einer gleichmäßigen Exposition von Reaktantgas auf der Substratoberfläche 210 und zum wirksamen Reinigen der Innenflächen des Kammerdeckels 232 beiträgt.
  • Die 13 und 14 erläutern alternative Ausführungsformen eines Gaszuführsystems, das im Stande ist Abscheidung atomarer Schichten gemäß der vorliegenden Erfindung bereitzustellen. Da einige Komponenten die gleichen oder ähnlich jenen oben beschriebenen sind, sind, wo angebracht, gleiche Nummern benutzt worden.
  • Genauer zeigt 13 eine Kammer 800 mit einer Gaszuführvorrichtung 830, die einen Kammerdeckel 832 mit einer im wesentlichen ebenen Unterseite 860 umfasst. In einem Aspekt ist der Abstand zwischen der Drossel 262 und der Substrathaltevorrichtung 212 zwischen etwa 0,04 Inch und etwa 2,0 Inch, vorzugsweise zwischen etwa 0,04 Inch und etwa 0,2 Inch.
  • 14 zeigt eine Kammer 900 mit einer Gaszuführvorrichtung 930, die einen Kammerdeckel 932 umfasst, der eine Reaktionszone 964 mit einem kleinen Volumen bereitstellt, und der eine nach unten abfallende oder trichterförmige Unterseite 960 bereitstellt. Gasquellen 937 sind an den Durchgang 933 über eines oder mehrerer Ventile 941 angeschlossen. In einem Aspekt hat der Durchgang 933 eine große Länge, um die Wahrscheinlichkeit zu verringern, dass durch Ventil 941 herangeführtes Gas auf der Substratoberfläche 210 adsorbiere bzw. absorbierte Reaktanten davonbläst.
  • 15 ist eine schematische Darstellung einer Ausführungsform eines für die vorliegende Erfindung nützlichen Gasbehälters. Im Sinne von Klarheit und Erleichterung der Beschreibung wird der Gasbehälter in Bezug auf die in 3 gezeigte Kammer 200 beschrieben. Der Gasbehälter stellt eine oder mehrere Verbindungen zu den Ventilen 242A, 242B bereit. Der Gasbehälter kann aus einem einzelnen oder einer Mehrzahl von Gasbehälter-Abschnitten (zwei sind dargestellt 1000A, 1000B). Jeder Gasbehälter-Abschnitt 1000A, 1000B kann auch eine Anbindung 1010 zu einer entsprechenden Spülgasquelle 240, 241 umfassen. Die Gasbehälter-Abschnitte 1000A, 1000B können desweiteren verschiedene Ventile zum Regeln oder anderweitig Kontrollieren der Verbindungen, die an die Ventile 242A, 242B bereitgestellt werden.
  • 16 ist ein schematischer Querschnitt einer Ausführungsform des Behälters 1300 zum Generieren eines Gases durch Sublimation aus einer festen Reaktantquelle, wie z. B. PDMAT. Der Behälter 1300 kann zum Bereitstellen eines Gases aus einer flüssigen Reaktantquelle angepasst werden. Im allgemeinen umfasst der Behälter 1300 eine Seitenwand 1202, einen Deckel 1204 und eine Boden 1232, die ein Innenvolumen 1238 umschließen. Mindestens der Deckel 1204 oder die Seitenwand 1202 enthält eine Eintrittsöffnung 1206 und eine Austrittsöffnung 1208 zum Gaseintritt und -Austritt. Eintritts- und Austrittsöffnung in 1206, 1208 sind an Ventile 1112, 1114 angekoppelt, die mit entsprechenden Trennarmaturen 1236A, 1236B ausgestattet sind, um Demontage des Behälters 1300 vom Gaszuführsystem 230 zu erleichtern. Wahlweise wird ein Ölabscheider 1250 zwischen die Austrittöffnung 1208 und das Ventil 1114 gekoppelt, um jegliches Ölpartikel, das im zur Bearbeitungskammer 200 strömenden Gas vorhanden sein kann, aufzufangen.
  • Der Innenraum 1238 des Behälters 1300 ist in einen oberen Bereich 1218 und einen unteren Bereich 1234 geteilt. Feste Quellen 1214 füllen den unteren Bereich 1234 zumindest teilweise aus. Ein Rohr 1302 ist im Innenraum 1238 des Behälters 1300 angeordnet und angepasst, um einen Gasstrom im Behälter 1300 von den festen Quellen 1214 wegzuführen, in dem vorteilhafterweise verhindert wird, dass aus dem Rohr 1302 ausströmendes Gas direkt auf die festen Quellen 1214 aufprallt und dadurch Partikel in Schwebezustand geraten und durch die Auslassöffnung 1208 in die Bearbeitungskammer 200 hineingetragen werden.
  • Das Rohr 1302 ist mit einem Ende 1304 an die Eintrittsöffnung 1206 angeschlossen. Das Rohr 1302 erstreckt sich von einem Ende 1304 zu einem anderen Ende 1326A, das im oberen Bereich 1218 über den festen Quellen 1214 angeordnet ist. Das andere Ende 1326A kann angepasst werden, um den Gasstrom zur Seitenwand 1202 zu lenken, wodurch eine direkte (lineare) Strömung des Gases durch den Behälter 1300 zwischen den Öffnungen 1206, 1208 verhindert wird, und eine verlängerte mittlere Strömungsbahn erzeugt wird.
  • In einer Ausführungsform ist ein Austritt 1306 des zweiten Endes 1326A des Rohres 1302 in einem Winkel von etwa 15° bis etwa 90° zu einer Mittellinie 1308 des Behälters 1300 angeordnet. In einer anderen Ausführungsform hat das Rohr 1302 ein „J"-förmiges zweites Ende 1326B, das den Gasstrom, der den Austritt 1306 verlässt, gegen den Deckel des Behälters 1300 führt. In einer anderen Ausführungsformen hat das Rohr 1302 ein zweites Ende 1326C mit einem Stopfen oder einer Kappe 1310, die das Ende des Rohres 1302 verschließt. Das zweite Ende 1326C hat mindestens eine Öffnung 1328, die in der Seitenwand des Rohres 1302 nahe der Kappe 1310 ausgebildet ist. Aus den Öffnungen 1328 austretendes Gas wird üblicherweise senkrecht zur Mittelachse 1308 und weg von den festen Quellen 1214 geführt, die im unteren Bereich 1234 des Behälters 1300 angeordnet sind. Wahlweise kann mindestens eine Trennwand 1210 (gestrichelt dargestellt) wie oben beschrieben in der Kammer 1300 angeordnet werden und als Reihenanordnung mit einer jeden der oben beschriebenen Ausführungsformen des Rohres 1302 eingesetzt werden.
  • Im Betrieb ist der untere Bereich 1234 des Behälters 1300 mindestens teilweise mit einer festen Gasquelle 1214 gefüllt. Wahlweise kann eine Flüssigkeit 121 zur festen Gasquelle 1214 zugegeben werden, um eine Aufschlämmung 1212 zu bilden. Der Behälter 1300 wird auf einem gewünschten Druck gehalten und mit einer nahe am Behälter 1300 angeordneten Widerstandsheizung 1230 auf eine gewünschte Temperatur erhitzt. Ein Trägergas, wie z. B. Argon wird mit einer gewünschten Geschwindigkeit durch die Einlassöffnung 1206 und das Rohr 1302 in den oberen Bereich 1218 geleitet. Das zweite Ende 1326A des Rohres 1302 lenkt den Strom des Trägergases in eine verlängerte mittlere Strömungsbahn, weg von der Austrittsöffnung 1208, wobei vorteilhafterweise die mittlere Verweilzeit des Trägergases im oberen Bereich 1218 des Behälters 1300 zunimmt und direkter Strom des Trägergases auf die Feststoffquellen 1214 verhindert wird, um Partikelbildung zu minimieren. Die erhöhte Verweilzeit im Behälter 1300 erhöht vorteilhafterweise den Sättigungsgrad des Dampfes des sublimierten Feststoffs im Trägergas, während die Verringerung der Partikelbildung Produkterträge verbessert, festen Quellen schont und nachgelagerte Verunreinigung verringert.
  • Bezugnehmend auf 15 kann die Temperatur unterschiedlicher Komponenten der Kammer 200 und des Gasbehälters 1000 geregelt werden, um ungewollte Teilchenbildung in der Kammer zu verringern. Z. B. kann Temperaturregelung Gasentmischung, Abscheidung, oder Kondensation auf verschiedenen Komponenten der Kammer 200 und des Gasbehälters 1000 verhindern. Z. B. kann es wünschenswert sein, dass die Strömungsbahnen der Reaktanten von der Reaktantquelle zum Gasverteilungssystem 230 auf einer relativ hohen Temperatur sind, um Kondensation (d. h. dampfförmig zu fest oder dampfförmig zu flüssig) der Reaktanten in der Strömungsbahn zu verhindern. Es kann wünschenswert sein, dass der Kammeraufbau 202 und der Kammerdeckel 232 auf einer verhältnismäßig niedrigen Temperatur sind, um Abscheidung der Reaktanten auf den Oberflächen des Kammergehäuses und des Kammerdeckels zu verhindern.
  • In einer Ausführungsform wird der Behälter 1300 auf einer Temperatur zwischen etwa 60 °C und etwa 70 °C gehalten. Die Gasstrecken (durch den Bereich 1330 gekennzeichnet) vom Behälter 1300 zum Ventil 242A und vom Behälter 1300 zur Vorstrecke werden, z. B. durch Heizband oder andere Heizeinrichtungen, auf einer Temperatur zwischen etwa 80 °C und etwa 90 °C gehalten. Das Ventil 242A wird auf einer Temperatur zwischen etwa 80 °C und etwa 90 °C gehalten. Die Gasstrecke 255 (gekennzeichnet durch den Bereich 1332) vom Ventil 242A zum Kammeraufbau 202 wird, z. B. durch Heizband oder andere Heizeinrichtungen, auf einer Temperatur zwischen etwa 85 °C und etwa 95 °C gehalten. Vorzugsweise steigt der Temperaturgradient der Strömungsbahn des Reaktanten vom Behälter 1300 zum Kammeraufbau 202 leicht an, sodass jegliches Kondensat des Reaktanten eher zum Behälter als zum Kammeraufbau 202 fließen wird. Zusätzlich stellt die Spülgasquelle 240 vorzugsweise ein vorgeheiztes Spülgas, wie z. B. Argon, bei einer Temperatur zwischen etwa 85 °C und etwa 95 °C bereit. Das vorgeheizte Spülgas unterstützt das Verringern der Wahrscheinlichkeit der Teilchenbildung im Bereich 1332 infolge der Expansion der Gase im Bereich 1332 wegen des vergrößerten Volumens im Bereich 1232.
  • Sodann wird die Gasstrecke 255 (durch den Bereich 1334 gekennzeichnet) von Kammerplattenbereich 270 zur Kappe 272, z. B. durch eine Heizpatrone oder Heizband, auf einer Temperatur zwischen etwa 45 °C und etwa 55 °C gehalten. In anderen Ausführungsformen ist der Bereich 1334 nicht direkt beheizt (d. h. es gibt keine die Temperatur des Bereiches 1334 direkt regelnde Heizeinrichtung).
  • In einer Ausführungsform werden die Gasstrecken von der Spülgasquelle und die Stickstoff enthaltende Quelle zum Ventil 242B nicht beheizt. Ventil 242B ist nicht beheizt. Die Gasstrecke 255 vom Ventil 242B zum Kammeraufbau 202 und die Gasstrecke 255 von Kammerplattenbereich 270 zur Kappe 272 sind ebenfalls nicht beheizt.
  • In einer Ausführungsform werden die Kammerseitenwände 204 auf einer Temperatur zwischen etwa 20 °C und etwa 25 °C gehalten. Der Kammerplattenbereich 270 wird auf einer Temperatur zwischen etwa 25 °C und etwa 35 °C gehalten. Die Kappe 272 wird auf einer Temperatur zwischen etwa 30 °C und etwa 40 °C gehalten. Die Kammerseitenwand 202 kann mittels Ausbildung von Kanälen 259 (1) durch sie hindurch und Bereitstellen einer Temperaturregelflüssigkeit, wie z. B. einer Kühl- oder Heizflüssigkeit für die Kanäle, auf einer gewünschten Temperatur gehalten werden.
  • In einer Ausführungsform umfassen der Kammerplattenbereich 270 und die Kappe 272 keine Heiz- oder Kühlelemente. Für Kühlung des Kammerplattenbereiches 270 und der Kammer 272 sorgt Wärmeübergang vom Kammerplattenbereich 270 und der Kappe 272 zu den Kammerseitenwänden 204. In anderen Ausführungsformen können der Kammerplattenbereich 270 und die Kappe 272 Kühlelemente und/oder Heizelemente umfassen. In einer Ausführungsform berühren die durch den Kammeraufbau 202 hindurch geführten Gasstrecken 255 nicht den Kammeraufbau 202 und/oder sind vom Kammeraufbau durch einen Dämmstoff getrennt, der den Wärmeübergang zwischen den Gasstrecken 255 und dem Kammeraufbau 202 minimiert.
  • In gewissen Ausführungsformen sind die Ventile 242A, 242B getrennt oder vom Kammerdeckel 232 entfernt befestigt, wie z. B. unterhalb des Kammeraufbaus 202, wie in 1 gezeigt, um die Temperaturregelung des Kammerdeckels 232 zu vereinfachen. Z. B. kann ein beheiztes Ventil, das auf oder in direkter Nähe des Kammerdeckels 232 befestigt ist, Wärme auf den Kammerdeckel 232 übertragen. Auf den Kammerdeckel 232 übertragene Wärme kann ungewollte Abscheidung von Gasen auf dessen inneren Oberflächen hervorrufen oder erhöhen, wie z. B. auf dem sich erweiternden Kanal 234 und der Unterseite 260. Die vom Deckel entfernt befestigten Ventile 242A, 242B erhöhen das Volumen der Reaktionszone 264 nicht wesentlich, weil nur wenig oder kein Gas in die Gasleitungen 250A, 250B zurück strömt. Erhöhen im Anhörung Z. B. wird, mit einem kontinuierlichen Spülgasstrom mit hineindosierten Reaktanten, der von den Ventilen 242A, 242B bereitgestellt wird, eine im wesentlichen konstante, vorwärts gerichtete Gasströmung durch die Gasleitungen 250A, 250B in den Kammeraufbau 202 bereitgestellt.
  • Die Steuereinheit 280 wie z. B. ein Personalcomputer, Workstation, oder dergleichen kann an die Kammer 200 angeschlossen sein, um die Bearbeitungsbedingungen, wie in 1 dargestellt, zu steuern. Z. B. kann die Steuereinheit 280 konfiguriert sein, um den Durchfluss von Prozessgasen und Spülgasen von Gasquellen 238, 239, 240 durch die Ventile 242A, 242B während verschiedener Arbeitsgänge einer Substratbearbeitungsfolge zu regeln. Die Steuereinheit 280 kann eine zentrale Prozessoreinheit (CPU), Hilfselektronik 284 und Speicher 286 umfassender zugehörige Steuersoftware 283 enthält.
  • Die Steuereinheit 280 kann eine von einer x-beliebigen Form von allgemein üblichen Computerprozessoren sein, die in einer industriellen Herstellung zum Steuern verschiedener Kammern und Hilfsprozessoren eingesetzt werden können. Die CPU 282 kann jeglichen geeigneten Speicher 286 benutzen, wie z. B. Speicher mit wahlfreiem Zugriff, Festwertspeicher, Diskettenlaufwerke, Festplatten oder jegliche andere Formen digitaler Speicherung, lokal oder dezentral. Verschiedene Hilfselektroniken können an die CPU 282 angeschlossen werden, um die Kammer 200 zu unterstützen. Die Steuereinheit 280 kann an eine andere Steuereinheit, die benachbart zu einzelnen Kammerkomponenten angeordnet ist, angeschlossen sein, wie z. B. die programmierbaren Steuerungen 248A, 248B der Ventile 242A, 242B. Bidirektionale Kommunikation zwischen der Steuereinheit 280 und verschiedenen anderen Komponenten der Kammer 200 werden durch zahlreiche Signalkabel bedient, die gemeinsam als Signalbusse 288 bezeichnet werden, von denen einige in 1 dargestellt sind. Zusätzlich zum Regeln von Prozess- und Spülgasen von Gasquellen 238, 239, 240 und von programmierbaren Steuerungen 248A, 248B der Ventile 242A, 242B kann die Steuereinheit 280 konfiguriert werden, um für die automatische Steuerung anderer Aktivitäten zuständig zu sein, die in der Waferbearbeitung Anwendung finden, wie z. B Wafertransport, Temperaturregelung, Kammerevakuierung, neben anderen Aktivitäten von denen einige an anderer Stelle hier beschrieben sind.
  • Die Bearbeitungskammer 200 und die Gaszuführvorrichtung 230, die oben beschrieben werden, können vorteilhafterweise eingesetzt werden, um zyklische Abscheidung von Elementen auszuführen, die umfassen aber nicht beschränkt sind auf Tantal, Titan, Wolfram und Kupfer, oder, um zyklische Abscheidung von Filmen von Verbindungen oder Legierungen/Mischungen, die umfassen aber nicht beschränkt sind auf Tantalnitrid, Tantalsiliziumnitrid, Titannitrid, Titansiliziumnitrid, Wolframnitrid, Wolframsiliziumnitrid und Kupfer/Aluminium auf einer Substratoberfläche. Die Bearbeitungskammer 200 und die Gaszuführvorrichtung 230, wie oben beschrieben, können auch eingesetzt werden, um vorteilhafterweise chemische Gasphasenabscheidung von verschiedenen Materialien auf einer Substratoberfläche durchzuführen.
  • Eine „Substratoberfläche", wie hier verwendet, bezieht sich auf jegliche Substratoberfläche, auf der Filmbearbeitung durchgeführt wird. Z. B. kann eine Substratoberfläche Silizium, Siliziumoxid, dotiertes Silizium, Germanium, Galliumarsenid, Glas, Saphir und jegliche andere Materialien wie z. B. Metalle, Metallnitride, Metalllegierungen und andere leitende Materialien, in Abhängigkeit von der Anwendung umfassen. Eine Substratoberfläche kann auch nichtleitende Materialien umfassen, wie z. B. Siliziumdioxid und kohlenstoffdotierte Siliziumoxide.
  • „Zyklische Abscheidung", wie hier verwendet bezieht sich auf die sequenzielle Einführung von zwei oder mehreren reaktiven Verbindungen, um eine molekulare bzw. monomolekulare Materialschicht auf einer Substratoberfläche abzuscheiden. Die zwei oder mehreren reaktiven Verbindungen werden wahlweise in eine Reaktionszone einer Bearbeitungskammer eingeführt. Jede reaktive Verbindung ist durch ein Zeitintervall getrennt, um es jeder Verbindung zu ermöglichen auf der Substratoberfläche anzuhaften und/oder zu reagieren. In einem Aspekt wird ein erstes Zwischenprodukt oder eine Verbindung A in die Reaktionszone gepulst, gefolgt von einem ersten Zeitintervall Als nächstes, wird ein zweites Zwischenprodukt oder eine Verbindung B in die Reaktionszone gepulst, gefolgt von einem zweiten Intervall. Wenn ein ternäres Material, wie z. 8. Titansiliziumnitrid gewünscht wird, wird eine dritte Verbindung (C) in die Reaktionszone dosiert/gepulst, gefolgt von einem dritten Zeitintervall. Während jedes Zeitintervalls wird ein inertes Gas, wie z. B. Argon, in die Bearbeitungskammer eingeführt, um die Reaktionszone zu reinigen oder andernfalls jegliche verbliebenen reaktiven Verbindungen aus der Reaktionszone zu entfernen. Wahlweise kann das Spülgas kontinuierlich während des ganzen Abscheidungsprozesses strömen, so dass während des Zeitintervalls zwischen Pulsen reaktiver Verbindungen nur das Spülgas strömt. Die reaktiven Verbindungen werden wahlweise gepulst, bis sich ein gewünschter Film oder eine Filmstärke auf der Substratoberfläche ausgebildet hat.
  • Ein „Puls" oder eine „Dosis", wie hier verwendet, bezieht sich auf eine Menge einer einzelnen Verbindung, die intermittierend oder diskontinuierlich in eine Reaktionszone einer Bearbeitungskammer eingeführt wird. Die Menge einer einzelnen Verbindung innerhalb jedes Pulses kann im Zeitablauf in Abhängigkeit von der Pulsdauer variieren. Die Dauer jedes Pulses ist in Abhängigkeit von einer Anzahl Faktoren variabel, wie z. B. Volumenkapazität der verwendeten Bearbeitungskammer, daran gekoppeltes Vakuumsystem, und der Flüchtigkeit/Reaktivität der einzelnen Verbindung selbst.
  • Die Dauer jedes Pulses/jeder Dosis ist variabel und kann geregelt werden, um z. B. die Volumenkapazität der Bearbeitungskammer, ebenso wie das Leistungsvermögen des daran gekoppelten Vakuumsystems in Einklang zu bringen. Zusätzlich kann die Dosierzeit einer Verbindung variieren entsprechend der Durchflussrate der Verbindung, des Drucks der Verbindung, der Verbindungstemperatur, des Dosierventiltyps, des Typs des verwendeten Steuersystems ebenso wie der Fähigkeit der Verbindung auf der Substratoberfläche zu adsorbieren. Dosierintervalle können auch basierend auf dem Typ der zu formenden Schicht und der Geometrie der Baugruppe variieren. Im allgemeinen sollte ein Dosierintervall lang genug sein, um ein ausreichendes Volumen einer Verbindung bereitzustellen, die auf der im wesentlichen gesamten Substratoberfläche adsorbiert/chemosorbiert werden soll, und darauf eine Schicht der gewünschten Dicke der Verbindung bilden soll.
  • Der Begriff „Verbindung" wird verwendet für ein oder mehrere Zwischenprodukte, Oxidationsmittel, Reduktionsmittel, Reaktionsmittel und Katalysatoren oder Kombinationen davon. Der Begriff „Verbindung" wird ebenfalls verwendet um eine Gruppierung von Verbindungen zu umfassen, wie wenn zwei oder mehr Verbindungen gleichzeitig in ein Bearbeitungssystem eingeführt werden. Z. B. kann eine Verbindungsgruppierung einen oder mehrere Katalysatoren und ein oder mehrere Zwischenprodukte umfassen. Der Begriff „Verbindung" wird weiter verwendet für ein oder mehrere Zwischenprodukte, Oxidationsmittel, Reduktionsmittel, Reaktionsmittel und Katalysatoren oder Kombinationen daraus in einem aktivierten oder anderweitig energetisierten Zustand, wie z. B. bei der Dissoziation, oder Ionisation.
  • Es wird angenommen, dass die Oberflächenanziehung, die benutzt werden, um einen molekularen Film von Reaktanten auf einer Substratoberfläche zu physisorbieren, adsorbieren, absorbieren oder chemisorbieren, dahingehend selbst begrenzend sind, dass nur eine Molekularschicht während eines gegebenen Pulses auf der Substratoberfläche abgeschiedenen werden kann, weil die Substratoberfläche eine endliche Anzahl verfügbarer Stellen für die Reaktanten hat. Wenn die endliche Anzahl Stellen durch die Reaktanten belegt ist, wird eine weitere Abscheidung der Reaktanten blockiert. Der Zyklus kann bis zu einer gewünschten Stärke der Tantalnitridschicht wiederholt werden.
  • [0103] Zur Klarheit und Erleichterung wird das Verfahren weiter beschrieben, wie es sich auf die Abscheidung einer Tantalnitridspenschicht (TaN) unter Verwendung einer zyklischen Abscheidungstechnik bezieht. Pulse einer Tantal enthaltenden Verbindung, wie z. B. Pentadimethylaminotantal (PDMAT; Ta(NMe2) 5 können mittels Gasquelle 238 durch Ventil 242A eingeführt werden. Die Tantal enthaltende Verbindung kann mit Hilfe eines Trägergases bereitgestellt werden, das Helium (He), Argon (Ar), Stickstoff (N2), Wasserstoff (H2) und Kombinationen davon umfasst aber nicht darauf beschränkt ist. Pulse einer Stickstoff enthaltenden Verbindung wie z. B. Ammoniak können mittels Gasquelle 239 durch Ventil 242A eingeführt werden. Ein Trägergas kann ebenfalls eingesetzt werden, um das Zuführen der Stickstoff enthaltenden Verbindung zu unterstützen. Ein Spülgas, wie z. B. Argon kann mittels Gasquelle 240 durch Ventil 242A und/oder Ventil 242B eingeführt werden. In einem Aspekt kann der Strom des Spülgases kontinuierlich mittels Gasquelle 240 durch Ventile 242A, 242B bereitgestellt werden, um als Spülgas zwischen den Pulsen der Tantal enthaltenden Verbindung und der Stickstoff enthaltenden Verbindung zu wirken und als Trägergas während der Pulse der Tantal enthaltenden Verbindung und der Stickstoff enthaltenden Verbindung zu wirken. In einem Aspekt liefert das Zuführen eines Spülgases durch zwei Gasleitungen 250A, 250B eine vollständigere Reinigung der Reaktionszone 264, als wenn ein Spülgas durch eine einzelne Gasleitung 250A, 250B bereitgestellt wird. In einem Aspekt kann ein Reaktantgas durch eine einzelne Gasleitung 250A, 250B zugeführt werden, da, aufgrund des selbstbegrenzenden Adsorptionsprozesses bzw. Absorptionsprozesses der Recktanten auf der Oberfläche von Substratstrukturen, die Gleichmäßigkeit der Strömung eines Reaktantgases, wie z. B. einer Tantal enthaltenden Verbindung oder einer Stickstoff enthaltenden Verbindung, nicht so entscheidend ist, wie die Gleichmäßigkeit des Spülgases. In anderen Ausführungsformen kann ein Spülgas in Pulsen bereitgestellt werden. In anderen Ausführungsformen kann ein Spülgas in mehr oder weniger als zwei Gasströmen bereitgestellt werden. In anderen Ausführungsformen kann ein Tantal enthaltendes Gas in mehr als einem einzelnen Gasstrom (d. h. zwei oder mehr Gasströme) bereitgestellt werden. In anderen Ausführungsformen kann ein Stickstoff enthaltendes Gas in mehr als einem Gasstrom (d. h. zwei oder mehr Gasströme) bereitgestellt werden.
  • Andere Beispiele Tantal enthaltender Verbindungen umfassen, ohne darauf beschränkt zu sein, andere organometallische Zwischenprodukte oder deren Derivate, wie z. B. Pentaethylmethylaminotantal (PEMAT; Ta[N(C2H5CH3)2];), Pentadiethylaminotantal (PDEAT; Ta(NEt2)5) und alles mögliche an PEMAT-, PDEAT-, oder PDMAT-Derivaten. Andere Tantal enthaltende Verbindungen umfassen ohne Einschränkung TBTDET (Ta(NEt2)3NC4H9 oder C16H39N4Ta) und Tantalhalogenide, z. B. TaX5, wobei X für Fluor (F), Brom (Br) oder Chlor (Cl) und/oder deren Derivate steht. Andere Stickstoff enthaltende Verbindungen können eingesetzt werden, die umfassen aber nicht beschränkt sind auf NxHy, wobei x und y ganze Zahlen sind (z. B. Hydrazin (N2H4)), Dimethylhydrazin ((CH3)2N2H2), t-Butylhydrazin (C4H9N2H3) Phenylhydrazin (C6H5N2H3), andere Hydrazinderivate, eine Stickstoffplasmaquelle (z.B. N2, N2/H2, oder ein N2H4-Plasma), 2,2'-Azoisobutan ((CH3)6C2N2), Ethylazid (C2H5N3), und andere passende Gase. Andere Beispiele von Spülgasen umfassen, ohne darauf beschränkt zu sein, Helium (He), Stickstoff (N2), Wasserstoff (H2), andere Gase und deren Kombinationen.
  • Die Bildung der Tantalnitridschicht kann beschrieben werden mit dem Start der Adsorption einer Molekularschicht einer Tantal enthaltenden Verbindung auf dem Substrat, gefolgt von einer Molekularschicht einer Stickstoff enthaltenden Verbindung. Alternativ kann die Bildung der Tantalnitridschicht mit der Adsorption einer Molekularschicht einer Stickstoff enthaltenden Verbindung auf dem Substrat gefolgt von einer Molekularschicht der Tantal enthaltenden Verbindung beginnen. Des weiteren kann, in anderen Ausführungsformen, eine Pumpevakuierung lediglich zwischen Pulsen von Reaktantgas eingesetzt werden, um Mischen der Reaktantgase zu verhindern.
  • Die Zeitspanne für jeden Puls der Tantal enthaltenden Verbindung, die Zeitspanne für jeden Puls der Stickstoff enthaltenden Verbindung und die Spanne des Spülgases zwischen Reaktantpulsen sind variabel und hängen ab von der Volumenkapazität einer verwendeten Abscheidekammer ebenso wie von einem daran angeschlossenen Vakuumsystem. Z. B., (1) ein niedrigerer Gasdruck in der Kammer wird ein längeres Pulsintervall erfordern; (2) eine niedrigere Gasdurchflussgeschwindigkeit wird mehr Zeit zum Anstieg und Stabilisieren des Kammerdrucks erfordern, was ein längeres Pulsintervall erfordert; und (3) eine großvolumige Kammer braucht länger zum Auffüllen, länger für das Stabilisieren des Kammerdrucks, benötigt demzufolge ein längeres Pulsintervall. In gleicher Weise ist die Zeit zwischen jedem Puls ebenso variabel und hängt von der Volumenkapazität der Prozesskammer ebenso ab, wie vom daran angeschlossenen Vakuumsystem. Im allgemeinen sollte die Intervalldauer eines Pulses der Tantal enthaltenden Verbindung oder der Stickstoff enthaltenden Verbindung lange genug für die Adsorption der Verbindung sein. In einem Aspekt kann ein Puls einer Tantal enthaltenden Verbindung noch in der Kammer weilen, während ein Puls einer Stickstoff enthaltenden Verbindung einströmt. Im allgemeinen sollte die Dauer der Spülgas- und/oder Pumpevakuierung lange genug sein, um die Pulse der Tantal enthaltenden Verbindung und der Stickstoff enthaltenden Verbindung am sich Vermischen in der Reaktionszone zu hindern.
  • Im allgemeinen ist ein Pulsintervall von etwa 1,0 Sekunden oder weniger für eine Tantal enthaltende Verbindung und ein Pulsintervall von etwa 1,0 Sekunden oder weniger für eine Stickstoff enthaltende Verbindung typischerweise ausreichend, um sich abwechselnde Molekularschichten auf einer Substratsstruktur zu adsorbieren bzw. absorbieren. Ein Intervall von etwa 1,0 Sekunden oder weniger zwischen Pulsen der Tantal enthaltende Verbindung und der Stickstoff enthaltenden Verbindung ist typischerweise ausreichend für das Spülgas, egal ob ein kontinuierlicher Spülgasstrom oder ein Puls eines Spülgases, um die Pulse der Tantal enthaltenden Verbindung und der Stickstoff enthaltenden Verbindung am sich Vermischen in der Reaktionszone zu hindern. Natürlich kann ein längeres Pulsintervall der Recktanten genutzt werden, um die Adsorption bzw. Absorption der Tantal enthaltenden Verbindung und der Stickstoff enthaltenden Verbindung sicherzustellen, und ein längeres Intervall zwischen Pulsen der Reaktanten kann genutzt werden, um das Entfernen der Reaktionsnebenprodukte sicherzustellen.
  • Während der Abscheidung kann das Substrat 210 etwas unterhalb einer Temperatur für thermischen Zerfall einer bestimmten Tantal enthaltenden Verbindung gehalten werden. Ein beispielhafter Heizungstemperaturbereich, der mit hier genannten Tantal enthaltenden Verbindungen Anwendung findet, liegt ungefähr zwischen etwa 20 °C und etwa 500 °C bei einem Kammerdruck von weniger als etwa 100 Torr, vorzugsweise weniger als 50 Torr. Wenn das Tantal enthaltende Gas PDMAT ist, liegt die Heizungstemperatur vorzugsweise zwischen etwa 100 °C und etwa 300 °C, besonders bevorzugt zwischen etwa 175 °C und 250 °C und der Kammerdruck liegt zwischen etwa 1,0 und etwa 5,0 Torr. In anderen Ausführungsformen versteht es sich von selbst, dass andere Temperaturen und Drücke verwendet werden können. Z. B. kann eine Temperatur oberhalb einer Temperatur für thermischen Zerfall Anwendung finden. Jedoch sollte die Temperatur so gewählt werden, dass mehr als 50 Prozent der Abscheidungsaktivität durch Adsorptionsverfahren bzw. Absorptionsverfahren erfolgt. In einem anderen Beispiel kann eine Temperatur oberhalb einer Temperatur für thermischen Zerfall angewendet werden, bei der die Abscheidungsmenge während jeder Zwischenproduktabscheidung begrenzt ist, sodass die Wachstumsrate ähnlich der einer Abscheidung atomarer Schichten ist.
  • Ein beispielhaftes Verfahren zu Abscheidung einer Tantalnitridschicht durch zyklische Abscheidung umfasst das Bereitstellen von Pentadimethylaminotantal- (PDMAT) Pulsen aus Gasquelle 238 mit einer Durchflussgeschwindigkeit zwischen etwa 100 sccm und etwa 1000 sccm, vorzugsweise zwischen etwa 100 sccm und etwa 400 sccm, durch Ventil 242A während eines Pulsintervalls von etwa 0,5 Sekunden oder weniger, etwa 0,1 Sekunden oder weniger oder etwa 0,05 Sekunden oder weniger, wegen des kleineren Volumens der Reaktionszone 264. Ammoniakpulse können von der Gasquelle 239 bereitgestellt werden mit einer Durchflussgeschwindigkeit zwischen etwa 100 sccm und etwa 1000 sccm, vorzugsweise zwischen etwa 200 sccm und etwa 600 sccm durch Ventil 242B während eines Pulsintervalls von etwa 0,5 Sekunden oder weniger, etwa 0,1 Sekunden oder weniger oder etwa 0,05 Sekunden oder weniger, wegen eines kleineren Volumens der Reaktionszone 264. Ein Argonspülgas mit einer Durchflussgeschwindigkeit zwischen etwa ein 100 sccm und etwa 1000 sccm. vorzugsweise zwischen etwa 100 sccm und etwa 400 sccm kann kontinuierlich von der Gasquelle 240 durch die Ventile 242A, 242B bereitgestellt werden. Die Intervalle zwischen Pulsen der Tantal enthaltenden Verbindung und der Stickstoff enthaltenden Verbindung können etwa 0,5 Sekunden oder weniger, etwa 0,1 Sekunden oder weniger oder etwa 0,07 Sekunden oder weniger sein, wegen eines kleineren Volumens der Reaktionszone 264. Es wird angenommen, dass ein Pulsintervall von etwa 0,016 Sekunden oder mehr benötigt wird, um die Reaktionszone 264 mit einem Reaktantgas und/oder einem Spülgas zu füllen. Die Heizungstemperatur wird vorzugsweise zwischen etwa ein 100 °C und etwa 300 °C gehalten, bei einem Kammerdruck zwischen etwa 1,0 und etwa 5,0 Ton. Dieses Verfahren liefert eine Tantalnitridschicht mit einer Stärke zwischen etwa 0,5Å und etwa 1,0Å pro Zyklus. Die wechselnde Reihenfolge kann wiederholt werden bis eine gewünschte Stärke erreicht ist.
  • In einer Ausführungsform wird die Schicht, wie z. B. eine Tantalnitridschicht auf eine Seitenwanddeckvermögen (Gesamtaufbauhöhe) von etwa 50Å oder weniger abgeschieden. In einer anderen Ausführungsform wird die Schicht auf eine Seitenwanddeckvermögen von etwa 20Å oder weniger abgeschieden. In noch einer anderen Ausführungsform wird die Schicht auf eine Seitenwanddeckvermögen von etwa 10Å oder weniger abgeschieden. Eine Tantalnitridschicht mit einer Stärke von etwa 10Å oder weniger wird im Anwendungsfall als ausreichend dicke Sperrschicht angesehen, um Kupferdiffusion zu verhindern. In einem Aspekt kann eine dünne Sperrschicht benutzt werden, um das Ausfüllen von Features im Submikrometerbereich (z. B. weniger als 0,15 μm) und kleiner, mit hohen Aspektverhältnissen (z. B. größer als 5:1) zu begünstigen. Natürlich kann eine Schicht mit einer Seitenwanddeckvermögen von mehr als 50Å benutzt werden.
  • Ausführungsformen mit zyklischer Abscheidung wurden oben als Adsorption bzw. Absorption einer molekularen Reaktantschicht auf einem Substrat beschrieben. Die vorliegende Erfindung umfasst auch Ausführungsformen, in denen die Reaktanten zu mehr oder weniger als einer Molekularschicht abgeschieden werden. Die vorliegende Erfindung umfasst auch Ausführungsformen, in denen die Reaktanten nicht auf eine sich selbst begrenzende Weise abgeschieden werden. Die vorliegende Erfindung umfasst auch Ausführungsformen in denen die Abscheidung hauptsächlich durch ein chemisches Gasphasenabscheideverfahren, in dem die Recktanten sequenziell oder gleichzeitig zugeführt werden, stattfindet.
  • Ausführungsformen zyklischer Abscheidung sind oben als Abscheidung einer binären Tantalnitridverbindung unter Verwendung von Pulsen zweier Recktanten dargestellt worden. Bei der Abscheidung anderer Elemente oder Verbindungen können auch Pulse von zwei oder mehr Recktanten eingesetzt werden.
  • Während das Vorangegangen auf die bevorzugte Ausführunsgform der vorliegenden Erfindung gerichtet ist, können weitere Ausführungsformen der Erfindung ausgearbeitet werden ohne von deren grundlegenden Umfang abzuweichen, und deren Umfang wird von den nachfolgenden Ansprüchen bestimmt.

Claims (49)

  1. Eine Kammer umfassend: eine Substrathaltevorrichtung mit einer Substrataufnahmefläche; einen Kammerdeckel, umfassend einen Durchgang an einem zentralen Bereich des Kammerdeckels, und eine Unterseite, die sich vom Durchgang zu einem Randbereich des Kammerdeckels erstreckt, wobei die Unterseite so gestaltet und bemessen ist, dass sie die Substrataufnahmefläche im Wesentlichen bedeckt; ein oder mehrere Ventile gekoppelt mit dem Durchgang; und eine oder mehrere Gasquellen gekoppelt mit jedem Ventil.
  2. Die Kammer gemäß Anspruch 1, wobei der Durchgang an einem zentralen Bereich ein sich allmählich erweiternder Durchgang, der sich von einem zentralen Bereich des Kammerdeckels nach unten erstreckt, ist; wobei das eine oder mehrere Ventile gekoppelt mit dem Durchgang ein oder mehrere Ventile in fluider Verbindung mit dem sich allmählich erweiternden Durchgang ist; und wobei die eine oder mehrere Gasquellen gekoppelt mit jedem Ventil eine oder mehrere Gasquellen in fluider Verbindung mit jedem Ventil ist.
  3. Die Kammer gemäß einem der Ansprüche 1 oder 2, weiter umfassend eine oder mehrere Gasleitungen, die das eine oder mehrere Ventile und den Durchgang fluid verbinden und senkrecht zu einer Längsachse des Durchgangs angeordnet sind.
  4. Die Kammer gemäß Anspruch 3, wobei die eine oder mehrere Gasleitungen in einem Winkel zur Längsachse des Durchgangs angeordnet sind.
  5. Die Kammer gemäß einem der Ansprüche 1 oder 2, wobei die Unterseite des Kammerdeckels eine konisch zulaufende, sich vom zentralen Bereich des Kammerdeckels erstreckende Fläche umfasst, wobei die konisch zulaufende Fläche eine Oberfläche umfasst, die aus der Gruppe bestehend aus einer geraden Oberfläche, einer konkaven Oberfläche, einer konvexen Oberfläche, oder Kombinationen daraus, ausgewählt ist.
  6. Die Kammer gemäß einem der Ansprüche 1 oder 2, wobei der Durchgang als ein Kegelstumpf gestaltet ist.
  7. Die Kammer gemäß einem der Ansprüche I oder 2, wobei der Durchgang einen oberen Bereich und einen unteren Bereich umfasst, wobei der obere Bereich einen kleineren Innendurchmesser als der untere Bereich hat.
  8. Die Kammer gemäß einem der Ansprüche 1 oder 2, wobei eine gemeinsame Spülgasquelle an jedes Ventil gekoppelt ist und wobei getrennte Reaktant-Gasquellen an jedes Ventil gekoppelt sind.
  9. Die Kammer gemäß einem der Ansprüche 1 oder 2, weiter umfassend eine Drossel, die auf dem Kammerdeckel benachbart zu einem Umfang der Unterseite angeordnet ist.
  10. Die Kammer gemäß einem der Ansprüche 1 oder 2, wobei die Querschnittsfläche der Reaktionszone im wesentlichen gleichförmig vom Durchgang zum Rand der Reaktionszone ist.
  11. Eine Kammer umfassend: eine Substrathaltevorrichtung mit einer Substrataufnahmefläche; einen Kammerdeckel, umfassend einen sich erweiternden Kanal, der sich von einem zentralen Bereich des Kammerdeckels erstreckt, und umfassend eine Unterseite, die sich von dem sich erweiternden Kanal zu einem Umfangsbereich des Kammerdeckels erstreckt; eine oder mehrere, um einen oberen Bereich des sich erweiternden Kanals angeordnete Gasleitungen, wobei die eine oder mehrere Gasleitungen in einem Winkel zu einer Mitte des sich erweiternden Kanals angeordnet sind; ein oder mehrere an den sich erweiternden Kanal gekoppelte Ventile; und eine auf dem Kammerdeckel, zu einem Umfang der Unterseite benachbart angeordnete Drossel.
  12. Die Kammer gemäß Anspruch 11, wobei die Unterseite eine konische Unterseite ist.
  13. Die Kammer gemäß einem der Ansprüche 11 oder 12, wobei die eine oder mehrere Gasleitungen senkrecht zu einer Längsachse des sich erweiternden Kanals angeordnet sind.
  14. Die Kammer gemäß einem der Ansprüche 11 oder 12, wobei die eine oder mehrere Gasleitungen in einem Winkel zu einer Längsachse des sich erweiternden Kanals angeordnet sind.
  15. Die Kammer gemäß einem der Ansprüche 11 oder 12, wobei die Querschnittsfläche der Redaktionszone im Wesentlichen gleichförmig vom Durchgang zum Rand der Reaktionszone ist.
  16. Die Kammer gemäß einem der Ansprüche 11 oder 12, wobei das eine oder mehrere Ventile auf dem Kammerdeckel befestigt sind.
  17. Die Kammer gemäß einem der Ansprüche 11 oder 12, wobei das eine oder mehrere Ventile unterhalb des Kammeraufbaus befestigt sind.
  18. Die Kammer gemäß einem der Ansprüche 11 oder 12, weiter umfassend einen Behälter, der darauf abgestimmt ist, eine Verbindung, die Tantal enthält, aufzunehmen, wobei die Temperatur des Behälters zwischen etwa 60° Celsius und etwa 70° Celsius liegt.
  19. Eine Kammer zum Bearbeiten von Substraten, umfassend: eine Substrathaltevorrichtung mit einer Substrataufnahmefläche; einen Kammerdeckel, umfassend: einen sich erweiternden Kanal an einem zentralen Bereich des Kammerdeckels; eine konische Unterseite, die sich von dem sich erweiternden Kanal zu einem Umfangsbereich des Kammerdeckels erstreckt, wobei die Unterseite so gestaltet und bemessen ist, dass sie die Substrataufnahmefläche im Wesentlichen bedeckt; eine erste Leitung, die mit einem ersten Gaseinlass in dem sich erweiternden Kanal gekoppelt ist; und eine zweite Leitung, die mit einem zweiten Gaseinlass in dem sich erweiternden Kanal gekoppelt ist, wobei die erste Leitung und die zweite Leitung so angeordnet sind, dass ein Gasfluss in einer umlaufenden Richtung zur Verfügung gestellt wird.
  20. Eine Kammer zum Bearbeiten von Substraten, umfassend: eine Substrathaltevorrichtung mit einer Substrataufnahmefläche: einen Kammerdeckel, umfassend: einen sich erweiternden Kanal an einem zentralen Bereich des Kammerdeckels: eine erste Leitung, die mit einem ersten Gaseinlass in dem sich erweiternden Kanal gekoppelt ist; und eine zweite Leitung, die mit einem zweiten Gaseinlass in dem sich erweiternden Kanal gekoppelt ist, wobei die erste Leitung und die zweite Leitung so angeordnet sind, dass ein Gasfluss in einer umlaufenden Richtung zur Verfügung gestellt wird; und einem ersten Ventil, das mit der ersten Leitung gekoppelt ist, und einem zweiten Ventil, das mit der zweiten Leitung gekoppelt ist, wobei das erste Ventil und das zweite Ventil einen Atomarschicht-Abscheideprozess mit einer Pulszeit von ungefähr 1 s oder weniger ermöglichen.
  21. Die Kammer gemäß Anspruch 19, wobei ein erstes Ventil mit der ersten Leitung gekoppelt ist und ein zweites Ventil mit der zweiten Leitung gekoppelt ist.
  22. Die Kammer gemäß einem der Ansprüche 20 oder 21, wobei eine erste Gasquelle in fluider Verbindung mit dem ersten Ventil ist und eine zweite Gasquelle in fluider Verbindung mit dem zweiten Ventil ist.
  23. Die Kammer gemäß einem der Ansprüche 20 oder 22, wobei das erste und zweite Ventil einen Atomarschicht-Abscheideprozess mit einer Pulszeit von ungefähr 1 s oder weniger ermöglichen.
  24. Die Kammer gemäß einem der Ansprüche 20 oder 23, wobei die Pulszeit in dem Bereich von ungefähr 0,05 s bis ungefähr 0,5 s ist.
  25. Die Kammer gemäß einem der Ansprüche 19 oder 20, wobei die erste Leitung und die zweite Leitung unabhängig positioniert sind, um Gas an eine innere Fläche des sich erweiternden Kanals zu lenken.
  26. Die Kammer gemäß Anspruch 25, wobei der Gasfluss die umlaufende Richtung ausgewählt aus der Gruppe von Wirbel, Helix, Spirale und Ableitungen davon hat.
  27. Die Kammer gemäß einem der Ansprüche 19 oder 20, wobei die erste Leitung und die zweite Leitung unabhängig in einem Winkel zur einer Mittelachse des sich erweiternden Kanals angeordnet sind.
  28. Die Kammer gemäß Anspruch 27, wobei der Winkel größer als 0° ist.
  29. Die Kammer gemäß Anspruch 28, wobei der Gasfluss die umlaufende Richtung ausgewählt aus der Gruppe von Wirbel, Helix, Spirale und Ableitungen davon hat.
  30. Die Kammer gemäß einem der Ansprüche 19 oder 20, wobei eine Reaktionszone mit einem Volumen von ungefähr 3000 cm3 oder weniger zwischen dem Kammerdeckel und der Substrataufnahmefläche definiert ist.
  31. Die Kammer gemäß Anspruch 30, wobei das Volumen ungefähr 100 cm3 oder weniger ist.
  32. Die Kammer gemäß Anspruch 31, wobei das Volumen ungefähr 600 cm3 oder weniger ist.
  33. Die Kammer gemäß Anspruch 30, wobei das Volumen durch laterale Positionierung der Substrathaltevorrichtung angepasst wird.
  34. Die Kammer gemäß einem der Ansprüche 19 oder 20, wobei der Kammerdeckel ein Heizelement beinhaltet.
  35. Die Kammer gemäß einem der Ansprüche 19 bis 34, wobei die Substrathaltevorrichtung ein zweites Heizelement beinhaltet.
  36. Eine Kammer zum Bearbeiten von Substraten, umfassend: eine Substrathaltevorrichtung mit einer Substrataufnahmefläche; einen Kammerdeckel, umfassend: einen sich erweiternden Kanal an einem zentralen Bereich des Kammerdeckels; und eine konische Unterseite, die sich von dem erweiternden Kanal zu einem Umfangsbereich des Kammerdeckels erstreckt; wobei die konische Unterseite so gestaltet und bemessen ist, dass sie die Substrataufnahmefläche im Wesentlichen bedeckt; eine Reaktionszone mit einem Volumen von ungefähr 3000 cm3 oder weniger, bevorzugt von ungefähr 100 cm3 oder weniger, zwischen dem Kammerdeckel und der Substrataufnahmefläche definiert ist; und ein Gaszuführungssystem umfassend: zumindest eine Gasleitung, die mit dem sich erweiternden Kanal gekoppelt ist: zumindest ein Ventil, das mit der zumindest einen Gasleitung gekoppelt ist; und zumindest eine Gasquelle, die in fluider Verbindung mit dem zumindest einen Ventil ist.
  37. Die Kammer gemäß einem der Ansprüche 36, wobei das Volumen ungefähr 1000 cm3 oder weniger, besonders bevorzugt ungefähr 600 cm3 oder weniger, besonders bevorzugt ungefähr 500 cm3 oder weniger.
  38. Die Kammer gemäß Anspruch 37, wobei das Volumen ungefähr 200 cm3 oder weniger ist.
  39. Die Kammer gemäß einem der Ansprüche 36 bis 38, wobei das Volumen durch laterale Positionierung der Substrathaltevorrichtung angepasst wird.
  40. Die Kammer gemäß einem der Ansprüche 36 bis 39, wobei der Kammerdeckel ein Heizelement beinhaltet.
  41. Die Kammer gemäß einem der Ansprüche 36 bis 40, wobei die Substrathaltevorrichtung ein zweites Heizelement beinhaltet.
  42. Die Kammer gemäß einem der Ansprüche 36 bis 41, wobei die zumindest eine Gasleitung in einem Winkel zu einer Mittelachse des sich erweiternden Kanals angeordnet ist.
  43. Die Kammer gemäß Anspruch 42, wobei der Winkel größer als 0° ist.
  44. Die Kammer gemäß Anspruch 43, wobei der Winkel einen Gasfluss mit einer umlaufenden Richtung ausgewählt aus der Gruppe von Wirbel, Helix, Spirale und Ableitungen davon ermöglicht.
  45. Die Kammer gemäß einem der Ansprüche 36 bis 44, wobei die zumindest eine Gasleitung positioniert ist, um ein Gasfluss in einer umlaufenden Richtung zur Verfügung zu stellen.
  46. Die Kammer gemäß Anspruch 45, wobei der Gasfluss die umlaufende Richtung ausgewählt aus der Gruppe von Wirbel, Helix, Spirale und Ableitungen davon hat.
  47. Die Kammer gemäß Anspruch 45, wobei die zumindest eine Gasleitung unabhängig positioniert ist, um Gas an eine innere Fläche des sich erweiternden Kanals zu lenken.
  48. Die Kammer gemäß einem der Ansprüche 36 bis 47, wobei das zumindest eine Ventil einen Atomarschicht-Abscheideprozess mit einer Pulszeit von ungefähr 1 s oder weniger ermöglichen.
  49. Die Kammer gemäß Anspruch 48. wobei die Pulszeit im Bereich von ungefähr 0.04 s bis ungefähr 0.5 s ist.
DE20221269U 2001-10-26 2002-10-25 Gaszuführvorrichtung zur Abscheidung atomarer Schichten Expired - Lifetime DE20221269U1 (de)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US397230 1999-09-16
US34608601P 2001-10-26 2001-10-26
US346086 2001-10-26
US32284 2001-12-21
US10/032,284 US6916398B2 (en) 2001-10-26 2001-12-21 Gas delivery apparatus and method for atomic layer deposition
US39723002P 2002-07-19 2002-07-19
PCT/US2002/034553 WO2003035927A2 (en) 2001-10-26 2002-10-25 Gas delivery apparatus for atomic layer deposition

Publications (1)

Publication Number Publication Date
DE20221269U1 true DE20221269U1 (de) 2005-12-08

Family

ID=27364083

Family Applications (2)

Application Number Title Priority Date Filing Date
DE60203413T Expired - Lifetime DE60203413T2 (de) 2001-10-26 2002-10-25 Gaszuführvorrichtung zur abscheidung von atomaren schichten
DE20221269U Expired - Lifetime DE20221269U1 (de) 2001-10-26 2002-10-25 Gaszuführvorrichtung zur Abscheidung atomarer Schichten

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE60203413T Expired - Lifetime DE60203413T2 (de) 2001-10-26 2002-10-25 Gaszuführvorrichtung zur abscheidung von atomaren schichten

Country Status (5)

Country Link
EP (1) EP1444380B1 (de)
JP (2) JP4615859B2 (de)
DE (2) DE60203413T2 (de)
TW (1) TW563176B (de)
WO (1) WO2003035927A2 (de)

Families Citing this family (374)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6861094B2 (en) 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
TWI326104B (en) * 2003-05-27 2010-06-11 Applied Materials Inc Method and apparatus for generating a precursor for a semiconductor processing system
JP5342110B2 (ja) * 2003-05-27 2013-11-13 アプライド マテリアルズ インコーポレイテッド 前駆物質を含むソースキャニスタ及びこれを用いて特徴部を充填する方法
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006022354A (ja) * 2004-07-06 2006-01-26 Tokyo Electron Ltd 成膜方法
TWI261313B (en) 2005-07-29 2006-09-01 Ind Tech Res Inst A method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
TWI498988B (zh) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2545197B1 (de) * 2010-03-12 2020-12-16 Applied Materials, Inc. Kammer zur ablagerung atomarer schichten mit mehrfachinjektion
JP5410348B2 (ja) * 2010-03-26 2014-02-05 株式会社豊田中央研究所 表面処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP5761067B2 (ja) * 2012-02-13 2015-08-12 東京エレクトロン株式会社 ガス供給装置及び熱処理装置
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP5837962B1 (ja) 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP5961297B1 (ja) * 2015-03-26 2016-08-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
RU2673515C2 (ru) * 2017-02-02 2018-11-27 Общество С Ограниченной Ответственностью "Монолюм" Способ подачи газов в реактор для выращивания эпитаксиальных структур на основе нитридов металлов iii группы и устройство для его осуществления
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN108060410B (zh) * 2017-12-15 2023-08-18 浙江晶盛机电股份有限公司 用于平板式pecvd的进气管道保护结构
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US10964533B2 (en) 2018-12-21 2021-03-30 Applied Materials, Inc. ALD process and hardware with improved purge efficiency
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
KR20230066276A (ko) * 2020-09-15 2023-05-15 램 리써치 코포레이션 열 전달 밸브 플렉셔 (flexure) 및 방법들
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPH0487323A (ja) * 1990-07-31 1992-03-19 Mitsubishi Electric Corp Cvd装置
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US6026762A (en) * 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement

Also Published As

Publication number Publication date
JP5371917B2 (ja) 2013-12-18
DE60203413T2 (de) 2006-04-20
EP1444380B1 (de) 2005-03-23
WO2003035927A3 (en) 2003-07-31
TW563176B (en) 2003-11-21
DE60203413D1 (de) 2005-04-28
EP1444380A2 (de) 2004-08-11
JP2011042876A (ja) 2011-03-03
JP2005507030A (ja) 2005-03-10
WO2003035927A2 (en) 2003-05-01
JP4615859B2 (ja) 2011-01-19

Similar Documents

Publication Publication Date Title
DE60203413T2 (de) Gaszuführvorrichtung zur abscheidung von atomaren schichten
DE69926761T2 (de) Cvd-reaktorsystem und verfahren zur cvd-oberflächenbeschichtung
JP6695884B2 (ja) サーマルリッドを有する原子層堆積チャンバ
US10982326B2 (en) Counter-flow multi inject for atomic layer deposition chamber
US7780785B2 (en) Gas delivery apparatus for atomic layer deposition
KR101810532B1 (ko) 다중 인젝트를 이용하는 원자 층 증착 챔버
CN1774525B (zh) 用于原子层淀积的气体输送装置
DE10208450B4 (de) Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
DE60129380T2 (de) Vorrichtung und Verfahren zum Auftragen einer Dünnschicht auf einen Wafer durch Abscheidung von atomaren Schichten
DE102004056170A1 (de) Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
US20070151514A1 (en) Apparatus and method for hybrid chemical processing
KR20170140282A (ko) 깔때기-형상 가스 분산 채널 및 가스 분배 플레이트를 구비한 원자 층 증착 챔버
DE102010000554A1 (de) MOCVD-Reaktor mit einer örtlich verschieden an ein Wärmeableitorgan angekoppelten Deckenplatte
DE102008010041A1 (de) Schichtabscheidevorrichtung und Verfahren zu deren Betrieb
DE212018000277U1 (de) Duschkopf und Prozesskammer, die selbigen enthält
DE112014001586T5 (de) Vorrichtung zur Bearbeitung von zwei oder mehreren Substraten in einem Batch-Prozess
DE10055182A1 (de) CVD-Reaktor mit von einem Gasstrom drehgelagerten und -angetriebenen Substrathalter
WO2002052069A1 (de) Verfahren zum abscheiden insbesondere kristalliner schichten
DE60027935T2 (de) Vorrichtung und Verfahren zur Herstellung einer Wolframnitridschicht
DE20221268U1 (de) Gaszuführvorrichtung zur Abscheidung atomarer Schichten
KR100956189B1 (ko) 원자층 증착용 가스 전달 장치
DE102005056322A1 (de) VPE-Reaktor mit koaxial zueinander angeordneten Quellgasrohren

Legal Events

Date Code Title Description
R207 Utility model specification

Effective date: 20060112

R150 Term of protection extended to 6 years

Effective date: 20060130

R151 Term of protection extended to 8 years

Effective date: 20081118

R158 Lapse of ip right after 8 years

Effective date: 20110502