JP6695884B2 - サーマルリッドを有する原子層堆積チャンバ - Google Patents

サーマルリッドを有する原子層堆積チャンバ Download PDF

Info

Publication number
JP6695884B2
JP6695884B2 JP2017537891A JP2017537891A JP6695884B2 JP 6695884 B2 JP6695884 B2 JP 6695884B2 JP 2017537891 A JP2017537891 A JP 2017537891A JP 2017537891 A JP2017537891 A JP 2017537891A JP 6695884 B2 JP6695884 B2 JP 6695884B2
Authority
JP
Japan
Prior art keywords
gas
apertures
channel
insert
lid assembly
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017537891A
Other languages
English (en)
Other versions
JP2017533599A (ja
Inventor
アンチン ツゥイ
アンチン ツゥイ
ファルク グンガー
ファルク グンガー
ディエン−イェ ウ
ディエン−イェ ウ
ヴィカス ジャングラ
ヴィカス ジャングラ
ムハンマド エム ラシード
ムハンマド エム ラシード
ウェイ ヴィー タン
ウェイ ヴィー タン
イーション ヤン
イーション ヤン
シャオション ユアン
シャオション ユアン
ギョン−ホ ブ
ギョン−ホ ブ
シュリニヴァース ガンディコータ
シュリニヴァース ガンディコータ
ユ チャン
ユ チャン
ウィリアム ダブリュー クアン
ウィリアム ダブリュー クアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017533599A publication Critical patent/JP2017533599A/ja
Application granted granted Critical
Publication of JP6695884B2 publication Critical patent/JP6695884B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45506Turbulent flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Fluid Mechanics (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本開示の実施形態は、一般に、原子層堆積のための装置および方法に関する。
ミクロン以下およびさらに小さい特徴を確実に作り出すことは、半導体デバイスの次世代の超大規模集積(VLSI)および極超大規模集積(ULSI)のための技術の1つである。しかし、回路技術の周辺が圧迫されるにつれて、VLSIおよびULSI技術における相互接続の寸法の縮小により、処理能力に対するさらなる要求が生じている。VLSIおよびULSI技術の中心にある多重レベルの相互接続では、ビアおよび他の相互接続などの高アスペクト比の特徴の精密な処理が使用される。これらの相互接続の確実な形成は、VLSIおよびULSIの成功ならびに個々の基板の回路密度および品質を増大させるための取組みの継続にとって非常に重要である。
回路密度が増大するにつれて、ビア、トレンチ、コンタクト、および他の特徴などの相互接続ならびにそれらの間の誘電体材料の幅は減少するが、誘電体層の厚さは実質上一定のままであり、その結果、特徴の高さと幅のアスペクト比が増大する。多くの従来の堆積プロセスでは、アスペクト比が4:1を超過する場合、特にアスペクト比が10:1を超過する場合、ミクロン以下の構造を充填するのが困難である。したがって、高いアスペクト比を有する実質上ボイドおよび継ぎ目のないミクロン以下の特徴の形成を対象とする多くの取組みが進行中である。
原子層堆積(ALD)は、高いアスペクト比を有する特徴の上に材料層を堆積させるために開発されている堆積技法である。ALDプロセスの一例は、ガスのパルスを連続して導入することを含む。たとえば、ガスのパルスを連続して導入する1回の周期は、第1の反応ガスのパルスと、それに続くパージガスおよび/またはポンプ排気のパルスと、それに続く第2の反応ガスパルスと、それに続くパージガスおよび/またはポンプ排気のパルスとを含むことができる。本明細書では、「ガス」という用語は、単一のガスまたは複数のガスを含むものと定義する。第1の反応物質および第2の反応物質の別個のパルスを連続して導入する結果、基板の表面上の反応物質の単層を自己制限的に交互に吸収することができ、したがって周期ごとに材料の単層を形成する。この周期は、堆積材料が所望の厚さになるまで繰り返すことができる。第1の反応ガスのパルスと第2の反応ガスのパルスとの間のパージガスおよび/またはポンプ排気のパルスは、余分な量の反応物質がチャンバ内に残留することにより反応物質が気相反応する可能性を低減させる働きをする。しかし、本発明者らは、ALD処理に対するいくつかのチャンバ設計において、基板上にその結果得られる堆積が、「M」字状の厚さプロファイルを有することを観察した。本発明者らはまた、ガスの導入中、チャンバ部品上に副生成物が蓄積し、処理中の基板上へ剥がれ落ちる可能性があることを観察した。
したがって、本発明者らは、ALDチャンバを洗浄するための装置および方法を提供する。
原子層堆積チャンバを洗浄する方法および装置が、本明細書に提供される。いくつかの実施形態では、チャンバリッドアセンブリは、中心軸に沿って延びて上部部分および下部部分を有する中心チャネルを密閉するハウジングと、ハウジングに結合されたリッドプレートであって、中心チャネルの下部部分に結合された中心開口からリッドプレートの周辺部分まで下方外向きに延びる外形を有する底面を有するリッドプレートと、中心チャネルを加熱する第1の加熱要素と、リッドプレートの底面を加熱する第2の加熱要素と、中心チャネルに流体的に結合された遠隔プラズマ源と、遠隔プラズマ源とハウジングとの間に結合された分離カラーであって、遠隔プラズマ源および中心チャネルを流体的に結合するように分離カラーを通って延びる内側チャネルを有する分離カラーとを含む。
いくつかの実施形態では、基板処理チャンバは、チャンバ本体と、チャンバリッドアセンブリとを含み、チャンバリッドアセンブリは、チャンバ本体内でチャンバリッドアセンブリの下に処理体積を画定するようにチャンバ本体に結合される。チャンバリッドアセンブリは、中心軸に沿って延びて上部部分および下部部分を有する中心チャネルを密閉するハウジングと、ハウジングに結合されたリッドプレートであって、中心チャネルの下部部分に結合された中心開口からリッドプレートの周辺部分まで下方外向きに延びる外形を有する底面を有するリッドプレートと、中心チャネルを加熱する第1の加熱要素と、リッドプレートの底面を加熱する第2の加熱要素と、中心チャネルに流体的に結合された遠隔プラズマ源と、遠隔プラズマ源とハウジングとの間に結合された分離カラーであって、遠隔プラズマ源および中心チャネルを流体的に結合するように分離カラーを通って延びる内側チャネルを有する分離カラーとを含むことができる。
いくつかの実施形態では、プロセスチャンバを洗浄する方法は、遠隔プラズマ源に洗浄ガスを提供するステップと、洗浄ガスからプラズマを形成するステップと、遠隔プラズマ源から分離カラーを通ってチャンバリッドアセンブリの中心チャネルおよび反応ゾーン内へプラズマを流して、チャンバリッドアセンブリの壁上の副生成物を蒸発させるステップと、プラズマを中心チャネルおよび反応ゾーン内へ流しながら、チャンバリッドアセンブリを所定の温度まで加熱するステップとを含む。
本開示の他のさらなる実施形態は、以下に記載する。
上記で簡単に要約し、以下でより詳細に論じる本開示の実施形態は、添付の図面に示す本開示の例示的な実施形態を参照することによって理解することができる。しかし、本開示は、他の等しく有効な実施形態も許容することができるため、添付の図面は、本開示の典型的な実施形態のみを示し、したがって範囲を限定すると見なされるべきではない。
本明細書の一実施形態に記載する原子層堆積に適合されたリッドアセンブリおよびガス供給装置を含むプロセスチャンバの概略横断面図である。 本明細書の一実施形態に記載する原子層堆積に適合されたリッドアセンブリおよびガス供給装置の頂部部分の斜視図である。 本開示のいくつかの実施形態による原子層堆積に適合されたリッドアセンブリおよびガス供給装置の概略横断面図である。 本開示のいくつかの実施形態による原子層堆積に適合されたリッドアセンブリおよびガス供給装置に対するハウジングの斜視図である。 本開示のいくつかの実施形態による図2Aからのリッドアセンブリおよびガス供給装置に対するハウジングの上面図である。 本開示のいくつかの実施形態による図2Aからのリッドアセンブリおよびガス供給装置の概略横断面図である。 本開示のいくつかの実施形態による図2Aからのリッドアセンブリおよびガス供給装置の概略横断面図である。 本開示のいくつかの実施形態による図1Aからのリッドアセンブリおよびガス供給装置の斜視横断面図である。 本開示のいくつかの実施形態によるリッドアセンブリおよびガス供給装置の概略横断面図である。 本開示のいくつかの実施形態による図2Fからのリッドアセンブリおよびガス供給装置の斜視横断面図である。 本開示のいくつかの実施形態による原子層堆積に適合されたリッドアセンブリおよびガス供給装置に対するインサートの斜視図である。 本開示のいくつかの実施形態による図3Aに示すインサートの概略横断面図である。 本開示のいくつかの実施形態による図3Bのリッドアセンブリおよびガス供給装置の概略横断面図である。 本開示のいくつかの実施形態による図3Bのリッドアセンブリおよびガス供給装置の概略横断面図である。 図4Aは、本開示のいくつかの実施形態による原子層堆積に適合されたリッドアセンブリおよびガス供給装置に対するインサートの側面図である。図4Bおよび図4Cは、本開示のいくつかの実施形態による図4Aのインサートの水平横断面の横断面上面図である。 図5Aは、本開示のいくつかの実施形態による原子層堆積に適合されたリッドアセンブリおよびガス供給装置に対するインサートの側面図である。図5Bおよび図5Cは、本開示のいくつかの実施形態による図5Aのインサートの水平横断面の横断面上面図である。 本開示のいくつかの実施形態によるプロセスチャンバを洗浄する方法を示す流れ図である。
理解を容易にするために、可能な場合、同一の参照番号を使用して、図に共通の同一の要素を指す。これらの図は、原寸に比例して描かれたものではなく、見やすいように簡略化されていることがある。一実施形態の要素および特徴は、さらなる記載がなくても、他の実施形態に有益に組み込むことができる。
本開示の実施形態は、原子層堆積(ALD)チャンバなどの基板処理チャンバを洗浄し、たとえばALDプロセス中に材料を堆積させるために使用することができる装置および方法を提供する。実施形態は、遠隔プラズマ源および複数の注入リッドアセンブリを含む基板処理チャンバおよびガス供給システムを含む。他の実施形態は、ALDプロセス中にこれらのガス供給システムを使用して材料を堆積させる方法を提供する。本明細書に記載する装置を組み込むのに適した処理チャンバの例には、カリフォルニア州サンタクララのApplied Materials、Inc.から入手可能な高い誘電率を有する(すなわち、kの)金属のALD堆積チャンバが含まれる。以下のプロセスチャンバの説明は、文脈および例示の目的で提供されるものであり、本開示の範囲を限定するものとして説明または解釈されるべきではない。
図1Aおよび図1Bは、本開示のいくつかの実施形態によるALDプロセスに適合されたガス供給システム130を含む基板処理チャンバ(プロセスチャンバ100)の概略図である。図1Cは、ガス供給システム130の別の実施形態を含むプロセスチャンバ100の概略図である。プロセスチャンバ100は、1つまたは複数の側壁104および底部106を有するチャンバ本体102を含む。チャンバ本体102の上にチャンバリッドアセンブリ132が配置され、チャンバ本体102内でチャンバリッドアセンブリ132の下に処理体積を画定する。プロセスチャンバ100内のスリットバルブ108は、200mmまたは300mmの半導体ウエハまたはガラス基板などの基板110をプロセスチャンバ100へ供給しまたプロセスチャンバ100から取り出すためのアクセスをロボット(図示せず)に提供する。
基板支持体112が、プロセスチャンバ100内の基板受取り表面111上で、基板110を支持する。基板支持体112は、基板支持体112および基板支持体112上に配置された基板110を上昇および下降させるためのリフトモータ114に取り付けられる。リフトモータ118に接続されたリフトプレート116が、基板支持体112を通って可動に配置されたリフトピン120を上昇および下降させるようにプロセスチャンバ100内に取り付けられる。リフトピン120は、基板支持体112の表面上の基板110を上昇および下降させる。基板支持体112は、堆積プロセス中に基板110を基板支持体112に固定するための真空チャック(図示せず)、静電チャック(図示せず)、またはクランプリング(図示せず)を含むことができる。
基板支持体112の温度は、基板110の温度を制御するように調整することができる。たとえば、基板支持体112は、抵抗加熱器(図示せず)などの埋込み式の加熱要素を使用して加熱することができ、または基板支持体112の上に配置された加熱ランプ(図示せず)などの放射熱を使用して加熱することができる。基板支持体112の上には、基板110の周辺部分にパージガスを提供して基板110の周辺部分上の堆積を防止するパージチャネル124を画定するためのパージリング122を配置することができる。
チャンバ本体102の上部部分には、プロセスガスおよび/またはパージガスなどのガスをプロセスチャンバ100へ提供するためのガス供給システム130が配置される。図1および図2A〜2Gは、少なくとも2つのガス源または化学前駆体に基板110を露出させるように構成されたガス供給システム130を示す。真空システム178が、ポンピングチャネル179に連通して、プロセスチャンバ100から任意の所望のガスを排気し、プロセスチャンバ100のポンピングゾーン166内で所望の圧力または圧力範囲を維持するのを助ける。
いくつかの実施形態では、ガス供給システム130は、チャンバリッドアセンブリ132の中心部分を通って延びるガス分散チャネル134を含む。図1Cに示すように、ガス分散チャネル134は、基板受取り表面111の方へ垂直方向に延び、またガス分散チャネル134の中心軸133に沿ってリッドプレート170を通って下面160まで延びる。いくつかの実施形態では、ガス分散チャネル134の上部部分350内では、ガス分散チャネル134の一部分が中心軸133に沿って実質上円筒形であり、下部部分135内では、ガス分散チャネル134の一部分が中心軸133から離れる方へ先細りしている。ガス分散チャネル134は、下面160を越えて反応ゾーン164内へさらに延びる。下面160は、ガス分散チャネル134の下部部分135からチョーク162まで延びる。下面160は、基板支持体112の基板受取り表面111上に配置された基板110を実質上覆うようなサイズおよび形状である。下面160は、リッドプレート170の外縁からガス分散チャネル134の方へ先細りしている。
分散チャネルを通るプロセスガスの流れを示すガス流174は、様々なタイプの流量パターンを含むことができる。いくつかの実施形態では、処理ガスは、分散チャネルを通過しながらガス分散チャネル134の中心軸133の周りに旋回をもたらすように押し出すことができる。そのような実施形態では、ガス流174は、渦状のパターン、つる巻状のパターン、螺旋状のパターン、またはこれらの派生パターンなど、様々なタイプの円形の流量パターンを含むことができる。
円形のガス流174を提供することが多くの適用分野にとって有益であるが、本発明者らは、いくつかの適用分野では、円形のガス流が均一でない処理結果を招く可能性があることを発見した。したがって、いくつかの実施形態では、ガス流174は、2つ以上のガスの混合の強化を提供するために、さらに乱流とすることができる。本発明者らは、さらなる乱流混合によりガス流174を提供することによって、いくつかの適用分野では堆積の均一性を改善することができることを観察した。たとえば、中心で低い堆積率を用い、基板の中心とエッジとの間の領域内でより高い堆積率を用いて、円形のガス流を使用したときに、「M」字状の堆積プロファイルが生じる特定の適用分野では、乱流混合を提供する結果、有利には、堆積の均一性が改善される。
ガス分散チャネル134は、ともにまたは別個に提供することができる類似の2対のバルブ142A/152A、142B/152Bからガス流を提供するためのガス入口340、345、370を有する上部部分を含む。1つの構成では、バルブ142Aおよびバルブ142Bは、別個の反応ガス源に結合されているが、いくつかの実施形態では、同じパージガス源に結合することができる。たとえば、バルブ142Aは、反応ガス源138に結合され、バルブ142Bは、反応ガス源139に結合され、バルブ142A、142Bはどちらも、パージガス源140に結合される。各バルブ142A、142Bは、バルブシートアセンブリ144A、144Bを有する供給ライン143A、143Bを含み、各バルブ152A、152Bは、バルブシートアセンブリ146A、146Bを有するパージライン145A、145Bを含む。供給ライン143A、143Bは、反応ガス源138および139と流体連通しており、ガス分散チャネル134のガス入口340、345、370と流体連通している。供給ライン143A、143Bのバルブシートアセンブリ144A、144Bは、反応ガス源138および139からガス分散チャネル134への反応ガスの流れを制御する。パージライン145A、145Bは、パージガス源140と連通しており、供給ライン143A、143Bのバルブシートアセンブリ144A、144Bの下流で供給ライン143A、143Bと交わる。パージライン145A、145Bのバルブシートアセンブリ146A、146Bは、パージガス源140からガス分散チャネル134へのパージガスの流れを制御する。反応ガス源138および139から反応ガスを供給するためにキャリアガスが使用される場合、同じガスをキャリアガスおよびパージガスとして使用することができる(たとえば、キャリアガスおよびパージガスとしてアルゴンガスが使用される)。
各バルブシートアセンブリ144A、144B、146A、146Bは、ダイアフラム(図示せず)およびバルブシート(図示せず)を含むことができる。ダイアフラムは、それぞれ開閉するように付勢することができ、開閉するように作動することができる。ダイアフラムは、空気圧作動式とすることができ、または電気作動式とすることができる。空気圧作動式のバルブには、Fujikin,Inc.およびVeriflo Division,Parker Hannifin,Corp.から入手可能な空気圧作動式のバルブが含まれる。電気作動式のバルブには、Fujikin,Inc.から入手可能な電気作動式のバルブが含まれる。たとえば、使用することができるALDバルブには、Fujikinの型式番号FPR−UDDFAT−21−6.35−PI−ASNまたはFujikinの型式番号FPR−NHDT−21−6.35−PA−AYTがある。バルブ142A、142Bには、バルブ142A、142Bのバルブシートアセンブリ144A、144B、146A、146Bのダイアフラムの作動を制御するために、プログラマブルロジックコントローラ148A、148Bを結合することができる。空気圧作動式のバルブは、わずか約0.020秒の期間でガスのパルスを提供することができる。電気作動式のバルブは、わずか約0.005秒の期間でガスのパルスを提供することができる。電気作動式のバルブは、典型的には、バルブとプログラマブルロジックコントローラとの間に結合されたドライバを利用する。
各バルブ142A、142Bは、バルブシートアセンブリ144A、144Bが閉じているときに供給ライン143A、143Bから反応ガスを洗い流すことを可能にするために、死体積のないバルブとすることができる。たとえば、パージライン145A、145Bは、供給ライン143A、143Bのバルブシートアセンブリ144A、144Bに隣接して位置決めすることができる。バルブシートアセンブリ144A、144Bが閉じているとき、パージライン145A、145Bは、パージガスを提供して供給ライン143A、143Bを洗い流すことができる。一実施形態では、パージライン145A、145Bは、開いたときにパージガスがバルブシートアセンブリ144A、144B内へ直接供給されないように、供給ライン143A、143Bのバルブシートアセンブリ144A、144Bからわずかに隔置して位置決めされる。本明細書では、死体積のないバルブとは、無視できるほどの死体積を有する(すなわち、必ずしも死体積がないとは限らない)バルブと定義する。
各バルブ対142A/152A、142B/152Bは、反応ガスおよびパージガスの組み合わせたガス流および/または別個のガス流を提供するように適合することができる。バルブ対142A/152Aに関連して、反応ガスおよびパージガスの組み合わせたガス流の一例には、パージガス源140からパージライン145Aを通るパージガスの連続する流れおよび反応ガス源138から供給ライン143Aを通る反応ガスのパルスが含まれる。パージガスの連続する流れは、パージライン145Aのバルブシートアセンブリ146Aのダイアフラムを開いたままにすることによって提供することができる。反応ガス源138からの反応ガスのパルスは、供給ライン143Aのバルブシートアセンブリ144Aのダイアフラムを開閉することによって提供することができる。バルブ対142A/152Aに関連して、反応ガスおよびパージガスの別個のガス流の一例には、パージガス源140からパージライン145Aを通るパージガスのパルスおよび反応ガス源138から供給ライン143Aを通る反応ガスのパルスが含まれる。パージガスのパルスは、パージライン145Aのバルブシートアセンブリ146Aのダイアフラムを開閉することによって提供することができる。反応ガス源138からの反応ガスのパルスは、供給ライン143Aのバルブシートアセンブリ144Aのダイアフラムを開閉することによって提供することができる。
バルブ142A、142Bの供給ライン143A、143Bは、流体供給ライン210、220、215および環状チャネル260、265、270を通ってガス入口340、345、370と結合することができる。流体供給ライン210、220、215は、バルブ142A、142B内に組み込むことができ、またはバルブ142A、142Bとは別個のものとすることができ、したがって1つまたは複数の流体源に接続することができる。一態様では、バルブ142A、142Bは、バルブ142A、142Bとガス入口340、345、370との間の供給ライン143A、143Bおよび流体供給ライン210、220、215のあらゆる不要な体積を低減させるために、ガス分散チャネル134に密接して結合される。
理論に拘束されるものではないが、本発明者らは、ガス分散チャネル134の直径が、ガス分散チャネル134の上部部分から中心軸133に沿ってある点まで一定であり、次いでガス分散チャネル134の下部部分135まで増大することで、ガス分散チャネル134を通るガスの断熱膨張をそれほど生じさせないことが可能であり、それによりガス流174内に含まれるプロセスガスの温度を制御するのに役立つと考える。たとえば、ガス分散チャネル134内へ供給されるガスの突然の断熱膨張の結果、ガスの温度が低下することがあり、それによりガスの結露および液滴の形成を引き起こすことがある。他方では、徐々に先細りするガス分散チャネル134は、ガスの断熱膨張をそれほど提供しないと考えられる。したがって、ガスとの間でより多くの熱を伝達することができ、したがって、チャンバリッドアセンブリ132の温度を制御することによって、ガスの温度をより容易に制御することができる。ガス分散チャネル134は、徐々に先細りすることができ、先細りしたまっすぐな表面、凹状の表面、凸状の表面、もしくはこれらの組合せなどの1つまたは複数の先細りした内面を含むことができ、または1つもしくは複数の先細りした内面の区間(すなわち、先細りした部分および先細りしていない部分)を含むことができる。
ガス分散チャネル134の上部部分は、ハウジング200内に配置されたインサート300によって画定される。ハウジング200およびインサート300上には、キャップ400を配置することができる。インサート300およびキャップ400は、適切な密閉を確実にするために、インサート300とハウジング200との間に配置された複数のOリング385を含む。
図1Aに示すように、プロセスチャンバ100は、遠隔プラズマ源(RPS)190と、一方の端部でRPS190に結合され、他方の端部でキャップ400に結合された分離カラー192と、リッドプレート170の上面に結合されたヒータプレート198と、RPS190に流体的に結合された洗浄ガス源197とを含むチャンバ洗浄システムをさらに含む。洗浄ガス源は、プロセスチャンバ100を洗浄するためにプラズマを形成するのに適した任意のガスを含むことができる。いくつかの実施形態では、たとえば、洗浄ガスは、三フッ化窒素(NF3)とすることができる。ヒータプレート198は、ステンレス鋼から形成することができ、プレート全体にわたって分散された複数の抵抗加熱要素を含むことができる。
図1Cを参照すると、分離カラー192は、RPS190からガス分散チャネル134を通って反応ゾーン164内へプラズマを流すために、キャップ400の中心部分282内の複数の孔285を通ってガス分散チャネル134に流体的に結合された内側チャネル193を含む。プロセスチャンバ100内の圧力がRPS190内の圧力を超過すると、処理ガスがRPS190まで流れてRPS190を損傷することがある。複数の孔285は、処理ガスの逆流が内側チャネル193を通ってRPS190内へ上に流れるのを防止するためのチョーク点として働く。分離カラー192は、使用中の洗浄ガスに反応しない任意の材料から形成することができる。いくつかの実施形態では、分離カラー192は、洗浄ガスがNF3であるとき、アルミニウムから形成することができる。チャンバ洗浄システムは、ハウジング200を所定の温度まで加熱するようにハウジング200内に配置された1つまたは複数のカートリッジヒータ240をさらに含む。いくつかの実施形態では、所定の温度は150℃以上である。ハウジング200およびキャップ400は、ステンレス鋼から作ることができる。
RPS190は、約40℃以下の温度で動作する。カートリッジヒータ240によってハウジング200内に生成される熱からRPS190を有利に絶縁するために、本発明者らは、分離カラー192とキャップ400との間の接触面積を最小にした。絶縁を実現するために、分離カラー192とキャップ400との間に熱分離リング194が配置される。熱分離リング194は、熱伝導率が低い(たとえば、分離カラー192およびキャップ400の熱伝導率より低い)金属から形成される。いくつかの実施形態では、たとえば、熱分離リング194は、ステンレス鋼から形成される。加えて、分離カラー192とキャップ400との間の接触面積をさらに低減させるために、分離カラー192とキャップ400との間にOリング385を配置することもできる。熱分離リング194およびOリング385の組合せは、ハウジング200内の熱生成がRPS190に悪影響を及ぼさないことを確実にするために、サーマルチョークとして作用する。いくつかの実施形態では、RPS190近傍の分離カラー192の上部部分は、分離カラー192内のチャネル(図示せず)を通って熱伝達媒体を流すことによって冷却することができる。
ハウジング200は、基部207上に配置された環状マニホルド205を備えることができる。図2A〜2Gに示す実施形態では、環状マニホルド205は、内側領域290を画定し、内側領域290の周りに配置された2つ以上の環状チャネルを少なくとも部分的に画定する。図2Cは、図2Aの線2Cに沿って切り取った横断面図である。図2Dは、図2Cの線2Dに沿って切り取った横断面図である。代替実施形態では、環状マニホルド205は、内側領域290を画定し、内側領域290の周りに配置された環状チャネルを含む。図2Fは、3つの流体供給ラインおよび2つの環状チャネルを示す別の実施形態である。図2Gは、図2Fの線2Gに沿って切り取った横断面図である。
2つ以上の環状チャネルは、環状マニホルド205の中心軸133に沿って互いから垂直方向に隔置されて配置される。環状チャネル260などの環状チャネルが、流体を流すように適合されたチャネルを構成し、内側領域290を部分的または完全に取り囲む。環状チャネルは、内側領域に対して最大360°の流体連通、たとえば内側領域の周りに270°〜360°の流体連通を提供することができる。各環状チャネルは、処理ガスなどの流体を流体源(たとえば、ガス源)から内側領域へ供給し、環状マニホルド205と結合されたインサート300内に形成された開孔を通って流体を分散させることを可能にする。環状チャネルはそれぞれ、様々な横断面の形状および設計を有することができる。たとえば、環状チャネルは、円形、半円、方形、または楕円形の横断面設計とすることができる。横断面設計は、環状チャネルから環状チャネルと結合された開孔への処理ガスなどの流体の効果的な流れを提供するように適合される。たとえば、環状チャネルは、方形の横断面の3つの面を備えることができ、第4の面は、インサート300の垂直本体330とすることができる。したがって、3つの方形の横断面と、インサート300の垂直本体330の第4の面とがともに、環状チャネルを画定する。
一実施形態では、環状チャネル260などの各環状チャネルは、図2Dおよび図2Eに示すように、内側領域290を円周方向に囲み、内側領域の360°の流体連通を提供する。代替実施形態では、環状チャネルの1つは、360°の流体連通を有することができ、少なくとも第2の環状チャネルは、360°未満の流体連通を有することができる。一実施形態では、第1の環状チャネル260および第2の環状チャネル265が、内側領域290の周りに配置される。別の実施形態では、環状マニホルド205は、3つの環状チャネル260、265、270(図1Cに示す)を含むことができる。
環状チャネルはそれぞれ、図1Cに示すように、流体供給ライン210、215、220などのそれぞれの流体供給ラインと結合される。別法として、環状チャネルはそれぞれ、図2Fおよび図2Gに示すように、2つ以上の流体供給ラインと結合することができ、これらの流体供給ラインは、環状チャネルを通って流れるガスまたは代替ガスの混合物を提供することができる。図2Gは、それぞれ環状チャネル265と結合される流体供給ライン210および215を示す。
流体ラインはそれぞれ、ガス源などの流体源と結合される。別法として、流体ラインはそれぞれ、2つ以上のガス源と結合することができ、これらのガス源は、環状チャネルを通って流れるガスまたは代替のガスの混合物を提供することができる。複数の環状チャネルを使用することで、酸化ハフニウム堆積プロセスに対する塩化ハフニウムおよび水などの異なる前駆体の供給ならびに/または異なる濃度を有する同じ前駆体の供給を可能にすることができる。加えて、プレナムにより、混合前駆体を含む異なる前駆体の供給または前駆体の交互供給を行うことができる。
また、環状マニホルド205内に、パージライン250などの少なくとも1つのパージラインを形成することができる。パージラインは、環状マニホルド205の垂直部分内へ導入される。パージラインは、1つまたは複数の間隙パージライン280と結合された水平のガス輸送ラインを備え、間隙パージライン280は、一連の環状チャネルの上下で内側領域290に接触するように配置される。間隙パージライン280はそれぞれ、内側領域に、円周方向に形成された環状チャネル245、255など、延びる環状チャネルを有することができ、これらの環状チャネルは、内側領域に隣接して配置された環状マニホルド205の表面に形成される。パージライン250はまた、環状マニホルド205内に配置される垂直方向に配置されたライン230と結合される。1つまたは複数の間隙パージラインはまた、インサート300と、隣接するガス分散チャネル134を形成するリッドキャップ172の材料との間に、インサート300の垂直本体330に沿って材料交差部380へのパージガスの流れを提供する。パージガスは、処理ガスがハウジングとインサートとの間に配置されたOリング385などのあらゆる構造密閉材料と反応するのをさらに防止し、リッドキャップ172およびリッドプレートアセンブリの下地材料が、隣接するガス分散チャネル134を形成する。
パージライン250は、処理チャンバに関して前述したように、パージガス源の1つに接続することができ、パージガスは、窒素または希ガスなどの反応しないガスを含むことができる。パージラインは、インサートと環状マニホルド205との間にパージガスを提供して、それらの区域内の望ましくない処理ガスを除去する。したがって、パージガスは、金属ハロゲン化物の前駆体などの反応性の処理ガスに露出されると時間とともに劣化する可能性のあるOリング材料などの影響を受けやすい材料を、処理ガスから保護する。
図3A〜3Dを参照すると、内側領域290内にインサート300が配置され、ガス分散チャネル134の上部部分350を画定する。インサートは、ハウジング200の頂部部分に結合されるように適合された切り取った部分320を有する結合リッド310(前述の図では400)と、環状マニホルド205の内側に同じ高さに配置されるように適合された垂直本体330とを備える。垂直本体330は、上部部分350を画定する。上部部分は、円筒形の形状または実質上円筒形の形状を備えることができる。一例では、図3Bに示すように、上部部分350は、円筒形の上部部分351と、広がっている底部部分352とを備え、広がっている底部部分352は、底部の組の複数の開孔346より下に配置される。
インサート300の垂直本体内には、1つまたは複数のガス入口340、345を配置することができる。ガス入口340、345は、垂直本体330の一部分の水平平面に沿って複数の開孔341、346を備えることができ、したがって多孔ガス入口340、345を形成することができる。各水平平面に沿った開孔341、346の数は、2〜10個の開孔とすることができ、たとえば、図3A〜3Cに示すように6つの開孔とすることができる。インサート300に沿って、1つまたは複数の組の複数の開孔を形成することができる。開孔341、346は、垂直本体330の水平平面の周りに互いから等距離を隔てて配置することができる。別法として、開孔341、346は、上部部分350内へ所望のガス流特徴を提供するように隔置および/または分類することができる。垂直本体330の水平平面の周りに互いから等辺に配置された開孔と、上部部分350とを組み合わせて、均等化溝を形成し、開孔341、346のそれぞれにおいて圧力およびガス流量を同じまたは実質上同じにし、基板表面に提供されるプロセスガスの流れをより均一にすることを可能にする。
開孔341、346は、中心軸133またはガス分散チャネル134に対してほぼ接線方向など、中心軸133に対して任意の角度で、垂直本体330を通って配置することができる。開孔341、346は、半径方向および垂直方向に対して異なる角度で配向することができる。開孔341、346は、所望の流れ特徴を提供するように、水平方向に対して0°〜90°の角度とすることができる。いくつかの実施形態では、開孔341および346は、上部部分350に対して接線方向の角度で(図3Cに示す)、水平方向から約0°〜約90°、または約0°〜約60°、または約0°〜約45°、または約0°〜約20°の範囲内で位置決めされる。いくつかの実施形態では、開孔341および346は、上部部分350に対して接線方向と直交方向(すなわち、半径方向の開孔342)の両方の角度で位置決めされる(図3Dに示す)。
開孔341、346は、環状マニホルド205の2つ以上の環状チャネル260、265と流体的に結合される。環状マニホルド205内に形成された環状チャネルに対応する各入口で、複数の組の複数の開孔を使用することができる。開孔は、任意の横断面形状、たとえば、方形の形状、円筒形の管、または涙滴の形状とすることができる。環状チャネルと、複数の開孔を有する入口との組合せにより、基板表面に提供されるプロセスガスの流れがより均一になる。
インサート300は、NF3洗浄ガスなどの処理および洗浄ガスと反応しない材料から作ることができる。1つのそのような材料は、アルミニウムである。これらの図に示す構成では、アルミニウムのインサートは、材料適合性を増大させることが観察され、すなわち、アルミニウムのインサートは、ステンレス鋼などの他の材料より、NF3洗浄ガスおよび水などの他の処理ガスとの反応性が低い。加えて、インサート材料はまた、インサート300が接触しうるチャンバの他の構造部品とより適合したものとすることができる。たとえば、リッドキャップ172、およびリッドプレート170のうちガス分散チャネル134を取り囲む部分もまた、アルミニウムから作ることができ、アルミニウムのインサート300は、これらの部分と良好な材料適合性を有するはずであり、製造および組立てにとって構造上より適合したものとなるはずである。
入口を形成する複数の開孔(複数の流入点)を有する本明細書に記載するリッドキャップは、基板表面にわたって提供される処理ガスの流れをより均一にし、したがって単一の流入点/単一の流入入口と比較すると、環方向の厚さがより均一になる。本発明者らは、単一の流入点/単一の流入入口と比較すると、本明細書に記載するリッドキャップ172のアセンブリの多環状チャネルにより、厚さ均一性を改善しながら、2インチリング分析、4インチリング分析に従って、基板エッジから3mmの位置で、より薄いウエハインウエハ(WiW)厚さを実現することができることを観察した。加えて、本明細書に記載する多環状チャネルは、単一の流入点/単一の流入入口と比較すると、逆拡散のリスクを下げ、別個のラインを通る処理ガスの独立した制御を可能にし、単一の流入点/単一の流入入口と比較すると、加熱された不活性ガスパージを提供してOリングを保護することが観察された。加えて、多環状チャネルは、PVCバルブを使用して防食を改善することを可能にし、端面シールをVCR取付け具に交換することなどによって簡略化されたハードウェア設計を提供し、単一の流入点/単一の流入入口に必要な部品をなくし、単一の流入点/単一の流入入口と比較すると、改善された保守性を可能にする。
図1A〜1Bは、本明細書の実施形態に記載するガスがガス分散チャネル134へ進む経路を示す。流体供給ライン210および220から環状チャネル260および265内へプロセスガスが供給され、ガス入口340、345を通って上部部分350に入り、ガス分散チャネル134を通って流れる。図2D、図3B、および図3Cは、プロセスガスまたは前駆体ガスが進む経路、すなわち流体供給ライン210から環状チャネル265内へ流れ、ガス入口340を通って上部部分350に入る経路を示す。第2の経路は、図1B、図2D、図3B、および図3Cに示すように、流体供給ライン220から環状チャネル260内へ延び、ガス入口345を通って上部部分350に入る。いくつかの実施形態では、第3の経路が、図1Cおよび図2Fに示すように、流体供給ライン215から環状チャネル270内へ延び、ガス入口370を通って上部部分350に入る。
ガス分散チャネル134を通る厳密なガス流パターンは分かっていないが、本発明者らは、渦状の流れ、つる巻状の流れ、螺旋状の流れ、旋回する流れ、くるくる回る流れ、捩じれる流れ、コイル状の流れ、コルク抜き状の流れ、カール状の流れ、渦巻き状の流れ、これらの派生形、またはこれらの組合せなどの円形の流量パターンで、円形のガス流174(図1C)がガス入口340、345、370からガス分散チャネル134を通って進むことができると考える。一態様では、円形のガス流174は、ガス分散チャネル134の内面を横切る渦状の流れパターンの一掃作用のため、ガス分散チャネル134のより効率的なパージを確立するのを助けることができる。
上記のように、本発明者らは、いくつかの適用分野では、円形のガス流が均一でない処理結果を招く可能性があることを発見した。したがって、いくつかの実施形態では、ガス流174は、2つ以上のガスの混合の強化を提供するために、さらに乱流とすることができる。図4Aは、インサート300を示し、インサート300は、ALDチャンバのリッドキャップ内へ挿入されると、インサート300の垂直本体330とリッドキャップとの間に3つ以上の環状チャネル402、404、406を画定する。環状チャネル402、404、406は、上記の環状チャネル260、265、270に実質上類似している。環状チャネル402、404、406は、それぞれ複数の開孔410、420、430に流体的に結合される。各水平平面に沿った開孔410、420、430の数は、2〜10個の開孔とすることができ、たとえば、図4B〜4Cに示すように6つの開孔とすることができる。上記の開孔と同様に、それぞれの複数の開孔410、420、430内の各開孔は、垂直本体330の周りに互いから等距離を隔てて配置することができる。しかし、そのような実施形態では、複数の開孔410、420、430の少なくとも1つは、複数の開孔410、420、430の少なくとも1つの他の開孔と比較すると、逆方向にガスの回転流を生じさせるように配置される(たとえば、図4B〜Cに示す視点から見て、複数の開孔の少なくとも1つは、第1の方向、たとえば時計方向に回転流を提供するように構成され、複数の開孔の少なくとも1つは、第2の方向、たとえば反時計方向に回転流を提供するように構成される)。たとえば、図4B〜Cに示すように、複数の開孔410は、反時計方向にガス流を誘導し、複数の開孔420(および複数の開孔430)は、時計方向にガス流を誘導する。複数の開孔410、420、430の逆流方向の構成の結果、乱流のガス流174が生じる。インサート300は、インサート300とALDチャンバのリッドキャップとの間に適切な密閉を確保するために、Oリングを配置するための複数の溝408を含むことができる。
図5A〜5Cは、本開示のいくつかの実施形態によるキャップ500を有するインサート300を示す。図5A〜5Cのインサート300は、図4A〜4Cのインサートに類似しており、対応する複数の開孔510、520、および530に流体的に結合された複数の環状チャネル502、504、506を含む。しかし、そのような実施形態では、図5A〜5Cのインサート300は、複数の開孔510、520、および530に隣接して配置された第2の複数の開孔511、521、および531をさらに含む。図5Bおよび図5Cに示すように、複数の開孔510、520、および530は、上部部分350に対して接線方向であり(すなわち、接線方向の開孔)、第2の複数の開孔511、521、および531は、上部部分350の中心軸に直交し、または半径方向に位置合わせされる(すなわち、半径方向の開孔)。本発明者らは、2つ以上のガスの混合の強化を提供するために、半径方向の開孔511、521、531をインサート300内へ導入することで、さらに乱流のガス流174を生じさせることによって、処理均一性が有利に改善されることを発見した。接線方向の開孔510、520、530を通るガス流と比較すると、半径方向の開孔511、521、531を通って流れるガスの量を有利に制御するために、半径方向の開孔511、521、531の直径は、接線方向の開孔510、520、530の直径と同じであっても、異なってもよい。たとえば、いくつかの実施形態では、半径方向の開孔511、521、531の直径は、接線方向の開孔510、520、530より小さくすることができる。いくつかの実施形態では、半径方向の開孔511、521、531の直径は、接線方向の開孔510、520、530より大きくすることができる。インサート300は、インサート300とALDチャンバのリッドキャップとの間に適切な密閉を確保するために、Oリングを配置するための複数の溝508を含むことができる。
図1Aは、チャンバリッドアセンブリ132の下面160の少なくとも一部分が、ガス分散チャネル134に結合された中心開口からチャンバリッドアセンブリ132の周辺部分まで下方外向きに外形または角度を有し、基板110の表面にわたって(すなわち、基板の中心から基板のエッジまで)ガス分散チャネル134からのガス流の改善された速度プロファイルを提供するのを助けることができることを示す。下面160は、まっすぐな表面、凹状の表面、凸状の表面、またはこれらの組合せなどの1つまたは複数の表面を含むことができる。一実施形態では、下面160は、凸状に漏斗状である。
一例では、下面160は、基板受取り表面111のエッジに向かって下方外向きに傾いており、プロセスガスがチャンバリッドアセンブリ132の下面160と基板110との間を進む速度の変動を低減させるのを助けながら、反応ガスに対する基板110の表面の均一の露出を提供するのを助ける。一実施形態では、チャンバリッドアセンブリ132の下方へ傾いている下面160と基板110の表面との間の流れ区間の最大面積と流れ区間の最小面積の比は、約2未満、たとえば約1.5未満、約1.3未満などであり、いくつかの実施形態では約1である。
理論に拘束されるものではないが、本発明者らは、ガス流が基板110の表面にわたって進む速度がより均一になることで、基板110上のガスの堆積をより均一にするのを助けると考える。本発明者らは、ガスの速度はガスの濃度に正比例し、ガスの濃度は基板110の表面上のガスの堆積速度に正比例すると考える。したがって、基板110の表面の第2の区域に比べて基板110の表面の第1の区域におけるガスの速度が速ければ速いほど、第1の区域上のガスの堆積がより速くなると考えられる。チャンバリッドアセンブリ132が下方へ傾いている下面160を有することで、下面160によって速度がより均一になり、したがって基板110の表面にわたってガス濃度がより均一になるため、基板110の表面にわたってガスの堆積がより均一になる。
本開示の実施形態による基板を処理するために、様々な方法を用いることもできる。いくつかの実施形態では、基板を処理する方法は、ガス源138、139などの1つまたは複数の流体源からチャンバリッドアセンブリ132の流体供給ライン210、220を通って2つ以上の反応ガスまたはプロセスガスを流すステップを含む。2つ以上のプロセスガスは、流体供給ライン210、220から、チャンバリッドアセンブリ132のハウジング200によって少なくとも部分的に画定された2つ以上の環状チャネル260、265を通って流れる。ハウジングは、内側領域290を有する。2つ以上のプロセスガスは、2つ以上の環状チャネル260、265から、内側領域290内に配置されたインサート300を通って、チャンバリッドアセンブリ132内のガス分散チャネル134の上部部分350内へ流れる。インサート300は、ガス分散チャネル134の上部部分350を少なくとも部分的に画定する中心通路を有する。1つまたは複数のプロセスガスは、ガス分散チャネル134を通って、基板支持体112上に配置された基板110より上の反応ゾーン164内へ流れる。
図1Aは、チャンバリッドアセンブリ132の周辺部分で基板110の周辺部に隣接して位置するチョーク162を示す。チョーク162は、チャンバリッドアセンブリ132が基板110の周りに処理ゾーンを形成するように組み立てられたとき、基板110の周辺部に隣接する区域でガスの流れを制限する任意の部材を含む。
1つの特有の実施形態では、チョーク162と基板支持体112との間の間隔は、約0.04インチ〜約2.0インチ、たとえば0.04インチ〜約0.2インチである。間隔は、供給されるガスおよび堆積中のプロセス条件に応じて変動することができる。チョーク162は、均一でない圧力分布のポンピングゾーン166から反応ゾーン164を分けることによって、チャンバリッドアセンブリ132と基板110との間に画定された体積または反応ゾーン164内の圧力分布をより均一にするのに役立つ(図1A)。
上記で説明したように、チャンバリッドアセンブリ132は、ガス分散チャネル134を加熱するための第1の加熱要素(ハウジング200内の1つまたは複数のカートリッジヒータ240)と、リッドプレート170(たとえば、リッドプレート170の下面160)を加熱するように第1の加熱要素から半径方向に外向きに配置された第2のヒータ要素(リッドプレート170の上面に結合されたヒータプレート198)とを含む。チャンバリッドアセンブリ132の温度の制御を使用して、チャンバリッドアセンブリ132上のガスの分解、堆積、または結露を防止することができる。いくつかの実施形態では、チャンバリッドアセンブリ132の部品は、個々に加熱することができる。いくつかの実施形態では、チャンバリッドアセンブリ132の部品は、同時に加熱される。たとえば、図1Aを参照すると、チャンバリッドアセンブリ132は、リッドプレート170およびリッドキャップ172を含むことができ、リッドプレート170およびリッドキャップ172は、ガス分散チャネル134を形成する。リッドキャップ172は、1つの温度範囲で維持することができ、リッドプレート170は、別の温度範囲で維持することができ、または2つの部品を同じ温度範囲で加熱することができる。
チャンバリッドアセンブリ132の部品および部分は、ステンレス鋼、アルミニウム、ニッケルでめっきされたアルミニウム、ニッケル、これらの合金、または他の適した材料などの材料を含むことができる。一実施形態では、リッドキャップ172およびリッドプレート170は、アルミニウム、アルミニウム合金、鋼鉄、ステンレス鋼、これらの合金、またはこれらの組合せなどの金属から、独立して製作し、機械加工し、鍛造し、または他の形で作ることができる。
いくつかの実施形態では、ガス分散チャネル134の内面131(リッドプレート170およびリッドキャップ172の両方の内面を含む)およびチャンバリッドアセンブリ132の下面160は、ガス分散チャネル134およびチャンバリッドアセンブリ132の下面160に沿ってガスの流れを助けるために、鏡面研磨された表面を含むことができる。いくつかの実施形態では、流体供給ライン210および220の内面は、流体供給ライン210および220を通ってガスの層流を生じさせるのを助けるために電気研磨することができる。
図1Aは、処理条件を制御するためにプロセスチャンバ100に結合されたプログラム式パーソナルコンピュータ、ワークステーションコンピュータなどの制御装置180を示す。たとえば、制御装置180は、基板プロセスシーケンスの異なる段階中にガス源138、139、および140からバルブ142Aおよび142Bを通って様々な反応ガスおよびパージガスを流すように、チャンバ洗浄システムおよびチャンバリッドアセンブリを制御するように構成することができる。図示のように、制御装置180は、中央処理装置(CPU)182と、支持回路184と、関連する制御ソフトウェア183を含むメモリ186とを含む。
制御装置180は、工業的な環境で様々なチャンバおよびサブプロセッサを制御するために使用することができる任意の形の汎用コンピュータプロセッサの1つとすることができる。CPU182は、ランダムアクセスメモリ、読取り専用メモリ、フロッピーディスクドライブ、ハードディスク、またはローカルもしくは遠隔の任意の他の形のデジタルストレージなど、任意の適したメモリ186を使用することができる。CPU182には、プロセスチャンバ100を支持するために、様々な支持回路を結合することができる。制御装置180は、バルブ142A、142Bのプログラマブルロジックコントローラ148A、148Bなどの個々のチャンバ部品に隣接して位置する別のコントローラに結合することができる。集合的に信号バス188と呼ばれる多数の信号ケーブルを通じて、制御装置180とプロセスチャンバ100の様々な他の部品との間の双方向通信に対応する。信号バス188のいくつかを、図1Aに示す。制御装置180は、ガス源138、139、140およびバルブ142A、142Bのプログラマブルロジックコントローラ148A、148Bからの反応ガスおよびパージガスの制御に加えて、他の動作の中でもウエハ輸送、温度制御、チャンバ排気などのウエハ処理で使用される他の動作の自動制御を担うように構成することができる。これらの動作のいくつかは、本明細書に別途記載する。
図1A〜1Cを参照すると、処理動作で、基板110は、ロボット(図示せず)によってスリットバルブ108を通ってプロセスチャンバ100へ供給される。基板110は、リフトピン120およびロボットの協働によって基板支持体112上に位置決めされる。基板支持体112は、チャンバリッドアセンブリ132の下面160に密接に対向するように基板110を上昇させる。バルブ142Aによってプロセスチャンバ100のガス分散チャネル134内へ第1のガス流を注入することができ、それとともに、または別個に(すなわち、パルス)、バルブ142Bによってプロセスチャンバ100内へ第2のガス流を注入することができる。第1のガス流は、パージガス源140からのパージガスの連続する流れと、反応ガス源138からの反応ガスのパルスとを含むことができ、または反応ガス源138からの反応ガスのパルスと、パージガス源140からのパージガスのパルスとを含むことができる。第2のガス流は、パージガス源140からのパージガスの連続する流れと、反応ガス源139からの反応ガスのパルスとを含むことができ、または反応ガス源139からの反応ガスのパルスと、パージガス源140からのパージガスのパルスとを含むことができる。
ガス流174は、ガス分散チャネル134を通って乱流として進み、ガス分散チャネル134を通って混合の強化を提供する。乱流のガス流174は、基板110の表面に向かって下流へ放散する。ガス流がガス分散チャネル134を通って進むにつれて、ガス流の速度は低減する。ガス流は、次いで、基板110の表面およびチャンバリッドアセンブリ132の下面160にわたって進む。チャンバリッドアセンブリ132の下面160は、下方へ傾いており、基板110の表面にわたってガス流の速度の変動を低減させるのを助ける。ガス流は、次いで、チョーク162のそばを進み、プロセスチャンバ100のポンピングゾーン166に入る。余分なガス、副生成物などは、ポンピングチャネル179内へ流れ、次いで真空システム178によってプロセスチャンバ100から排気される。処理動作全体にわたって、1つまたは複数のカートリッジヒータ240およびヒータプレート198は、チャンバリッドアセンブリ132を所定の温度まで加熱して、プロセスチャンバ100(チャンバ内に配置された処理キット)の壁上に蓄積したあらゆる固体の副生成物を加熱する。その結果、蓄積したあらゆる固体の副生成物が蒸発する。蒸発した副生成物は、真空システム178およびポンピングチャネル179によって排気される。いくつかの実施形態では、所定の温度は、150℃以上である。
図6は、本開示のいくつかの実施形態による洗浄動作600を示す流れ図を示す。図1A〜1Cを再び参照すると、605で、洗浄ガス源197からRPS190内へ洗浄ガス(たとえば、NF3)が流される。610で、洗浄ガスからプラズマが形成される。615で、プラズマは次いで、分離カラー192の内側チャネル193を通ってガス分散チャネル134および反応ゾーン164内へ流される。その後、プラズマからのイオンが、ガス分散チャネル134および反応ゾーン164を取り囲む表面に衝突して、それらの表面上に蓄積したあらゆる残留副生成物を除去する。620で(および洗浄動作600全体にわたって)、1つまたは複数のカートリッジヒータ240およびヒータプレート198は、チャンバリッドアセンブリ132を所定の温度まで加熱して、プロセスチャンバ100(またはチャンバ内に配置された処理キット)の壁上に蓄積したあらゆる固体の副生成物を加熱する。その結果、蓄積したあらゆる固体の副生成物が蒸発する。蒸発した副生成物は、真空システム178およびポンピングチャネル179によって排気される。いくつかの実施形態では、所定の温度は、150℃以上である。
図1A〜1Bに示すプロセスチャンバ100について、本明細書では、特徴の組合せを有するものとして説明した。一態様では、プロセスチャンバ100は、従来のCVDチャンバと比較すると小さい体積を含む反応ゾーン164を提供する。プロセスチャンバ100は、特定のプロセスに対して、反応ゾーン164を充填するのに、より少量の反応ガスまたはパージガスなどのガスを使用する。別の態様では、プロセスチャンバ100は、ガス流がチャンバリッドアセンブリ132の底面と基板110との間を進む速度プロファイルの変動を低減させるように、下方外向きに傾いているまたは漏斗状の下面(下面160)を有するチャンバリッドアセンブリ132を提供する。さらに別の態様では、プロセスチャンバ100は、ガス分散チャネル134を通って導入されるガス流の速度を低減させるように、ガス分散チャネル134を提供する。さらに別の態様では、プロセスチャンバ100は、ガス分散チャネル134の中心から角度αで流体供給ラインを提供する。プロセスチャンバ100は、本明細書に別途記載する他の特徴を提供する。原子層堆積に適合されたチャンバの他の実施形態は、これらの特徴の1つまたは複数を組み込む。
上記の説明では、環状チャネル260、265、270は、インサートおよび隣接するリッドキャップによって画定されるが、環状チャネル260、265、270は、別法として、他の要素内に形成することができる。
上記は、本開示のいくつかの実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、他のさらなる実施形態を考案することもできる。

Claims (12)

  1. 中心軸に沿って延びて上部部分および下部部分を有する中心チャネルを密閉するハウジングと、
    前記ハウジングに結合されたリッドプレートであって、前記中心チャネルの前記下部部分に結合された中心開口から前記リッドプレートの周辺部分まで下方外向きに延びる外形を有する底面を有するリッドプレートと、
    前記中心チャネルを加熱する第1の加熱要素と、
    前記リッドプレートの前記外形を有する底面を加熱する第2の加熱要素であって、前記リッドプレートの上面に結合されたヒータプレートを構成する第2の加熱要素と、
    前記中心チャネルに流体的に結合された遠隔プラズマ源と、
    前記遠隔プラズマ源と前記ハウジングとの間に結合された分離カラーであって、前記遠隔プラズマ源および前記中心チャネルを流体的に結合するように前記分離カラーを通って延びる内側チャネルを有する分離カラーと
    を備えるチャンバリッドアセンブリ。
  2. 前記第1の加熱要素が、前記ハウジング内に配置された1つまたは複数のカートリッジヒータを構成する、請求項1に記載のチャンバリッドアセンブリ。
  3. 前記遠隔プラズマ源に洗浄ガスを供給するように前記遠隔プラズマ源に結合された洗浄ガス源
    をさらに備える、請求項1に記載のチャンバリッドアセンブリ。
  4. 前記洗浄ガスが三フッ化窒素である、請求項3に記載のチャンバリッドアセンブリ。
  5. 前記分離カラーが、アルミニウムから形成される、請求項1に記載のチャンバリッドアセンブリ。
  6. 前記第1の加熱要素が、前記ハウジング内に配置された1つまたは複数のカートリッジヒータを構成し、
    前記第2の加熱要素が、前記リッドプレートの上面に結合されたヒータプレートを構成し、
    前記ハウジングが、内側領域を含み、第1の環状チャネルおよび第2の環状チャネルを少なくとも部分的に画定し、前記第1および第2の環状チャネルが、前記中心チャネルに流体的に結合され、
    前記内側領域内に配置され、前記中心チャネルを少なくとも部分的に画定する中心通路を有するインサートであって、第1の複数の開孔および第2の複数の開孔を含み、前記第1の複数の開孔が、前記第1の環状チャネルと前記中心チャネルとの間に多孔ガス入口を提供するように第1の水平平面に沿って配置され、前記第2の複数の開孔が、前記第2の環状チャネルと前記中心チャネルとの間に多孔ガス入口を提供するように第2の水平平面に沿って配置される、インサートと、
    前記分離カラーと前記インサートとの間の接触面積を最小にするように前記分離カラーと前記インサートとの間に配置された熱分離リングと
    をさらに備える、
    請求項1から5までのいずれかに記載のチャンバリッドアセンブリ。
  7. 前記ハウジングが、内側領域を含み、第1の環状チャネルおよび第2の環状チャネルを少なくとも部分的に画定し、前記第1および第2の環状チャネルが、前記中心チャネルに流体的に結合され、
    前記内側領域内に配置され、前記中心チャネルを少なくとも部分的に画定する中心通路を有するインサートをさらに備え、前記インサートが、第1の複数の開孔および第2の複数の開孔を含み、前記第1の複数の開孔が、前記第1の環状チャネルと前記中心チャネルとの間に多孔ガス入口を提供するように第1の水平平面に沿って配置され、前記第2の複数の開孔が、前記第2の環状チャネルと前記中心チャネルとの間に多孔ガス入口を提供するように第2の水平平面に沿って配置される、請求項1から5までのいずれかに記載のチャンバリッドアセンブリ。
  8. 前記第1の複数の開孔の各開孔が、第1の回転方向に前記中心軸の周りでガスの流れを引き起こすように前記中心軸に対して角度をなし、
    前記第2の複数の開孔の各開孔が、前記第1の回転方向とは逆の第2の回転方向に前記中心軸の周りでガスの流れを引き起こすように前記中心軸に対して角度をなす、請求項7に記載のチャンバリッドアセンブリ。
  9. 前記分離カラーと前記インサートとの間の接触面積を最小にするように前記分離カラーと前記インサートとの間に配置された熱分離リング
    をさらに備える、請求項7に記載のチャンバリッドアセンブリ。
  10. 前記熱分離リングが、ステンレス鋼から形成される、請求項9に記載のチャンバリッドアセンブリ。
  11. 前記インサートが、前記インサートの上部部分に配置されたキャップを含み、前記キャップが、前記キャップの中心部分内に形成された複数の孔を含み、前記複数の孔が、前記分離カラーの前記内側チャネルおよび前記中心チャネルを流体的に結合する、請求項7に記載のチャンバリッドアセンブリ。
  12. 前記第1の複数の開孔および前記第2の複数の開孔がそれぞれ、半径方向の開孔および接線方向の開孔を含む、請求項7に記載のチャンバリッドアセンブリ。
JP2017537891A 2014-10-06 2015-09-17 サーマルリッドを有する原子層堆積チャンバ Active JP6695884B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/507,780 US10407771B2 (en) 2014-10-06 2014-10-06 Atomic layer deposition chamber with thermal lid
US14/507,780 2014-10-06
PCT/US2015/050661 WO2016057180A1 (en) 2014-10-06 2015-09-17 Atomic layer deposition chamber with thermal lid

Publications (2)

Publication Number Publication Date
JP2017533599A JP2017533599A (ja) 2017-11-09
JP6695884B2 true JP6695884B2 (ja) 2020-05-20

Family

ID=55632394

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017537891A Active JP6695884B2 (ja) 2014-10-06 2015-09-17 サーマルリッドを有する原子層堆積チャンバ

Country Status (8)

Country Link
US (1) US10407771B2 (ja)
EP (1) EP3204962A4 (ja)
JP (1) JP6695884B2 (ja)
KR (3) KR20230105690A (ja)
CN (1) CN107429393B (ja)
SG (2) SG10201903080PA (ja)
TW (1) TWI697579B (ja)
WO (1) WO2016057180A1 (ja)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
KR102024503B1 (ko) 2015-03-13 2019-11-04 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 소스 디바이스 및 방법들
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20160362813A1 (en) * 2015-06-12 2016-12-15 Applied Materials, Inc. Injector for semiconductor epitaxy growth
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017119074A1 (ja) 2016-01-06 2017-07-13 東芝三菱電機産業システム株式会社 ガス供給装置
US10325790B2 (en) * 2016-04-29 2019-06-18 Applied Materials, Inc. Methods and apparatus for correcting substrate deformity
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
KR20180030363A (ko) 2016-09-13 2018-03-22 삼성디스플레이 주식회사 표시 장치
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
TWI649446B (zh) * 2017-03-15 2019-02-01 漢民科技股份有限公司 應用於半導體設備之可拆卸式噴氣裝置
WO2018202935A1 (en) * 2017-05-02 2018-11-08 Picosun Oy Ald apparatus, method and valve
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11004722B2 (en) * 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
WO2019057310A1 (en) * 2017-09-25 2019-03-28 Applied Materials, Inc. SYSTEM FOR CLEANING A VACUUM CHAMBER, METHOD FOR CLEANING A VACUUM CHAMBER, AND USE OF A COMPRESSOR FOR CLEANING A VACUUM CHAMBER
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10847337B2 (en) * 2018-01-24 2020-11-24 Applied Materials, Inc. Side inject designs for improved radical concentrations
US10679870B2 (en) * 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11201035B2 (en) * 2018-05-04 2021-12-14 Tokyo Electron Limited Radical source with contained plasma
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR20230170130A (ko) * 2018-12-20 2023-12-18 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111799143B (zh) * 2019-04-09 2023-09-22 应用材料公司 半导体处理腔室多阶段混合设备
US11335591B2 (en) 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping
WO2021021518A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Semiconductor processing chamber and methods for cleaning the same
KR20230024424A (ko) * 2019-08-12 2023-02-20 커트 제이. 레스커 컴파니 원자 스케일 처리를 위한 초고순도 조건
US11043362B2 (en) * 2019-09-17 2021-06-22 Tokyo Electron Limited Plasma processing apparatuses including multiple electron sources
KR20210065054A (ko) * 2019-11-25 2021-06-03 주식회사 원익아이피에스 가스 공급 블록 및 이를 포함하는 기판 처리 장치
CN110904438A (zh) * 2019-12-04 2020-03-24 沈阳拓荆科技有限公司 用于多种化学源之气体分配装置
CN111705307A (zh) * 2020-06-15 2020-09-25 苏州迈为科技股份有限公司 等离子体气相沉积设备
US11862475B2 (en) * 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging
US20230073150A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Heated lid for a process chamber

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5256205A (en) * 1990-05-09 1993-10-26 Jet Process Corporation Microwave plasma assisted supersonic gas jet deposition of thin film materials
US5614247A (en) * 1994-09-30 1997-03-25 International Business Machines Corporation Apparatus for chemical vapor deposition of aluminum oxide
JP3901252B2 (ja) * 1996-08-13 2007-04-04 キヤノンアネルバ株式会社 化学蒸着装置
US5935334A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Substrate processing apparatus with bottom-mounted remote plasma system
EP0855452B1 (en) 1997-01-24 2003-06-04 Applied Materials, Inc. Process and apparatus for depositing titanium layers
US6051286A (en) 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP2001020076A (ja) * 1999-07-06 2001-01-23 Hitachi Kokusai Electric Inc 反応室のクリーニング方法及び装置
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
EP1322801B1 (de) * 2000-09-22 2010-01-06 Aixtron Ag Cvd-verfahren und gaseinlassorgan zur durchführung des verfahrens
US20030047282A1 (en) 2001-09-10 2003-03-13 Yasumi Sago Surface processing apparatus
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
JP2006128485A (ja) * 2004-10-29 2006-05-18 Asm Japan Kk 半導体処理装置
KR100663351B1 (ko) 2004-11-12 2007-01-02 삼성전자주식회사 플라즈마 처리장치
US20060133955A1 (en) * 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
KR20060076714A (ko) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 원자층 증착기
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
JP5045000B2 (ja) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 成膜装置、ガス供給装置、成膜方法及び記憶媒体
KR100794661B1 (ko) 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
US20080063798A1 (en) * 2006-08-30 2008-03-13 Kher Shreyas S Precursors and hardware for cvd and ald
WO2008052047A2 (en) * 2006-10-24 2008-05-02 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
KR100819096B1 (ko) 2006-11-21 2008-04-02 삼성전자주식회사 Peox공정을 진행하는 반도체 제조설비의 리모트 플라즈마를 이용한 세정방법
WO2008129977A1 (ja) * 2007-04-17 2008-10-30 Ulvac, Inc. 成膜装置
US20090000550A1 (en) 2007-06-29 2009-01-01 Applied Materials, Inc. Manifold assembly
CN101809717B (zh) * 2007-09-25 2012-10-10 朗姆研究公司 用于等离子处理设备的喷头电极总成的温度控制模块
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
CN101802254B (zh) * 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US8137467B2 (en) * 2007-10-16 2012-03-20 Novellus Systems, Inc. Temperature controlled showerhead
US20090095218A1 (en) * 2007-10-16 2009-04-16 Novellus Systems, Inc. Temperature controlled showerhead
US8673080B2 (en) * 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090155488A1 (en) * 2007-12-18 2009-06-18 Asm Japan K.K. Shower plate electrode for plasma cvd reactor
US8187381B2 (en) * 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
JP5315898B2 (ja) * 2008-09-30 2013-10-16 東京エレクトロン株式会社 成膜装置
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
CN102762767B (zh) * 2010-03-12 2015-11-25 应用材料公司 具有多重注射道的原子层沉积腔室
WO2012122054A2 (en) * 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
JP5762841B2 (ja) * 2011-06-21 2015-08-12 東京エレクトロン株式会社 半導体製造装置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
US10487399B2 (en) * 2014-06-26 2019-11-26 Applied Materials, Inc. Atomic layer deposition chamber with counter-flow multi inject
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
JP5961297B1 (ja) * 2015-03-26 2016-08-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20160186319A1 (en) * 2015-06-25 2016-06-30 Rec Silicon Inc Silicon carbide stack bottom seal arrangement
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same

Also Published As

Publication number Publication date
EP3204962A1 (en) 2017-08-16
CN107429393A (zh) 2017-12-01
TWI697579B (zh) 2020-07-01
US20160097119A1 (en) 2016-04-07
EP3204962A4 (en) 2018-05-16
SG11201702258TA (en) 2017-04-27
JP2017533599A (ja) 2017-11-09
TW201619430A (zh) 2016-06-01
KR20230105690A (ko) 2023-07-11
SG10201903080PA (en) 2019-05-30
KR102661401B1 (ko) 2024-04-25
CN107429393B (zh) 2020-07-03
US10407771B2 (en) 2019-09-10
KR102554825B1 (ko) 2023-07-11
WO2016057180A1 (en) 2016-04-14
KR20200098739A (ko) 2020-08-20
KR20170067827A (ko) 2017-06-16

Similar Documents

Publication Publication Date Title
JP6695884B2 (ja) サーマルリッドを有する原子層堆積チャンバ
US10982326B2 (en) Counter-flow multi inject for atomic layer deposition chamber
JP5889806B2 (ja) 複式噴射を伴う原子層堆積チャンバ
US11932939B2 (en) Lids and lid assembly kits for atomic layer deposition chambers
KR102600385B1 (ko) 처리 챔버 혼합 시스템들
US7780785B2 (en) Gas delivery apparatus for atomic layer deposition
EP1444380B1 (en) Gas delivery apparatus for atomic layer deposition
JP2014518452A (ja) 気相成長システム用のプロセスガスディフューザ組立体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180817

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190718

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190729

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20191028

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200323

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200422

R150 Certificate of patent or registration of utility model

Ref document number: 6695884

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250