KR20230170130A - 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치 - Google Patents

개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20230170130A
KR20230170130A KR1020237041701A KR20237041701A KR20230170130A KR 20230170130 A KR20230170130 A KR 20230170130A KR 1020237041701 A KR1020237041701 A KR 1020237041701A KR 20237041701 A KR20237041701 A KR 20237041701A KR 20230170130 A KR20230170130 A KR 20230170130A
Authority
KR
South Korea
Prior art keywords
gas
quadrant
mixing plate
passage
passageway
Prior art date
Application number
KR1020237041701A
Other languages
English (en)
Inventor
비쉬워스 쿠마르 판데이
에릭 키하라 쇼노
카르티크 샤
크리스토퍼 에스. 올센
아구스 소피안 티안드라
토빈 카우프만-오스본
태완 김
한젤 로
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230170130A publication Critical patent/KR20230170130A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01FMIXING, e.g. DISSOLVING, EMULSIFYING OR DISPERSING
    • B01F23/00Mixing according to the phases to be mixed, e.g. dispersing or emulsifying
    • B01F23/10Mixing gases with gases
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01FMIXING, e.g. DISSOLVING, EMULSIFYING OR DISPERSING
    • B01F23/00Mixing according to the phases to be mixed, e.g. dispersing or emulsifying
    • B01F23/10Mixing gases with gases
    • B01F23/19Mixing systems, i.e. flow charts or diagrams; Arrangements, e.g. comprising controlling means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01FMIXING, e.g. DISSOLVING, EMULSIFYING OR DISPERSING
    • B01F25/00Flow mixers; Mixers for falling materials, e.g. solid particles
    • B01F25/10Mixing by creating a vortex flow, e.g. by tangential introduction of flow components
    • B01F25/102Mixing by creating a vortex flow, e.g. by tangential introduction of flow components wherein the vortex is created by two or more jets introduced tangentially in separate mixing chambers or consecutively in the same mixing chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01FMIXING, e.g. DISSOLVING, EMULSIFYING OR DISPERSING
    • B01F25/00Flow mixers; Mixers for falling materials, e.g. solid particles
    • B01F25/30Injector mixers
    • B01F25/31Injector mixers in conduits or tubes through which the main component flows
    • B01F25/314Injector mixers in conduits or tubes through which the main component flows wherein additional components are introduced at the circumference of the conduit
    • B01F25/3141Injector mixers in conduits or tubes through which the main component flows wherein additional components are introduced at the circumference of the conduit with additional mixing means other than injector mixers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01FMIXING, e.g. DISSOLVING, EMULSIFYING OR DISPERSING
    • B01F25/00Flow mixers; Mixers for falling materials, e.g. solid particles
    • B01F25/30Injector mixers
    • B01F25/31Injector mixers in conduits or tubes through which the main component flows
    • B01F25/314Injector mixers in conduits or tubes through which the main component flows wherein additional components are introduced at the circumference of the conduit
    • B01F25/3142Injector mixers in conduits or tubes through which the main component flows wherein additional components are introduced at the circumference of the conduit the conduit having a plurality of openings in the axial direction or in the circumferential direction
    • B01F25/31423Injector mixers in conduits or tubes through which the main component flows wherein additional components are introduced at the circumference of the conduit the conduit having a plurality of openings in the axial direction or in the circumferential direction with a plurality of perforations in the circumferential direction only and covering the whole circumference
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01FMIXING, e.g. DISSOLVING, EMULSIFYING OR DISPERSING
    • B01F25/00Flow mixers; Mixers for falling materials, e.g. solid particles
    • B01F25/40Static mixers
    • B01F25/42Static mixers in which the mixing is affected by moving the components jointly in changing directions, e.g. in tubes provided with baffles or obstructions
    • B01F25/421Static mixers in which the mixing is affected by moving the components jointly in changing directions, e.g. in tubes provided with baffles or obstructions by moving the components in a convoluted or labyrinthine path
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01FMIXING, e.g. DISSOLVING, EMULSIFYING OR DISPERSING
    • B01F35/00Accessories for mixers; Auxiliary operations or auxiliary devices; Parts or details of general application
    • B01F35/50Mixing receptacles
    • B01F35/511Mixing receptacles provided with liners, e.g. wear resistant or flexible liners
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

본 개시내용은 일반적으로, 전자 디바이스 제조 프로세스 동안 처리 챔버의 처리 용적에 적어도 준안정 라디칼 분자 종들 및/또는 라디칼 원자 종들을 제공하는 방법들, 및 그에 관련된 장치에 관한 것이다. 일 실시예에서, 장치는 원격 플라즈마 공급원과 처리 챔버 사이에 배치된 가스 주입 조립체이다. 가스 주입 조립체는 몸체, 몸체에 배치된, 가스 혼합 용적을 한정하는 유전체 라이너, 가스 주입 조립체를 처리 챔버에 결합시키기 위한 제1 플랜지, 및 가스 주입 조립체를 원격 플라즈마 공급원에 결합시키기 위한 제2 플랜지를 포함한다. 가스 주입 조립체는 몸체 및 라이너를 통해 형성된 하나 이상의 가스 주입 포트를 더 포함한다.

Description

개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치{METHOD AND APPARATUS FOR SUPPLYING IMPROVED GAS FLOW TO A PROCESSING VOLUME OF A PROCESSING CHAMBER}
본원에 설명된 실시예들은 일반적으로, 반도체 디바이스 제조 프로세스들의 분야에 관한 것으로, 더 구체적으로는, 전자 디바이스 제조 프로세스 동안 처리 챔버의 처리 용적에 라디칼 분자 종들 및/또는 라디칼 원자 종들을 제공하는 방법들, 및 그에 관련된 장치에 관한 것이다.
원격 플라즈마 공급원들은 일반적으로, 전자 디바이스들, 예컨대, 기판 상의 반도체 디바이스들의 제조 동안, 라디칼 및/또는 이온 종들을 포함하는 활성화된 가스들을 처리 챔버의 처리 용적에, 그리고 처리 용적에 배치된 기판의 표면에 제공하는 데 사용된다. 하나의 그러한 프로세스에서, 처리 가스가 원격 플라즈마 공급원에 제공되고, 플라즈마가 원격 플라즈마 공급원의 처리 가스로부터 형성되며, 플라즈마는 기판의 표면이 노출되는 처리 챔버의 처리 용적 내로 유동된다. 일부 프로세스들, 예컨대, 기판 상의 막 층이 질소의 혼입에 의해 개질되는 질화 프로세스들에서, 플라즈마 활성화된 라디칼 분자 종들, 예컨대, NH 및/또는 NH2를 처리 용적 및 처리 용적에 배치된 기판의 표면에 제공하는 것이 유용할 수 있다. 그러나, 분자 가스, 예를 들어, NH3가 원격 플라즈마 공급원에 제공되고 그로부터 플라즈마가 형성되는 경우, 라디칼 분자 종들, 예컨대, NH 및/또는 NH2를 미리 결정된 양들로 생성하도록 원격 플라즈마 공급원 프로세스를 제어하는 것이 엄청나게 어려울 수 있기 때문에, 플라즈마 내의 결과적인 라디칼들은 주로, 라디칼 원자 종들, 예를 들어, N 및 H를 포함한다. 또한, (원격 플라즈마 공급원과 처리 챔버 사이의 전달 라인에서 또는 기판에 도달하기 전에 처리 챔버에서 발생하는 가스 상 충돌들을 통한) 라디칼 원자 종들의 재조합은 제어하기 어려우며, 종종, 원하는 라디칼 분자 종들, 예컨대, 예에서 제공되는 NH 및/또는 NH2보다는, 원치 않는 종들, 예를 들어, 비반응성 종들, 예컨대, N2를 생성한다.
다른 프로세스들, 예컨대, 선택적 산화 프로세스들 또는 수소 부동태화 프로세스들에서, 수소 라디칼들, 본원에서는 원자 수소를 기판의 표면에 제공하는 것이 유용하다. 불행하게도, 종래의 원격 플라즈마 공급원들은 일반적으로, 20 원자 퍼센트(at%) 초과의 농도들과 같은 고농도들의 수소로 형성된 플라즈마들과 양립가능하지 않은데, 이는 플라즈마 내의 수소 이온들의 더 높은 농도들이 원격 플라즈마 공급원의 유전체 표면들에 손상을 초래할 수 있기 때문이다. 그러므로, 원자 수소는, 분자 수소가 핫-와이어 필라멘트, 예를 들어, 텅스텐 필라멘트와의 충돌을 통해 라디칼 (원자) 종들로 열적으로 해리되는 핫-와이어 공급원을 사용하여 생성될 수 있다. 그러나, 수소의 핫-와이어 해리는 핫-와이어 필라멘트로부터의 기판의 표면 상의 바람직하지 않은 금속 오염, 예컨대, 텅스텐 오염으로 이어질 수 있다.
이에 따라, 관련 기술분야에서는 라디칼 분자 종들 및/또는 라디칼 원자 종들을 처리 챔버의 처리 용적에 제공하는 개선된 방법들 및 그에 관련된 장치가 필요하다.
본 개시내용은 일반적으로, 전자 디바이스 제조 프로세스 동안 처리 챔버의 처리 용적에 라디칼 분자 종들 및/또는 라디칼 원자 종들을 제공하는 방법들, 및 그에 관련된 장치에 관한 것이다. 본원에서 사용되는 바와 같이, "가스 혼합물"은, 라디칼 분자 종들 및/또는 라디칼 원자 종들을 포함하는, 가스 상의 복수의 상이한 종들을 의미한다.
실시예에서, 기판 처리 시스템은: 측벽, 커버, 및 베이스를 갖는 기판 처리 챔버 - 측벽은 가스 유입구를 가짐 -; 가스 유입구에 결합된 가스 유입구 도관; 혼합 플레이트의 혼합 플레이트 개구부에 의해 가스 유입구 도관에 결합된 혼합 플레이트 - 혼합 플레이트 개구부는 가스 유입구 도관과 정합되고 가스 유입구 도관과 유체 결합되며, 혼합 플레이트는 평면을 한정하고, 혼합 플레이트 개구부는 평면에 수직으로 형성되고 벽에 의해 한정되며, 적어도 하나의 가스 통로가 혼합 플레이트 내에 형성되고, 벽에 형성된 대응하는 가스 개구부에 의해, 가스 유입구 도관에 유체 결합됨 -; 원격 플라즈마 도관에 의해 혼합 플레이트 개구부에 유체 결합된 원격 플라즈마 공급원; 및 가스 공급원 도관에 의해 적어도 하나의 가스 통로에 유체 결합된 가스 공급원을 포함한다.
실시예에서, 가스 주입 조립체는: 복수의 가스 유입구들이 외측 에지에 형성된 외측 에지를 갖는 혼합 플레이트, 혼합 플레이트에 의해 한정된 주 평면에 수직으로 혼합 플레이트를 통해 형성된 혼합 플레이트 개구부 - 혼합 플레이트 개구부는 벽에 의해 한정되고, 복수의 가스 개구부들이 벽을 통해 형성됨 - 를 포함하고; 복수의 가스 통로들이, 복수의 가스 유입구들의 각각의 가스 유입구를 벽의 대응하는 가스 개구부에 유체 결합시킨다.
다른 실시예에서, 가스 주입 조립체는: 외측 에지에 의해 한정된 혼합 플레이트를 포함하고, 복수의 가스 유입구들이 혼합 플레이트의 외측 에지에 형성되고, 혼합 플레이트는 혼합 플레이트에 평행하게 한정된 평면을 통해 수직으로 형성된 혼합 플레이트 개구부를 포함하고, 중심 축이 평면에 평행하고, 혼합 플레이트 개구부는 벽에 의해 한정되고 복수의 가스 개구부들이 벽을 통해 형성되고; 복수의 가스 통로들이, 복수의 가스 유입구들의 각각의 가스 유입구를 대응하는 가스 개구부에 유체 결합시키고, 복수의 가스 통로들 중 적어도 하나의 가스 통로는 혼합 플레이트 개구부의 반경을 따라 정렬된다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있기 때문에, 첨부 도면들은 본 개시내용의 전형적인 실시예들만을 예시하고 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1a는, 본 개시내용의 실시예들에 따른, 처리 시스템의 개략적인 단면도이다.
도 1b는, 본 개시내용의 실시예들에 따른, 선(1B-1B)을 따라 취해진, 도 1a에 도시된 가스 주입 조립체의 개략적인 단면도이다.
도 1ba은, 본 개시내용의 실시예들에 따른, 가스 주입 조립체의 노즐의 개략적인 단면도이다.
도 1c는, 본 개시내용의 실시예들에 따른, 선(1C-1C)을 따라 취해진, 도 1b의 가스 주입 조립체의 개략적인 단면도이다.
도 2는, 본 개시내용의 실시예들에 따른, 가스 주입 조립체의 부분의 개략적인 단면도이다.
도 3은, 본 개시내용의 실시예들에 따른, 가스 주입 조립체의 부분의 개략적인 단면도이다.
도 4는 도 1의 가스 주입 조립체와 함께 또는 그 대신에 사용될 수 있는 가스 주입 조립체의 실시예의 개략적인 사시도이다.
도 5a-5c는, 본 개시내용의 실시예들에 따른, 혼합 플레이트들의 개략도들이다.
도 6은, 본 개시내용의 실시예들에 따른, 예시적인 혼합 플레이트이다.
도 7은, 본 개시내용의 실시예들에 따른, 예시적인 혼합 플레이트이다.
도 8은, 본 개시내용의 실시예들에 따른, 예시적인 혼합 플레이트이다.
도 9는, 본 개시내용의 실시예들에 따른, 예시적인 혼합 플레이트이다.
도 10은, 본 개시내용의 실시예들에 따른, 예시적인 혼합 플레이트이다.
도 11은, 본 개시내용의 실시예들에 따른, 예시적인 혼합 플레이트이다.
도 12는, 본 개시내용의 실시예들에 따른, 기판을 처리하는 방법의 흐름도이다.
도 13은, 본 개시내용의 실시예들에 따른, 기판의 규소 함유 표면을 선택적으로 산화시키는 방법의 흐름도이다.
도 14는, 본 개시내용의 실시예들에 따른, 처리 챔버의 처리 용적에 배치된 기판의 표면에 원자 수소를 제공하는 방법의 흐름도이다.
도 15는, 본 개시내용의 실시예들에 따른, 처리 챔버의 처리 용적에 오존(O3)을 제공하는 방법의 흐름도이다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 실시예의 요소들 및 특징들이 추가의 언급 없이 다른 실시예들에 유익하게 통합될 수 있다는 것이 고려된다.
본원에 설명된 실시예들은 일반적으로, 반도체 제조 프로세스들의 분야에 관한 것으로, 더 구체적으로는, 전자 디바이스 제조 프로세스 동안 처리 챔버의 처리 용적에 적어도 준안정 라디칼 분자 종들 및/또는 라디칼 원자 종들을 제공하는 방법들, 및 그에 관련된 장치에 관한 것이다. 처리 챔버는, 석영, 사파이어, 또는 이들의 조합들로 제조되는, 처리 챔버에 배치된 라이너를 가질 수 있다. 본원에서, 준안정 라디칼 종은, 처리 시스템의 처리 조건들 하에서, 약 10 밀리초 초과, 예컨대, 약 0.1 초 초과, 또는 약 10 밀리초 내지 약 3 초, 예를 들어, 약 0.1 초 내지 약 3 초 동안, 비-라디칼 종으로의 재조합 이전에 라디칼 형태를 유지하는 라디칼 종이다.
본원에 논의된 실시예들은 추가로, 처리 챔버에 라디칼들을 제공하는 라디칼 플라즈마 공급원(RPS)을 우회하면서, 챔버에 결합된 가스 공급원으로부터 가스, 예컨대, H2를 도입함으로써 2개 이상의 유동 스트림들을 도입하는 것에 관한 것이다. RPS는 혼합 플레이트에 결합된 유입구를 통해 우회되고, 혼합 플레이트는 RPS 유입구에서 RPS와 처리 챔버 사이에 배치된다. 혼합 플레이트는 외측 에지에 의해 한정되고, 뾰족하거나 둥근 에지들을 갖는 다각형의 기하형상을 포함할 수 있거나, 원형 또는 타원형 기하형상일 수 있다.
혼합 플레이트는 복수의 사분면들에 의해서 더 한정될 수 있고, 각각의 사분면은 혼합 플레이트의 중심의 중심 (수직) 축 및 수평 축의 교차점에 의해서 한정된다. 일부 예들에서, 각각의 사분면은 수직 축과 수평 축의 교차점 뿐만 아니라 혼합 플레이트의 하나 이상의 외측 에지에 의해 한정된다. 따라서, 2개의 인접한 사분면들은, 상반부, 하반부, 우반부 또는 좌반부일 수 있는, 플레이트의 반부를 형성한다. 혼합 플레이트는 외측 외지(들)에 형성된 적어도 하나의 유입구 및 혼합 플레이트를 통해서 형성된 개구부를 포함한다. 개구부는 혼합 플레이트의 중심에 형성될 수 있거나, 혼합 플레이트의 중심 축으로부터 오프셋될 수 있다. 개구부는, 혼합 플레이트의 두께만큼 두껍거나, 그보다 더 두껍거나, 그보다 더 얇을 수 있는 외측 벽에 의해 한정되고, 적어도 하나의 배출구가 벽에 한정된다. 적어도 하나의 유입구 및 적어도 하나의 배출구는 복수의 가스 통로들("통로들")에 의해서 유체 연결되고, 일부 예들에서, 혼합 플레이트는, 개구부를 통해서 챔버에 가스를 제공하도록 설계된, 복수의 유입구들 및 복수의 배출구들을 갖는다.
별개의 가스 공급원은 Ar, N2, He, H2, NH3, N2O, H2O 증기, H2O2 증기 또는 이들의 조합들을 혼합 플레이트의 에지에 형성된 별개의 유입구를 통해 혼합 플레이트에 도입하고, 혼합 플레이트는, H2가, RPS 공급원과 챔버 사이에 배치된 플라즈마 도관을 통해 챔버에 진입하기 전에, 유입구에서 H2가 RPS 공급원으로부터의 공급물과 혼합되기 위한 도관으로서 작용한다. 혼합 플레이트는 가스 공급원으로부터의 유입구에, 그리고, RPS 도관 내로 그리고 처리 챔버 내로 이어지는, 혼합 플레이트의 개구부에 형성되는 배출구에 연결되는 적어도 1개의 통로의 래버린스 구조를 포함할 수 있다. 일부 혼합 플레이트들은 가스(H2) 공급원으로부터 나오는 하나 이상의 유입구를 포함할 수 있다.
다양한 실시예들에서, 각각의 혼합 플레이트 통로는 다른 통로에 유체 연결되고, 그에 의해, 2개 이상의 통로들은 가스 공급원으로부터의 가스 유입구(들)를 혼합 플레이트의 개구부에 형성된 복수의 배출구들을 통해 RPS 도관에 연결한다. 유입구들, 배출구들, 및 이들 사이의 통로들은 H2 공급원에 대해, 서로에 대해, 및/또는 혼합 플레이트의 좌표계에 대해 직경, 길이, 각도의 다양한 구성들로 배열될 수 있다. 일부 예들에서, 유입구들, 배출구들 및 통로들은, 유입구들, 배출구들 및 통로들이 혼합 플레이트의 공통 축을 따라 정렬되도록 축 상에 함께 위치될 수 있다. 대안적인 실시예들에서, 유입구들, 배출구들 및 통로들은 공통 축으로부터 다양한 거리들만큼 오프셋될 수 있다.
도 1a는, 일 실시예에 따른, 처리 시스템의 개략적인 단면도이다. 처리 시스템(100)은 처리 챔버(102), 원격 플라즈마 공급원(RPS)(104), 및 RPS(104)를 처리 챔버(102)에 결합시키는 가스 주입 조립체(103)를 포함한다. 본원에서, 처리 챔버(102)는 급속 열 처리(RTP) 챔버, 예컨대, 급속 열 어닐링(RTA) 챔버이다. 다른 실시예들에서, 처리 챔버(102)는 적어도 준안정 라디칼 분자 종들 및/또는 라디칼 원자 종들의 처리 용적으로의 전달이 요구되는 임의의 다른 처리 챔버이다. 예를 들어, 다른 실시예들에서, 처리 챔버는 플라즈마 강화 또는 플라즈마 보조 증착 챔버, 예컨대, 플라즈마 강화 화학 기상 증착(PECVD) 챔버 또는 플라즈마 강화 원자 층 증착 챔버(PEALD)이다.
처리 시스템(100)에 결합된 제어기(180)는 처리 챔버(102), RPS(104), 및 그들 사이에 배치된 가스 주입 조립체(103) 내로의 가스 유동의 작동을 제어하는 데 사용된다. 가스 주입 조립체(103)는, 적어도 아래의 도 4-13에 도시되고 논의되는, 혼합 플레이트(103A)를 포함하는 본원의 다양한 구성들로 도시된다. 제어기(180)는 일반적으로, 중앙 처리 유닛(CPU)(182), 메모리(186), 및 CPU(182)를 위한 지원 회로들(184)을 포함한다. 제어기(180)는, RPS(104) 및/또는 제2 가스 공급원(119)으로부터의 가스 유동이 가스 주입 조립체(103) 및 처리 챔버(102) 양쪽 모두에 진입할 때 RPS(104) 및/또는 제2 가스 공급원(119)으로부터의 가스 유동의 속도가 제어되도록, 처리 시스템(100)을 직접, 또는 처리 챔버(102), RPS(104), 및/또는 가스 주입 조립체(103)에 결합된 다른 컴퓨터들 및/또는 제어기들(도시되지 않음)을 통해 제어할 수 있다.
본원에 설명된 제어기(180)는 다양한 챔버들 및 그 위의 또는 그 내부의 하위 프로세서들을 제어하기 위해 산업 환경에서 사용되는 임의의 형태의 범용 컴퓨터 프로세서이다. 메모리(186), 또는 컴퓨터 판독가능 매체는, 쉽게 입수가능한 메모리, 예컨대, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크, 플래시 드라이브, 또는 임의의 다른 형태의 로컬 또는 원격 디지털 저장소 중 하나 이상이다. 지원 회로들(184)은 통상적인 방식으로 프로세서를 지원하기 위해 CPU(182)에 결합된다. 지원 회로들(184)은 캐시, 전력 공급부들, 클럭 회로들, 입력/출력 회로 및 하위시스템들 등을 포함한다. 일 예에서, 기판 처리 파라미터들은, 처리 시스템(100)의 작동들을 제어하기 위해 제어기(180)를 특정 목적 제어기로 조정하기 위해 실행되거나 호출되는 소프트웨어 루틴(188)으로서 메모리(186)에 저장된다. 제어기(180)는 본원에 설명된 방법들 중 임의의 것을 수행하도록 구성된다.
처리 챔버(102)는 챔버 베이스(125), 램프 조립체(132), 및 램프 조립체(132)에 결합된 윈도우 조립체(130)를 포함한다. 챔버 베이스(125)는 베이스 벽(128) 및 하나 이상의 제1 측벽(126)을 포함한다. 베이스 벽(128), 하나 이상의 제1 측벽(126), 및 윈도우 조립체(130)는 처리 용적(146)을 한정한다. 윈도우 조립체(130)는 처리 용적(146)과 램프 조립체(132) 사이에 배치된다. 본원에서, 하나 이상의 제2 측벽(134)에 의해 에워싸인 램프 조립체(132)는, 각각의 튜브(138)에 각각 배치된 복수의 램프들(136)을 포함한다. 윈도우 조립체(130)는 복수의 광 파이프들(140)을 포함하고, 복수의 광 파이프들(140) 각각은, 복수의 램프들(136)에 의해 제공되는 복사 열 에너지가, 처리 용적(146)에 배치된 기판(142)으로 지향되도록, 램프 조립체(132)의 각각의 튜브(138)와 정렬된다.
일부 실시예들에서, 복수의 광 파이프들(140)의 하나 이상의 각각의 용적은, 하나 이상의 제2 측벽(134) 중 하나에 형성된 개구부(144)를 통해 각각의 용적과 유체 연통하는 하나 이상의 진공 배기 펌프(도시되지 않음)를 사용하여 대기압 이하의 조건들로 유지된다. 일부 실시예들에서, 윈도우 조립체(130)는, 복수의 광 파이프들(140) 사이에 냉각 유체 공급원(도시되지 않음)으로부터의 냉각 유체를 순환시키기 위해, 윈도우 조립체에 배치된 도관(143)을 더 포함한다. 본원에서, 처리 용적(146)은 하나 이상의 배기 포트(151)를 통해 챔버 배기부에, 예컨대, 하나 이상의 전용 진공 펌프에 유체 결합(연결)된다. 챔버 배기부는 처리 용적(146)을 대기압 이하의 조건들로 유지하고, 처리 및 다른 가스들을 처리 용적으로부터 배기한다.
처리 용적(146)에 배치된 지지 링(148)은 기판(142)의 처리 동안 기판(142)을 지지하는 데 사용된다. 지지 링(148)은 기판(142)의 균일한 가열을 용이하게 하기 위해 지지 링(148)을 지지 링의 수직 축을 중심으로 회전시키는 데에 사용되는 회전가능한 실린더(152)에 결합된다. 일부 실시예들에서, 회전가능한 실린더(152)는 자기 부상 시스템(도시되지 않음)에 의해 부상되고 회전된다. 처리 용적(146)의 베이스 벽(128) 상에 배치된 반사기 플레이트(150)는 기판(142)의 균일한 가열을 더 용이하게 하기 위해 기판(142)의 비-디바이스 표면에 에너지를 반사하는 데에 사용된다. 하나 이상의 온도 센서, 예컨대, 베이스 벽(128)을 통해 배치되고 반사기 플레이트(150)를 통해 더 배치되는 고온계들(154)이 기판(142)의 처리 동안 기판(142)의 온도를 모니터링하는 데에 사용된다. 본원에 설명된 실시예들에 따라 형성된 활성화된 가스는, 가스 주입 조립체(103)에 유체 결합된, 하나 이상의 제1 측벽(126) 중 하나를 통해 배치된 유입구 포트(153)를 통해 처리 챔버(102)의 처리 용적(146) 내로 유동한다. 일부 실시예들에서, 유입구 포트(153)는 노즐, 예컨대, 노즐(163)에 의해 한정된다. 본원에서, 활성화된 가스는 분자 및/또는 원자 종들, 적어도 준안정 라디칼 분자 및/또는 라디칼 원자 종들, 또는 이들의 조합들을 포함한다.
본원의 RPS(104)는 내부에 플라즈마(111)를 점화하고 유지하는 데 사용되는 마이크로파 전원(120)에 결합된다. 다른 실시예들에서, RPS(104)는 유도성 결합된 플라즈마(ICP) 공급원, 변압기 결합된 플라즈마(TCP 공급원), 또는 용량성 결합된 플라즈마(CCP 공급원)를 포함한다. 일부 다른 실시예들에서, RPS는 RF 전력 공급부에 결합된다. RPS(104)는 플라즈마(111)가 형성되는 튜브(110) 주위에 배치된 RPS 몸체(108)를 포함한다. 튜브(110)는 유전체 물질, 예컨대, SiO2, Al2O3, 석영 또는 이들의 조합들로 형성된다. RPS 몸체(108)는 하나 이상의 제1 가스 공급원(118)과 유체 연통하는 유입구(112)에 결합된 제1 단부(114) 및 가스 주입 조립체(103)에 결합된, 제1 단부(114)로부터 먼 제2 단부(116)를 포함한다. 예시적인 가스 주입 조립체(103)가 도 1b에 더 설명된다.
도 1b는, 도 1a의 선(1B-1B)을 따라 취해진, 가스 주입 조립체(103)의 부분의 개략적인 단면도이다. 본원에서, 가스 주입 조립체(103)는 금속, 예컨대, 스테인리스 강으로 형성된 몸체(170), 저재조합 유전체 라이너(173), 예컨대, 석영 또는 사파이어, 및 가스 주입 조립체(103)를 처리 챔버의 하나 이상의 측벽 중 하나에 결합시키기 위한 제1 플랜지(171)를 포함한다. 가스 주입 조립체(103)는 측벽, 예컨대, 도 1a에 설명된 처리 챔버(102)의 하나 이상의 제1 측벽(126) 중 하나에 결합될 수 있다. 가스 주입 조립체는 RPS, 예컨대, 도 1a에 설명된 RPS(104)에 가스 주입 조립체(103)를 결합시키기 위한 제2 플랜지(172), 및 몸체(170) 및 라이너(173)를 통해 형성된 하나 이상의 가스 주입 포트(174)를 더 포함한다. 일부 실시예들에서, 가스 주입 조립체(103)는 제1 플랜지(171)의 장착 표면 평면과 제2 플랜지(172)의 장착 표면 평면 사이에서 가스 주입 조립체의 종축(A)를 따라 측정된 길이(L(1))를 갖고, 여기서 길이(L(1))는 약 25 mm 내지 약 150 mm, 예컨대, 약 50 mm 내지 약 100 mm, 예컨대, 약 75 mm 내지 약 100 mm이다.
일부 실시예들에서, 종축(A)을 중심으로 몸체(170)에 동축으로 배치되고 플라즈마의 활성화된 종들로부터 몸체의 금속 몸체를 보호하는 라이너(173)는 약 20 mm 내지 약 60 mm, 예컨대, 약 25 mm 내지 약 50 mm의 직경(D(1))을 갖는 혼합 용적(175)을 한정한다. 본원에서, 유입구 포트(153)를 한정하는 노즐(163)은 혼합 용적(175)에 근접하고 그와 유체 연통하는 제1 개구부(153a), 및 제1 개구부(153a)로부터 먼 제2 개구부(153b)를 포함하고, 여기서 제2 개구부(153b)는 처리 챔버(102)의 처리 용적(146)에 배치되고 그와 유체 연통한다. 노즐(163)의 제1 개구부(153a)는 대체로 원형인 단면 형상(종축(B)에 직교함)을 갖고, 노즐(163)의 제2 개구부(153b)는 대체로 타원형 또는 직사각형 형상, 예컨대, 폭(W(1)) 및 높이(H)를 갖는, 도 1ba에 도시된 슬릿 형상을 갖는다. 본원에서, 혼합 용적(175)의 유동 단면적(혼합 용적(175)의 유동 단면적은 종축(A)에 직교함)과 제2 개구부(153b)에서의 유입구 포트(153)의 유동 단면적(종축(B)에 직교함)의 비율은 약 1:5 내지 약 1:10이다. 처리 용적(146) 내로 유동하는 가스들에 대한 유동 단면적을 확장시키는 것은 라디칼 분자 및/또는 라디칼 원자 종들의 재조합을 감소시키고, 혼합 용적(175)과 처리 용적(146) 간에 유동 단면적을 확장시키지 않는 노즐들과 비교할 때 기판의 표면에서 더 높은 라디칼 농도 및 플럭스를 초래한다.
예시적인 프로세스에서, RPS(104)에 형성된 플라즈마(111)는 가스 주입 조립체(103)의 혼합 용적(175) 내로 유동하고, 여기서 플라즈마로부터의 라디칼들 및/또는 이온들, 예를 들어, 아르곤 이온들은 가스 주입 포트들(174)을 통해 혼합 용적(175) 내로 주입된 하나 이상의 제2 가스, 예를 들어, H2의 분자 종들과 충돌한다. 플라즈마(111)에 의해 제공되는 라디칼들 및/또는 이온들은 분자 종들을 그들과의 충돌을 통해 적어도 준안정 라디칼 분자 종들 및/또는 라디칼 원자 종들, 예를 들어, 원자 수소를 포함하는 활성화된 가스로 해리시키기에 충분한 에너지를 갖는다. 본원에서, 하나 이상의 제2 가스는 하나 이상의 가스 주입 포트(174)를 통해 혼합 용적(175)에 제공되고, 하나 이상의 가스 주입 포트(174)는 제2 가스 공급원(119)에 유체 결합된다.
하나 이상의 가스 주입 포트(174) 각각은 몸체(170)를 통해 그리고 추가로 라이너(173)를 통해 형성된 개구부를 포함한다. 일부 실시예들에서, 하나 이상의 가스 주입 포트(174)의 직경(D(2))은 약 0.5 mm 내지 약 6 mm, 예컨대, 약 1 mm 내지 약 6 mm, 예컨대, 약 2 mm 내지 약 5 mm, 예를 들어, 약 2 mm 내지 약 4 mm이다. 일부 예들에서, 하나 이상의 가스 주입 포트(174)는 제1 플랜지(171)의 장착 표면 평면으로부터 하나 이상의 각각의 가스 주입 포트(174)의 하나 이상의 종축(E)까지 종축(A)을 따라 측정된 바와 같은 거리(L(2))에 위치된다. 혼합 플레이트(103A)는 제1 플랜지(171)에 결합되거나 제1 플랜지(171) 대신에 사용될 수 있다. 일부 실시예들에서, 거리(L(2))는 약 20 mm 내지 약 80 mm, 예컨대, 약 30 mm 내지 약 60 mm, 또는 약 80 mm 미만, 예컨대, 약 60 mm 미만이다. 하나 이상의 가스 주입 포트의 종축(E)은 가스 주입 포트들의 종축(A)과 각도(φ)를 형성하고, 각도(φ)는 실질적으로 90 °이다. 다른 실시예들에서, 각도(φ)는, 가스 주입 포트(174)를 통해 도입되는 제2 가스가 일반적으로, 처리 챔버(102)의 유입구 포트(153)를 향해 하류 방향으로 유동하고 RPS(104)를 향해 상류 방향으로 유동하지 않도록, 약 90 ° 미만이다.
일 예에서, 가스 주입 조립체(103)의 종축(A)은 약 0 °(즉, 동일 선상) 내지 약 80 °, 예컨대, 약 10 ° 내지 약 70 °, 예컨대, 약 20 ° 내지 약 70 °, 또는 약 10 ° 내지 약 45 °, 예를 들어, 약 20 ° 내지 약 45 °의 각도(θ)로 (처리 챔버(102)의 하나 이상의 측벽(126) 중 하나를 통해 배치된) 유입구 포트(153)의 종축(B)과 교차한다. 일부 실시예들에서, 가스 주입 조립체(103)의 종축(A) 및 RPS(104)의 종축(C)은 약 45 ° 미만, 예컨대, 약 30 ° 미만, 예컨대, 약 20 ° 미만, 예를 들어, 약 10 ° 미만, 또는 약 0 ° 내지 또는 약 20 °, 예를 들어, 약 10 ° 내지 약 20 °의 각도(α)를 형성한다. 일부 실시예들에서, RPS(104)의 종축(C) 및 가스 주입 조립체(103)의 종축(A)은 실질적으로 동일 선상에 있거나 실질적으로 평행하다. 각도(θ) 및/또는 약 0 ° 초과의 각도(α)를 제공하는 것은 그들 간의 충돌을 통해 전자들 또는 다른 대전 입자들과 이온들의 재조합을 촉진하는데, 이는 이온들이 유입구 포트(153)의 내부 표면들에 부딪칠 때 충돌들을 통해 운동량을 잃기 때문이다. 이는, 활성화된 가스가 처리 용적 내로 유동할 때, 활성화된 가스의 이온 밀도를 실질적으로 감소시킨다. 라디칼들에 비해 이온들의 높은 화학적 활성 때문에, 처리 챔버의 처리 용적에 제공되는 활성화된 가스에서의 낮은 이온 밀도는 일부 프로세스들, 예컨대, 도 13에 제시된 선택적 산화 프로세스에서 유용하다.
도 1c는, 도 1b의 선들(1C-1C)을 따라 취해진, 가스 주입 조립체(103)의 개략적인 단면도이며, 여기서 가스 주입 조립체는 복수의, 여기서는 2개의 가스 주입 포트들(174a 및 174b)을 포함한다. 본원에서, 가스 주입 포트들(174a 및 174b)로부터 도입된 가스들이 혼합 용적(175)에서, 바람직하지 않은 핫 스폿을 생성할 수 있고 혼합 용적(175)을 통해 유동하는 플라즈마와의 덜 효율적인 혼합을 초래할 수 있는 바람직하지 않은 충돌을 정면으로 일으키지 않도록, 가스 주입 포트들(174a 및 174b) 각각으로부터 유동하는 가스들이 서로 바로 대향하여 혼합 용적(175) 내로 도입되지 않도록(동일 선상에 있지 않도록), 제1 가스 주입 포트(174a)의 종축(E)은 제2 가스 주입 포트(174b)의 제2 종축(E')으로부터 오프셋된다. 도시된 바와 같이, 가스 주입 포트들(174a, 174b)은 실질적으로 원형 단면 형상을 갖는다.
도 2는, 다른 실시예에 따른, 가스 주입 조립체(203)의 부분의 개략적인 단면도이다. 가스 주입 조립체(203)는, 몸체(170) 및 라이너(173)를 통해 배치된 하나 이상의 가스 주입 포트(274)가 라이너(173)의 제1 개구부(274a)에서 실질적으로 슬릿 단면 형상을 갖고 몸체(170)의 표면의 제2 개구부(274b)에서 실질적으로 원형 단면 형상을 갖는다는 점을 제외하고는, 도 1a-1c에 설명된 가스 주입 조립체(103)와 실질적으로 유사하다. 본원에서, 하나 이상의 제1 개구부(274a)는 1:1 초과, 예컨대, 2:1 초과, 예를 들어, 약 3:1 초과의 길이(L(3)) 대 폭(W(2)) 비율을 갖는다. 일부 실시예들에서, 폭(W(2))은 약 0.5 mm 내지 약 6 mm, 예컨대, 약 1 mm 내지 약 5 mm, 예를 들어, 약 1 mm 내지 약 4 mm이다. 이 실시예에서, 하나 이상의 가스 주입 포트(274)의 하나 이상의 제1 개구부(274a)는, 길이(L(3))를 따라, 가스 주입 조립체(203)의 종축(A)에 실질적으로 평행하다. 다른 실시예들에서, 하나 이상의 가스 주입 포트(274)의 하나 이상의 제1 개구부(274a)는 길이(L(3))를 따라 종축(A)에 실질적으로 직교한다. 다른 실시예들에서, 하나 이상의 가스 주입 포트(274)의 제1 개구부들(274a)은 종축(A)에 대해 임의의 다른 배향을 갖는다. 가스 주입 포트(274)의 단면 형상은, 그를 통한 가스 유동을 혼합 용적(175)에 진입할 때와 같은 리본형 유동으로 지향시키기 위해 제2 개구부(274b)에서의 (종축(E)에 직교하는) 실질적으로 원형의 단면 형상으로부터 제1 개구부(274a)에서의 실질적으로 슬릿형의 단면 형상으로 점진적으로 변화한다. 다른 실시예들에서, 하나 이상의 가스 주입 포트(274)는 제2 개구부(274b)로부터 제1 개구부(274a)로의 실질적으로 슬릿형의 단면 형상 및 실질적으로 동일한 단면 개구부 면적을 유지한다.
도 3은, 다른 실시예에 따른, 가스 주입 조립체(303)의 부분의 개략적인 단면도이다. 가스 주입 조립체(303)는, 처리 가스들이, 라이너(173) 및 몸체(170)를 통해 형성된 복수의 개구부들(374)을 통해 혼합 용적(175)에 전달되는 것을 제외하고는, 도 1a-1c에 설명된 가스 주입 조립체(103)와 실질적으로 유사하며, 여기서 복수의 개구부들(374)은 몸체(170)에 결합된 환형 링(376)에 배치되고 그 둘레 주위에 동심으로 배치된 환형 통로(377)와 유체 연통한다. 일부 실시예들에서, 복수의 개구부들(374) 각각은 약 0.1 mm 내지 약 5 mm, 예컨대, 약 0.5 mm 내지 약 4 mm, 또는 약 0.5 mm 내지 약 4 mm, 예를 들어, 약 1 mm 내지 약 4 mm의 직경(D(4))을 갖는다.
도 4는, 위에서 논의된 처리 시스템(100)의 가스 주입 조립체(103)와 함께 또는 그 대신에 사용될 수 있는 가스 주입 조립체의 실시예의 개략적인 사시도이다. 도 4는 원격 플라즈마 시스템(RPS)(406) 및 제2 가스 공급원(404)에 유체 결합(연결)되는 챔버 몸체(402)를 포함하는 기판 처리 시스템(400)을 도시한다. 챔버 몸체(402)는 외측 에지(402A)에 의해 한정되며, 챔버 몸체(402)의 구조 내부에 있고 덮개(도시되지 않음)에 의해 접근될 수 있는 내부 용적(408)을 포함한다. 가스 유입구(414B) 및 가스 배출구(416)에 결합된 주 가스 유입구 도관(414A)이 또한 예시된다. 혼합 플레이트(410)는 혼합 플레이트의 개구부(412)를 통해 주 가스 유입구(414)에 제거가능하게 결합된다. 혼합 플레이트(410)는 RPS(406)로부터의 플라즈마 유동의 방향에 수직인 평면(418)을 한정한다. RPS(406)는 플라즈마 도관(424)을 통해 내부 용적(408)의 가스 유입구(414B) 및 주 가스 유입구 도관(414A)을 통해 내부 용적(408)에 플라즈마를 전달한다.
제2 가스 공급원(404)은 캐리어 도관(422)을 통해 내부 용적(408)에 유체 결합된다. 캐리어 도관(422)은 제2 가스 공급원(404)의 배출구(422A)로부터 혼합 플레이트(410)의 적어도 하나의 유입구(404A)까지 연장된다. 제2 가스 공급원(404)로부터의 가스 또는 가스 혼합물은 캐리어 도관(422)을 통해 혼합 플레이트(410)의 복수의 통로들을 통과한다. 캐리어 가스는 복수의 배출구들을 통해 혼합 플레이트(410)를 빠져나가고, 가스 유입구 도관(414A)에 인접한 혼합 플레이트(410) 내의 플라즈마 도관(424)의 하류 단부 내로 도입되거나, 대안적으로, 가스 유입구 도관(414A) 내로 도입된다. 플라즈마 도관(424)은 원격 플라즈마 공급원 배출구(420)와 주 가스 유입구 도관(414A) 사이에서 연장된다. 플라즈마 도관(424)은 혼합 플레이트(410)의 적어도 하나의 유입구(404A)로부터 원격 플라즈마 공급원 배출구(420)까지 측정된 길이(424A)를 갖는다. 캐리어 가스는 플라즈마 도관(424)에서 RPS(406)로부터의 플라즈마와 혼합된다.
도 4의 예에서, 혼합 플레이트(410)는 주 가스 유입구 도관(414A)에 인접한 플라즈마 도관(424)의 제1 단부에 배치된다. 도면들은 축척에 맞지 않지만, 도 4의 예에서, 혼합 플레이트(410)는 플라즈마 도관(424)의 길이(424A)의 95% 내지 100%인 위치에서 플라즈마 도관(424)을 따라 배치된다. 그러나, 다른 예들에서, 혼합 플레이트(410)는 플라즈마 도관(424)을 따라 다른 위치들에 위치될 수 있다는 점이 고려된다. 일 예에서, 혼합 플레이트(410)는 플라즈마 도관의 길이(424A)의 약 10%인 위치에 플라즈마 도관(424)을 따라 위치될 수 있다. 다른 예에서, 혼합 플레이트(410)는 플라즈마 도관(424)의 길이(424A)의 약 15% 내지 94%인 위치에 플라즈마 도관(424)을 따라 위치될 수 있다.
플라즈마 도관(424)을 따른 혼합 플레이트(410)의 위치는, 혼합 플레이트를 RPS(406)보다 챔버 몸체(402)에 더 가깝게 배치함으로써 RPS(406)로부터의 라디칼들을 보존하도록 선택될 수 있다. 다른 예들에서, 혼합 플레이트(410)의 위치는 내부 용적(408)보다 RPS(406)에 더 가깝게 선택될 수 있다. 내부 용적(408)보다 RPS(406)에 더 가까운 위치는 플라즈마 도관(424)에서의 라디칼들의 재조합들의 발생을 감소시킬 수 있다. 혼합 플레이트(410)의 위치는 플라즈마 도관(424)의 직경, 플라즈마 도관(424)의 길이(424A), 혼합 플레이트(410)의 통로 구성, 캐리어 가스의 유량, 및/또는 플라즈마의 유량을 포함하는 인자들에 따를 수 있다.
혼합 플레이트(410)는 다양한 구성들로 설계될 수 있다. 일 예에서, 혼합 플레이트(410)는 각각의 통로에서의 캐리어 가스의 속도와 플라즈마 도관(424)에서의 플라즈마의 속도 사이의 목표 속도 비율을 갖도록 설계될 수 있다. 속도 비율(Vc:VRPS)은 캐리어 가스를 운반하는 각각의 통로의 속도(Vc) 대 플라즈마 도관(424)에서의 플라즈마의 속도(VRPS)의 비율이다. 속도 비율은, 플라즈마 도관(424)으로 이어지는 혼합 플레이트의 배출구에 결합되는, 혼합 플레이트(410)의 각각의 통로에 대해 약 10:1 내지 약 10:9일 수 있다. 일 예에서, 배출구에 결합되는, 혼합 플레이트(410)의 각각의 통로(아래에서 상세히 논의됨)는 동일한 속도(Vcx, 여기서 "x"는 혼합 플레이트의 통로의 식별자임)를 가질 수 있다. 다른 예에서, 혼합 플레이트(410)의 2개 이상의 통로들은 상이한 속도들을 가질 수 있다. 혼합 플레이트(410)의 통로를 통한 캐리어 가스의 속도는 각각의 통로의 구성, 예컨대, 직경 및/또는 테이퍼링을 포함하는 인자에 따를 수 있다. 추가적으로, 혼합 플레이트(410)의 통로에 결합된 통로들의 치수들은 배출구에 결합된 통로를 통한 캐리어 가스의 속도에 영향을 줄 수 있다. 혼합 플레이트(410)의 배출구에 결합된 각각의 통로에서의 캐리어 가스의 유량(Fc)은 약 1 slm 내지 약 50 slm일 수 있다. RPS(406)로부터의 플라즈마의 유량(FRPS)은 1 slm 내지 약 20 slm일 수 있다. 다른 예들에서, 캐리어 가스의 유량(Fc)과 플라즈마의 유량(FRPS)의 유량 비율(Fc:FRPS)은 약 10:1-1:20일 수 있다.
도 5a-5c는, 본 개시내용의 실시예들에 따른, 혼합 플레이트들의 개략도들이다. 도 5a-5c는 본원에 설명된 시스템들에 채용될 수 있는 혼합 플레이트들의 다양한 기하형상들을 예시한다. 논의된 유입구들로부터 가스 경로들을 형성하는 복수의 통로들은 여기에 도시되지 않지만, 아래의 도 6-11에 도시된다. 도 5a는 도 1에서 논의된 별개의 가스 공급원(예컨대, 119)으로부터의 가스 혼합물 유입구(502)를 포함하는 혼합 플레이트 조립체(500A)를 도시한다. 가스 혼합물 유입구(502)는 제1 가스 통로(504A) 및 제2 가스 통로(504B)로 분기되며, 여기서는 가스를 가스 혼합물 유입구(502)로부터 제1 유입구(510A) 및 제2 유입구(510B)를 통해 혼합 플레이트(506) 내로 지향시키는 직각들을 포함하는 것으로 도시된다. 혼합 플레이트(506)는 4개의 외측 에지들(508A, 508B, 508C, 508D)뿐만 아니라 폭(508E) 및 높이(508F)에 의해 한정된다. 제1 유입구(510A)는 제1 가스 통로(504A)에 유체 결합되고, 제2 유입구(510B)는 제2 가스 통로(504B)에 유체 결합된다. 도 4에서 논의된 바와 같이 혼합 플레이트(506)의 복수의 배출구들(524)을 처리 챔버의 주 가스 유입구(414)에 연결하는 개구부(412)와 마찬가지로, 주 가스 유입구(414)도 도시된다. 실시예에서, 배출구들(524)은 개구부(412) 주위에 규칙적인 간격들로 이격될 수 있다. 다른 실시예에서, 배출구들(524)은 개구부(412) 주위에 불규칙적인 간격들(상이한 거리들)로 이격될 수 있다. 일부 예들(도시되지 않음)에서, 오직 하나의 배출구(524)만이 개구부(412)에 형성된다. 혼합 플레이트(506)는 둥근 코너들(512)을 갖는 다각형인 것으로 도시되고, 여기서 폭(508E)은 높이(508F)보다 작지만, 다른 형상들, 예컨대, 다양한 종횡비를 갖는 직사각형, 정사각형, 삼각형, 육각형, 팔각형, 또는 다른 형상들을 취할 수 있다. 혼합 플레이트(506)는 유입구들(510A 및 510B)를 개구부(412)의 배출구들에 유체 연결하는 복수의 통로들을 포함하며, 이는 아래의 도 6-10에 예시되고 상세히 논의된다. 유입구들(510A 및 510B)을 복수의 배출구들(524)에 연결하는 래버린스를 형성하기 위해 제1 유입구(510A) 및 제2 유입구(510B)는 각각, 복수의 가스 통로들 중 적어도 하나의 통로에 유체 결합된다.
도 5b는 도 1에서 논의된 별개의 가스 공급원(예컨대, 119)으로부터의 가스 혼합물 유입구(502)를 포함하는 혼합 플레이트 조립체(500B)를 도시한다. 가스 혼합물 유입구(502)는 제1 가스 통로(504A) 및 제2 유동 경로 통로(504B)로 분기되며, 여기서는 가스를 가스 혼합물 유입구(502)로부터 제1 유입구(510A) 및 제2 유입구(510B)를 통해 혼합 플레이트(516) 내로 지향시키는 직각들을 포함하는 것으로 도시된다. 혼합 플레이트(516)는 4개의 외측 에지들(508A, 508B, 508C, 508D)뿐만 아니라 폭(508E) 및 높이(508F)에 의해 한정된다. 제1 유입구(510A)는 제1 가스 통로(504A)에 유체 결합되고, 제2 유입구(510B)는 제2 유동 통로(504B)에 유체 결합된다. 도 4에서 논의된 바와 같이 혼합 플레이트(516)의 복수의 배출구들(524)을 처리 챔버의 주 가스 유입구(414)에 연결하는 개구부(412)와 마찬가지로, 주 가스 유입구(414)도 도시된다. 복수의 배출구들(524)은 개구부(412)의 벽을 따라 어디에든 위치될 수 있고, 위치뿐만 아니라 치수들도 변할 수 있다. 혼합 플레이트(516)는 뾰족한 코너들(514)을 갖는 다각형인 것으로 도시되고, 여기서 폭(508E)은 높이(508F)보다 작지만, 다른 형상들, 예컨대, 다양한 종횡비를 갖는 직사각형, 정사각형, 삼각형, 또는 다른 형상들을 취할 수 있다. "뾰족한" 코너들(514)은 에지들(508C 및 508B)이 서로 90 도 각도로 있도록 구성될 수 있다.
도 5c는 도 1에서 논의된 별개의 가스 공급원(예컨대, 119)으로부터의 가스 혼합물 유입구(502)를 포함하는 혼합 플레이트 조립체(500C)를 도시한다. 가스 혼합물 유입구(502)는 제1 가스 통로(504A) 및 제2 유동 경로 통로(504B)로 분기되며, 여기서는 가스를 가스 혼합물 유입구(502)로부터 제1 유입구(510A) 및 제2 유입구(510B)를 통해 혼합 플레이트(516) 내로 지향시키는 직각들을 포함하는 것으로 도시된다. 제1 유입구(510A)는 제1 가스 통로(504A)에 유체 결합되고, 제2 유입구(510B)는 제2 가스 통로(504B)에 유체 결합된다. 도 4에서 논의된 바와 같이 혼합 플레이트(518)의 복수의 배출구들(524)을 처리 챔버의 주 가스 유입구(414)에 연결하는 개구부(412)와 마찬가지로, 주 가스 유입구(414)도 도시된다. 혼합 플레이트(518)는, 도 5a 및 5b에 도시된 다각형 형상들과 대조적으로, 혼합 플레이트(518)의 원형 형상을 형성하는 외측 에지(522)에 의해 형성된 직경(520)을 포함한다. 도 5a 및 5b에서 논의된 바와 같이, 복수의 통로들은 유입구들(510A 및 510B)을 개구부(412)에 형성된 배출구 통로들에 유체 연결한다.
가스 통로들(504A 및 504B)이 도 5a-5c에서 하나의 기하형상인 것으로 도시되지만, 대안적인 실시예들에서, 경로들은 다양한 실시예들에 적절한 바와 같은 곡선들, 뾰족한 에지들, 곡선 및 뾰족한 에지들의 조합들, 구불구불한 구조, 또는 다른 경로 형상들을 포함할 수 있다. 혼합 플레이트들(506, 516 및 518)은 스테인리스 강 또는 다른 물질들로 형성될 수 있고, 유입구들(510A 및 510B)은, 혼합 플레이트들의 유동 경로 통로들(504A, 504B) 사이의 직각 교차점으로부터 형성되는 것으로 예시되지만, 일부 예들에서는, 0 도 내지 180 도의 각도(α)(도 5c에 도시된 바와 같음)일 수 있다.
도 6은 본 개시내용의 실시예들에 따른 혼합 플레이트(600)이다. 도 6은 2차 가스 공급원(예컨대, 도 1의 119)으로부터의 가스 혼합물 유입구(502)뿐만 아니라, 각각 가스 혼합물 유입구(502)에 유체 연결된, 제1 가스 통로(504A) 및 제2 가스 통로(504B)를 도시한다. 제1 가스 통로(504A)는 혼합 플레이트(600)의 제1 유입구(608A)에 유체 연결되고, 제2 가스 통로(504B)는 혼합 플레이트(600)에 형성된 제2 유입구(608B)에 유체 연결된다. 혼합 플레이트(600)은 4개의 사분면들(624A, 624B, 624C, 및 624D)에 의해 한정되고, 이들 각각은 제1 축(606) 및 제2 축(604)의 교차점에 의해 한정된다. 이 예에서, 사분면들(624A, 624B, 624C, 및 624D) 각각은, 외측 엣지들(508A, 508B, 508C, 및 508D) 중 적어도 2개 뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 더 한정될 수 있다. 제2 축(604)은 제1 축(606)에 수직이고, 도 4에서 논의되고 여기에 또한 예시된 평면(418)과 동일한 방향이다. 혼합 플레이트(600)는, 도 5b와 유사하게, 뾰족한 코너들을 갖는 직사각형으로서 예시되지만, 다각형 형상의 예에서 둥글거나 뾰족한 코너들을 갖는 임의의 기하형상의 형태를 취할 수 있거나, 또한, 도 5c에 도시된 바와 같이 원형 형상 또는 타원형 형상일 수 있다.
따라서, 제1 사분면(624A)은 외측 에지들(508C 및 508A)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있다. 제2 사분면(624B)은 에지들(508C 및 508B)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있다. 제3 사분면(624C)은 에지들(508D 및 508B)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있고, 제4 사분면(624D)은 외측 에지들(508A 및 508D)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있다. 혼합 플레이트(600)에 도시된 개구부(622)는 혼합 플레이트를 통해 형성되고 혼합 플레이트(600)의 벽(634)에 의해서 한정된다. 따라서, 개구부(622)는 도 4의 평면(418)에 수직이다. 개구부(622)는 위에서 도 4에서 논의된 주 가스 유입구(414)의 애퍼쳐와 유사할 수 있다. 예시의 용이함을 위해, 두께(634)는 개구부(622)의 벽 두께를 한정하고, 복수의 배출구들(602)은 벽에 형성되며, 그에 의해, 제1 유입구(608A)를 제2 유입구(608B)에 2차 가스 공급원으로부터 배출구들(602)로 유체 결합시키기 위해 복수의 통로들(610, 614, 616, 612, 618, 및 620)이 혼합 플레이트(600)에 형성된다. 일 예에서, 복수의 배출구들(602)의 각각의 배출구는 개구부(622)의 직경의 약 1% 내지 약 33%인 직경(각각의 통로의 길이에 수직으로 측정된 바와 같음)을 갖는다.
도 6의 예에서, 제1 사분면(624A)은 제1 수평 통로(610)의 부분뿐만 아니라 제1 수직 통로(614) 및 제2 수직 통로(616)를 포함한다. 제1 수평 통로(610)는 제1 유입구(608A)에 유체 결합되고, 제2 수평 통로(612)는 제2 유입구(608B)에 유체 결합된다. 제1 수직 통로(614) 및 제2 수직 통로(616) 각각은 제1 수평 통로(610)뿐만 아니라 배출구들(602)에도 유체 연결되며, 각각 614A 및 616A의 높이를 갖는다. 제1 수직 통로(614)는 제2 수직 통로(616)로부터 거리(632)(통로간 간격)로 이격되고, 제2 수직 통로(616)는 제2 축(604)으로부터 거리(630)로 이격된다.
제2 사분면(624B)은 제1 수평 통로(610)의, 제1 사분면(624A)과 상이한 부분을 포함하고, 여기서 캐리어 가스는 배출구들(602)을 통해 챔버(도시되지 않음)에 진입하는 가스 혼합물의 압력을 증가시키기 위해 축적될 수 있다. 제3 사분면(624C)은 제2 수평 통로(612)의 부분을 포함하고 여기서 캐리어 가스는 배출구들(602)을 통해 챔버(도시되지 않음)에 진입하는 가스 혼합물의 압력을 증가시키기 위해 축적될 수 있다. 제4 사분면(624D)은 제2 수평 통로(612)의 상이한 부분뿐만 아니라 제3 수직 통로(618) 및 제4 수직 통로(620) 수직 통로를 포함하고, 각각은 각각의 높이들(618A 및 620A)에 의해 부분적으로 한정된다. 제3 수직 통로(618) 및 제4 수직 통로(620) 각각은 제2 수평 통로(612)뿐만 아니라 배출구들(602)에도 유체 연결된다. 제3 수직 통로(618)는 제2 축(604)으로부터 거리(628)로 이격되고, 제4 수직 통로(620)는 제3 수직 통로(618)로부터 거리(626)(통로간 간격)로 이격된다.
또한, 도 6에서, 제1 수직 통로(614) 및 제4 수직 통로(620)는 개구부(622)의 내측 벽을 따라 각각의 배출구들(602)과 함께 배치된다. 따라서, 제1 수직 통로(614) 및 제4 수직 통로(620)를 통해 공급되는 캐리어 가스는 측벽들을 따라 플라즈마 유동에 도입된다. 이로써, 제1 수직 통로(614) 및 제4 수직 통로(620)는 각각, 제2 수직 통로(616) 및 제3 수직 통로(618)를 통해 공급되는 캐리어 가스에 비해 증가된 속도를 가질 수 있고, 캐리어 가스 각각은 플라즈마 유동의 중심을 향해 더 가까운 플라즈마 유동에 도입된다. 제2 수직 통로(616) 및 제3 수직 통로(618)로부터의 캐리어 가스와 플라즈마의 혼합은 위에서 논의된 와류 형성에 의해 더 촉진될 수 있다. 일부 예들에서, 제2 수직 통로(616) 및 제3 수직 통로(618)는 와류 형성에 더 기여할 수 있다.
일 예에서, 제2 수직 통로(616)의 높이(616A)는 제3 수직 통로(618)의 높이(618A)와 동일하다. 다른 예에서, 제1 수직 통로(614)의 높이(614A)는 제4 수직 통로(620)의 높이(620A)와 동일하다. 대안적인 실시예들에서, 혼합 플레이트(600)의 각각의 수직 통로들의 각각의 높이들은 상이할 수 있다. 유사하게, 통로간 간격들(626 및 632)은 동일하거나 상이할 수 있다. 다양한 예들에서, 거리들(628 및 630)이다. 수직 통로들(614, 616, 618, 및 620)이 제1 수평 통로(610) 및 제2 수평 통로(612) 각각에 실질적으로 수직인 것으로 도시되지만, 다른 예들에서, 수직 통로들 중 하나 이상이 수평 통로들에 대해 0 내지 180 도의 각도로 형성될 수 있다는 점이 고려된다. 따라서, 가스 혼합물은 가스 혼합물 유입구(502)를 통해 혼합 플레이트(600)에 도입되고, RPS로부터 플라즈마 스트림 내로 배출구들(602)을 통해 혼합 플레이트(600)를 빠져나간다.
4개의 수직 통로들(614, 616, 618, 및 620)이 도 6의 예에 도시된다. 그러나, 다른 예들에서, 혼합 플레이트, 예컨대, 혼합 플레이트(600)는 오직 2개의 수직 통로들만을 포함할 수 있다는 점이 고려된다. 이 예에서, 2개의 수직 통로들은, 제1 수직 통로(614) 및 제3 수직 통로(618), 또는 제2 수직 통로(616) 및 제4 수직 통로(620)와 같이, 대각선상에 위치될 수 있다. 수직 통로들은, 도 4와 관련하여 위에서 논의된 바와 같이, 플라즈마가 플라즈마 도관에 있는 동안 플라즈마에서 와류를 생성하고/거나 유지하기 위해 캐리어 가스를 사용하도록 이러한 방식으로 배치될 수 있다. 플라즈마 와류는 플라즈마와 캐리어 가스의 혼합을 촉진하고, 처리 챔버에서의 플라즈마의 더 균일한 분포를 촉진한다. 통로들은 본원에서 "수직" 또는 "수평"으로 지칭될 수 있지만, 각각의 통로는 다양한 형상들(직선, 곡선, 계단형)을 취할 수 있고, 제1 축(606) 및 제2 축(604)에 대해 다양한 각도들로 있을 수 있다는 점이 고려된다.
도 7은 본 개시내용의 실시예들에 따른 예시적인 혼합 플레이트(700)이다. 도 7은 2차 가스 공급원(예컨대, 도 1의 119)으로부터의 가스 혼합물 유입구(502)뿐만 아니라, 각각 가스 혼합물 유입구(502)에 유체 연결된, 제1 가스 통로(504A) 및 제2 가스 통로(504B)를 도시한다. 제1 가스 통로(504A)는 혼합 플레이트(700)의 제1 유입구(608A)에 유체 연결되고, 제2 가스 통로(504B)는 혼합 플레이트(700)에 형성된 제2 유입구(608B)에 유체 연결된다. 혼합 플레이트(700)는 4개의 사분면들(726A, 726B, 726C, 및 726D)에 의해 한정되고, 사분면들 각각은 제1 축(606) 및 제2 축(604)에 의해 한정된다. 일부 예들에서, 사분면들(726A-726D)은 제1 축(606)에 수직이고 도 4에서 논의된 평면(418)과 동일한 방향인 제2 축(604)에 의해 한정된다. 다른 예들에서, 사분면들(726A-726D)은 외측 에지들(508A, 508B, 508C, 및 508D) 중 적어도 2개뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 더 한정될 수 있다.
혼합 플레이트(700)는, 도 5b와 유사하게, 뾰족한 코너들을 갖는 직사각형으로서 예시되지만, 다각형 형상의 예에서 둥글거나 뾰족한 코너들을 갖는 임의의 기하형상의 형태를 취할 수 있다. 다른 예들에서, 혼합 플레이트는 도 5c에 도시된 바와 같이 원형 형상 또는 타원형 형상일 수 있다. 혼합 플레이트가 원형 또는 타원형 형상을 갖는 경우, 각각의 사분면은 제1 축(606)과 제2 축(604)의 교차점에 의해 한정되고, 원형 또는 타원형 형상의 외측 에지에 의해 더 한정될 수 있다.
제1 사분면(726A)은 에지들(508C 및 508A)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있다. 제2 사분면(726B)은 에지들(508C 및 508B)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있다. 제3 사분면(726C)은 에지들(508D 및 508B)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있고, 제4 사분면(726D)은 에지들(508A 및 508D)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있다. 혼합 플레이트(700)에 도시된 개구부(622)는 혼합 플레이트(700)를 통해 형성되고 혼합 플레이트(700)의 벽(634)에 의해서 한정된다. 따라서, 개구부(622)는 도 4의 평면(418)에 수직이다. 예시의 용이함을 위해, 두께(634)는 개구부(622)를 한정하는 벽 두께로 정의한다. 복수의 배출구들(728)이, 개구부(622)를 한정하는 벽에 형성되고, 그에 의해, 제1 유입구(608A) 및 제2 유입구(608B)를 2차 가스 공급원으로부터 배출구들(728)에 유체 결합시키기 위해 복수의 통로들(702, 704, 706, 708, 710, 712, 714, 716, 및 718)이 혼합 플레이트(700)에 형성된다.
도 7의 예에서, 제1 사분면(726A)은 제1 수평 통로(702)의 부분을 포함하고 제1 유입구(608A) 및 제1 수직 통로(704)에 유체 결합된다. 제1 수직 통로(704)는 제2 수평 통로(706)의 부분에 유체 결합된다. 제2 수평 통로(706)는 제2 수직 통로(708)에 유체 결합된다. 제3 수직 통로(710)는 제3 수직 통로(710)가 제1 사분면(726A)과 제2 사분면(726B) 사이에 놓이도록 제2 축(604)을 따라 형성된다. 제2 사분면(726B)은, 제4 수직 통로(730)에 의해 유체 연결되는, 제2 수평 통로(706) 및 제1 수평 통로(702)의 다른 부분을 더 포함한다. 제2 사분면(726B)의 제2 수평 통로(706)의 부분은 제5 수직 통로(712)에 유체 연결된다. 제2 수직 통로(708) 및 제3 수직 통로(710)는 제3 수직 통로(710)와 제5 수직 통로(712) 사이의 거리(724)와 동일하거나, 그 미만이거나, 그를 초과할 수 있는 거리(722)만큼 분리된다. 제3 사분면(726C)은 제3 수평 통로(718)의 부분을 포함하고 제2 유입구(608B) 및 제6 수직 통로(714)에 유체 결합된다. 제4 사분면(726D)은, 제2 유입구(608B) 및 제7 수직 통로(716)에 유체 결합되는, 제3 수평 통로(718)의 상이한 부분을 포함한다.
수직 통로들(708, 710, 712, 714, 및 716)은 각각 배출구(728)에 유체 결합된다. 제6 수직 통로(714) 및 제7 수직 통로(716)는 거리(720)만큼 분리되고, 제2 축(604)으로부터 등거리로 각각 이격된다. 거리(720)는 간격 거리들(722 및 724) 각각 또는 양쪽 모두와 동일하거나, 그 미만이거나, 그를 초과할 수 있다. 수직 통로들(708, 710, 712, 714, 및 716)이 각각의 수평 통로(702, 706, 및 718)에 실질적으로 수직인 것으로 도시되지만, 다른 예들에서, 수직 통로들 중 하나 이상은 수평 통로들에 대해 0 내지 180 도의 각도로 형성될 수 있다. 따라서, 가스 혼합물은 가스 혼합물 유입구(502)를 통해 혼합 플레이트(700)에 도입되고, 배출구들(728)을 통해 혼합 플레이트(700)를 빠져나간다.
도 8은 본 개시내용의 실시예들에 따른 예시적인 혼합 플레이트(800)이다. 도 8은 2차 가스 공급원(예컨대, 도 1의 119)으로부터의 가스 혼합물 유입구(502)뿐만 아니라, 각각 가스 혼합물 유입구(502)에 유체 연결된, 제1 가스 통로(504A) 및 제2 가스 통로(504B)를 도시한다. 제1 가스 통로(504A)는 혼합 플레이트(800)의 제1 유입구(608A)에 유체 연결된다. 제2 가스 통로(504B)는 혼합 플레이트(800)에 형성된 제2 유입구(608B)에 유체 연결된다. 혼합 플레이트(800)는 4개의 사분면들(802A, 802B, 802C, 및 802D)에 의해 한정되고, 사분면들 각각은 제1 축(606) 및 제2 축(604)에 의해 한정되고, 이들 각각은 외측 에지들(508A, 508B, 508C, 및 508D) 중 적어도 2개에 의해 더 한정될 수 있다. 제2 축(604)은 제1 축(606)에 수직이고, 도 4에서 논의된 평면(418)과 동일한 방향이다.
혼합 플레이트(800)는, 도 5b와 유사하게, 뾰족한 코너들을 갖는 직사각형으로서 예시되지만, 다각형 형상의 예에서 둥글거나 뾰족한 코너들을 갖는 임의의 기하형상의 형태를 취할 수 있지만, 또한, 도 5c에 도시된 바와 같이 원형 형상 또는 타원형 형상일 수 있다. 제1 사분면(802A)은 에지들(508C 및 508A)뿐만 아니라 제1 축(606) 및 제2(604)에 의해 한정될 수 있다. 제2 사분면(802B)은 에지들(508C 및 508B)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있다. 제3 사분면(802C)은 에지들(508D 및 508B)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있고, 제4 사분면(802D)은 에지들(508A 및 508D)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있다. 개구부(622)는 혼합 플레이트(800)에 도시되고, 혼합 플레이트(800)를 통해 형성되고 혼합 플레이트의 벽(634)에 의해서 한정된다. 따라서, 개구부(622)는 도 4의 평면(418)에 수직이다. 예시의 용이함을 위해, 벽(634)은 개구부(622)의 벽 두께를 한정하고, 복수의 배출구들(816)이 벽에 형성된다. 예를 들어, 제1 유입구(608A) 및 제2 유입구(608B)를 2차 가스 공급원으로부터 배출구들(816)에 유체 결합시키기 위해 복수의 통로들(702, 704, 706, 708, 710, 712, 804, 806, 808, 810, 및 812)이 혼합 플레이트(800)에 형성된다. 따라서, 가스 혼합물 유입구(502)로부터의 가스 혼합물은, 가스 혼합물 및 플라즈마가 처리 챔버 내로 전달될 때, RPS로부터의 플라즈마와 조합된다.
도 8의 예에서, 제1 사분면(802A)은, 제1 유입구(608A) 및 제2 수평 통로(706)의 부분 양쪽 모두에 유체 결합되는 제1 수직 통로(704)에 유체 결합되는, 제1 수평 통로(702)의 부분을 포함한다. 제2 수평 통로(706)는 제2 수직 통로(708)에 유체 결합된다. 제3 수직 통로(710)는 제1 사분면(802A)과 제2 사분면(802B) 사이에 놓이도록 제2 축(604)을 따라 형성된다. 제2 사분면(802B)은, 제4 수직 통로(730)에 의해 유체 연결되는, 제2 수평 통로(706) 및 제1 수평 통로(702)의 다른 부분을 더 포함한다. 제2 사분면(802B)의 제2 수평 통로(706)의 부분은 제5 수직 통로(712)에 유체 연결된다. 제2 수직 통로(708) 및 제3 수직 통로(710)는 거리(722)만큼 분리된다. 거리(722)는 제3 수직 통로(710)와 제5 수직 통로(712) 사이의 거리(724)와 동일하거나, 그 미만이거나, 그를 초과할 수 있다.
제3 사분면(802C)은, 제2 유입구(608B)에 유체 결합된, 제3 수평 통로(804)의 부분 및 제4 수평 통로(808)의 부분에 유체 결합된 제6 수직 통로(806)를 포함한다. 제4 수평 통로(808)는, 제2 축(604)을 따라 형성되고 부분적으로 제3 사분면(802C)에 그리고 부분적으로 제4 사분면(802D)에 있는 제7 수직 통로(812)에 유체 결합된다. 제4 사분면(802D)은 제4 수평 통로(808), 및, 제5 수직 통로(712)에 유체 결합된, 제3 수평 통로(804)의 상이한 부분을 포함한다. 제7 수직 통로(812) 및 제6 수직 통로(810)는 거리(814)만큼 분리된다. 제7 수직 통로(812) 및 제6 수직 통로(810) 각각은 다양한 길이들을 가질 수 있고, 각각은 배출구(816)에 유체 결합된다. 유사하게, 수직 통로들(708, 710, 712, 810, 및 812)은 배출구들(816) 중 하나 이상에 각각 유체 결합된다. 제6 수직 통로(714) 및 제7 수직 통로(716)는 거리(720)만큼 분리된다.
제6 수직 통로(714) 및 제7 수직 통로(716) 각각은 제2 축(604)으로부터 등거리로 이격된다. 제6 수직 통로(810)와 제7 수직 통로(812) 사이의 거리는 간격 거리들(722 및 724) 각각 또는 양쪽 모두와 동일하거나, 그 미만이거나, 그를 초과할 수 있다. 수직 통로들(708, 710, 712, 810, 및 812)이 각각의 수평 통로(702, 706, 804, 및 808)에 실질적으로 수직인 것으로 도시되지만, 다른 예들에서, 수직 통로들 중 하나 이상은 수평 통로들에 대해 0 내지 180 도의 각도로 형성될 수 있다. 예시적인 혼합 플레이트(800)에서, 제7 수직 통로(812) 및 제3 수직 통로(710)는 제2 축(604)을 따라 형성되고, 따라서, 제2 축(604)을 따라 서로 정렬된다. 따라서, 가스 혼합물은 가스 혼합물 유입구(502)를 통해 혼합 플레이트(800)에 도입되고, 배출구들(816)을 통해 혼합 플레이트(800)를 빠져나간다. "수직" 및 "수평"이라는 용어들이 본원에서 통로 배향들에 사용되지만, 이 용어는 단지 명확성 및 설명 목적들을 위해 사용된다는 점, 그리고 다른 배향이 고려된다는 점을 주목한다.
도 9는 본 개시내용의 실시예들에 따른 혼합 플레이트(900)를 예시한다. 도 9는 2차 가스 공급원(예컨대, 도 1의 119)으로부터의 가스 혼합물 유입구(502)뿐만 아니라, 각각 가스 혼합물 유입구(502)에 유체 연결된, 제1 가스 통로(504A) 및 제2 가스 통로(504B)를 도시한다. 제1 가스 통로(504A)는 혼합 플레이트(900)의 제1 유입구(608A)에 유체 연결되고, 제2 가스 통로(504B)는 혼합 플레이트(800)에 형성된 제2 유입구(608B)에 유체 연결된다. 혼합 플레이트(900)는 4개의 사분면들(920A, 920B, 920C, 및 920D)에 의해 한정되고, 사분면들 각각은 제1 축(606) 및 제2 축(604)에 의해 한정되고, 이들 각각은 외측 에지들(508A, 508B, 508C, 및 508D) 중 적어도 2개에 의해 더 한정될 수 있다. 제2 축(604)은 제1 축(606)에 수직이고, 도 4에서 논의된 평면(418)과 동일한 방향이다. 혼합 플레이트(900)는, 도 5b와 유사하게, 뾰족한 코너들을 갖는 직사각형으로서 예시된다. 혼합 플레이트(900)는 대안적으로, 다각형 형상의 예에서 둥글거나 뾰족한 코너들을 갖는 임의의 기하형상의 형태를 취할 수 있지만, 또한, 도 5c에 도시된 바와 같이 원형 형상 또는 타원형 형상일 수 있다. 제1 사분면(920A)은 에지들(508C 및 508A)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있고, 제2 사분면(920B)은 에지들(508C, 508B)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있다. 제3 사분면(920C)은 에지들(508D 및 508B)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있고, 제4 사분면(920D)은 에지들(508A 및 508D)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있다.
개구부(902)는 혼합 플레이트(900)에 도시되고, 혼합 플레이트(900)를 통해 형성되고, 혼합 플레이트의 두께(904)에 의해서 도시된, 벽에 의해서 한정된다. 따라서, 개구부(902)는 도 4의 평면(418)에 수직이다. 개구부(902)는 도 6-8에 도시된 바와 같이 원으로 형성될 수 있거나, 제1 직경(906A)이 제2 직경(906B)보다 크도록, 여기에 도시된 바와 같이 타원형 형상일 수 있다. 예시의 용이함을 위해, 두께(904)는 개구부(902)의 두께를 한정하고, 복수의 배출구들(930)이 벽에 형성되며, 그에 의해, 유입구들(608A 및 608B)을 2차 가스 공급원으로부터 배출구들(930)에 유체 결합시키기 위해 복수의 통로들(908, 910, 912, 922, 926, 및 924)이 혼합 플레이트(900)에 형성되고, 그에 의해, 가스 혼합물 및 플라즈마가 처리 챔버 내로 전달될 때 가스 혼합물 유입구(502)로부터의 가스 혼합물이 RPS로부터의 플라즈마와 조합된다.
도 9의 예에서, 제1 사분면(920A)은 제1 유입구(608A)에 유체 결합된 제1 수평 통로(908), 및 배출구(930)에 유체 결합된 제1 수직 통로(912)를 포함한다. 제1 수평 통로(908)는 또한, 제2 수직 통로(910)에 결합되고, 제2 수직 통로는 복수의 배출구들 중 배출구(930)에 더 결합된다. 제2 사분면(920B)은 통로들을 포함하지 않으며, 제1 유입구(608A)의 부분이 제2 사분면에 위치되지만, 가스 혼합물은 제1 유입구(608A)의 가스 혼합물 이외에는 제2 사분면(920B)을 통과하지 않는다. 제1 수직 통로(912) 및 제2 수직 통로(910)는, 제2 축(604)으로부터 제2 수직 통로(910)를 분리시키는 거리(916)보다 작거나, 그보다 크거나, 그와 동일할 수 있는 거리(914)만큼 분리된다. 제1 유입구(608A) 및 제2 유입구(608B) 양쪽 모두가, 공유된 제2 축(604)을 따라 위치되는 것으로 도시되지만, 다른 실시예들에서, 유입구들은 제2 축(604)을 따라, 예를 들어, 제1 축(606)을 따라 오프셋될 수 있다.
제3 사분면(920C)은 제2 유입구(608B)에 그리고 제3 수직 통로(926) 및 제4 수직 통로(924) 각각에 유체 연결되는 제2 수평 통로(922)를 포함한다. 제3 수직 통로(926) 및 제4 수직 통로(924) 각각은 별개의 배출구들(930)에 유체 결합된다. 별개의 배출구들(930)이 혼합 플레이트(900)의 각각의 수직 통로(912, 910, 926, 및 924)와 연관되지만, 다른 예에서, 둘 이상의 통로들이 유입구(예를 들어, 다른 통로) 또는 배출구(930)를 공유할 수 있다. 제3 수직 통로(926) 및 제4 수직 통로(924)는, 제2 축(604)과 제3 수직 통로(926) 사이의 거리(928)보다 작거나, 그보다 크거나, 그와 동일할 수 있는 거리(932)만큼 분리된다.
제4 사분면(920D)은 통로들을 포함하지 않고, 제2 유입구(608B)의 부분이 제4 사분면에 위치되지만, 가스 혼합물은 제2 유입구(608B)의 가스 혼합물 이외에는 제4 사분면(920D)을 통과하지 않는다. 수직 통로들(912, 910, 926, 및 924)이 각각의 수평 통로(908 및 922)에 실질적으로 수직인 것으로 도시되지만, 다른 예들에서, 수직 통로들 중 하나 이상은 수평 통로들에 대해 0 내지 180 도의 각도로 형성될 수 있다. 혼합 플레이트(900)에서, 수직 통로들(912, 910, 926, 및 924)은 축(604 또는 606)을 따라 서로 정렬되지 않는다. 따라서, 가스 혼합물은 가스 혼합물 유입구(502)를 통해 혼합 플레이트(900)에 도입되고, 배출구들(930)을 통해 혼합 플레이트(900)를 빠져나간다.
도 10은 본 개시내용의 실시예들에 따른 혼합 플레이트(1000)를 예시한다. 도 10은 2차 가스 공급원(예컨대, 도 1과 관련하여 논의된 119)으로부터의 가스 혼합물 유입구(502)뿐만 아니라, 각각 가스 혼합물 유입구(502)에 유체 연결된, 제1 가스 통로(504A) 및 제2 가스 통로(504B)를 도시한다. 제1 가스 통로(504A)는 혼합 플레이트(900)의 제1 유입구(608A)에 유체 연결되고, 제2 가스 통로(504B)는 혼합 플레이트(800)에 형성된 제2 유입구(608B)에 유체 연결된다. 혼합 플레이트(1000)은 4개의 사분면들(1022A, 1022B, 1022C, 및 1022D)에 의해 한정되고, 각각의 사분면은 제1 축(606) 및 제2 축(604)에 의해 한정된다.
일부 실시예들에서, 각각의 사분면(1022A-1022D)은 외측 에지들(508A, 508B, 508C, 및 508D) 중 적어도 2개에 의해 더 한정될 수 있다. 제2 축(604)은 제1 축(606)에 수직이고, 도 4에서 논의된 평면(418)과 동일한 방향이다. 혼합 플레이트(1000)는, 도 5b와 유사하게, 뾰족한 코너들을 갖는 직사각형으로서 예시되지만, 다각형 형상의 예에서 (도 5a에와 같이) 둥글거나 뾰족한 코너들을 갖는 임의의 기하형상의 형태를 취할 수 있거나, 도 5c에 도시된 바와 같이 원형 형상 또는 타원형 형상일 수 있다. 따라서, 제1 사분면(1022A)은 에지들(508C 및 508A)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있고, 제2 사분면(1022B)은 에지들(508C, 508B)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있다. 제3 사분면(1022C)은 에지들(508D 및 508B)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있고, 제4 사분면(1022D)은 에지들(508A 및 508D)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있다.
개구부(622)는 혼합 플레이트(1000)에 도시되고, 혼합 플레이트(1000)를 통해 형성되고 혼합 플레이트의 벽(634)에 의해서 한정된다. 따라서, 개구부(622)는 도 4의 평면(418)에 수직이다. 복수의 배출구들(1024)이 벽(634)에 형성되며, 그에 의해, 제1 유입구(608A) 및 제2 유입구(608B)를 2차 가스 공급원으로부터 배출구들(1024)에 유체 결합시키기 위해 복수의 통로들(1002, 1004, 1006, 1008, 1014, 1016)이 혼합 플레이트(1000)에 형성되고, 그에 의해, 가스 혼합물 및 플라즈마가 처리 챔버 내로 전달될 때 가스 혼합물 유입구(502)로부터의 가스 혼합물이 RPS로부터의 플라즈마와 조합된다. 따라서, 가스 혼합물 유입구(502)로부터 개구부(622)를 통한 챔버로의 유동 경로는 제1 유입구(608A) 및 제2 유입구(608B), 통로들(1002, 1004, 1006, 1008, 1014, 및 1016), 및 배출구들(1024)을 통해 확립되고, 이들 각각은 아래에 논의되는 바와 같이 적어도 하나의 수직 통로에 연결된다.
도 10의 예에서, 제1 사분면(1022A)은 제1 유입구(608A)에 유체 결합되는 제1 수평 통로(1002) 및 배출구(1024)에 더 유체 결합되는 제1 수직 통로(1006)를 포함한다. 제1 수평 통로(1002)는 또한, 제2 수직 통로(1004)에 결합되고, 제2 수직 통로는 복수의 배출구들 중 배출구(1024)에 더 결합되며, 배출구(1024)는 여기서 통로(1004)에 결합된 것과는 상이한 배출구(1024)로서 도시되지만, 일부 실시예들에서, 2개 이상의 수직 통로들 사이의 공유된 배출구일 수 있다.
제2 사분면(1022B)은 통로들을 포함하지 않는다. 제1 유입구(608A)의 부분이 제2 사분면(1022B)에 위치되지만, 가스 혼합물은 제1 유입구(608A)의 가스 혼합물 이외에는 제2 사분면(1022B)을 통과하지 않는다. 제1 수직 통로(1006) 및 제2 수직 통로(1004)는, 제2 축(604)으로부터 제2 수직 통로(1004)를 분리시키는 거리(1010)보다 작거나, 그보다 크거나, 그와 동일할 수 있는 거리(1012)만큼 분리된다. 제1 유입구(608A) 및 제2 유입구(608B) 양쪽 모두가, 공유된 제2 축(604)을 따라 위치되는 것으로 도시되지만, 다른 실시예들에서, 유입구들은 그 축(604)을 따라, 예를 들어, 제1 축(606)을 따라 오프셋될 수 있거나, 제1 축(606)에 대해 90 도 이외의 각도로 각을 이룰 수 있다.
제3 사분면(1022C)은 제2 유입구(608B)뿐만 아니라 제3 수직 통로(1014) 및 제4 수직 통로(1016)에 유체 연결된 제2 수평 통로(1008)를 포함한다. 제3 수직 통로(1014) 및 제4 수직 통로(1016) 각각은 별개의 배출구들(1024)에 유체 결합되지만, 일부 실시예들에서, 배출구(1024)를 공유할 수 있다. 제3 수직 통로(1014) 및 제4 수직 통로(1016)는 제2 축(604)과 제3 수직 통로(1014) 사이의 거리(1018)보다 작거나, 그보다 크거나, 그와 동일할 수 있는 거리(1020)만큼 분리된다.
제4 사분면(1022D)은 통로들을 포함하지 않는다. 제2 유입구(608B)의 부분이 제4 사분면에 위치되지만, 가스 혼합물은 제2 유입구(608B)의 가스 혼합물 이외에는 제4 사분면(1022D)을 통과하지 않는다. 수직 통로들(1004, 1006, 1014, 및 1016)이 각각의 수평 통로(1002 및 1008)에 실질적으로 수직인 것으로 도시되지만, 다른 예들에서, 수직 통로들(1004, 1006, 1014, 또는 1016) 중 하나 이상은 수평 통로들(1002 및 1008)에 대해 0 내지 180 도의 각도로 형성될 수 있다. 혼합 플레이트(1000)에서, 수직 통로들(1004, 1006, 1014, 및 1016)는 축(604 또는 606)을 따라 서로 정렬되지 않는다. 따라서, 가스 혼합물은 가스 혼합물 유입구(502)를 통해 혼합 플레이트(1000)로 도입되고, 배출구들(1024)을 통해 혼합 플레이트(1000)를 빠져나간다.
도 11은 본 개시내용의 실시예들에 따른 혼합 플레이트(1100)를 예시한다. 도 11은 2차 가스 공급원(예컨대, 도 1의 119)으로부터의 가스 혼합물 유입구(502)뿐만 아니라, 각각 가스 혼합물 유입구(502)에 유체 연결된, 제1 가스 통로(504A) 및 제2 가스 통로(504B)를 도시한다. 제1 가스 통로(504A)는 혼합 플레이트(1100)의 제1 유입구(608A)에 유체 연결되고, 제2 가스 통로(504B)는 혼합 플레이트(800)에 형성된 제2 유입구(608B)에 유체 연결된다. 혼합 플레이트(1100)는 4개의 사분면들(1114A, 1114B, 1114C, 및 1114D)에 의해 한정되고, 사분면들 각각은 제1 축(606) 및 제2 축(604)에 의해 한정되고, 일부 실시예들에서, 외측 에지들(508A, 508B, 508C, 및 508D) 중 적어도 2개에 의해 더 한정된다. 제2 축(604)은 제1 축(606)에 수직이고, 도 4에서 논의된 평면(418)과 동일한 방향이다. 혼합 플레이트(1100)는, 도 5b와 유사하게, 뾰족한 코너들을 갖는 직사각형으로서 예시되지만, 다각형 형상의 예에서 둥글거나 뾰족한 코너들을 갖는 임의의 기하형상의 형태를 취할 수 있거나, 도 5c에 도시된 바와 같이 원형 형상 또는 타원형 형상일 수 있다. 따라서, 제1 사분면(1114A)은 에지들(508C 및 508A)뿐만 아니라 제1 축(606) 및 제2 축(604)에 의해 한정될 수 있고; 제2 사분면(1114B)은 에지들(508C, 508B)뿐만 아니라 제1 축(606), 제2 축(604)에 의해 한정될 수 있다. 제3 사분면(1114C)은 에지들(508D 및 508B)뿐만 아니라 제1 축(606), 제2 축(604)에 의해 한정될 수 있고; 제4 사분면(1114D)은 에지들(508A 및 508D)뿐만 아니라 제1 축(606), 제2 축(604)에 의해 한정될 수 있다.
개구부(622)는 혼합 플레이트(1100)에 도시되고, 혼합 플레이트(1100)를 통해 형성되고 혼합 플레이트의 벽(634)에 의해서 한정된다. 따라서, 개구부(622)는 도 4의 평면(418)에 수직이다. 복수의 배출구들(1116)이 벽(634)에 형성되고, 그에 의해, 제1 유입구(608A)를 제2 유입구(608B)에 2차 가스 공급원으로부터 배출구들(1116)에 유체 결합시키기 위해 복수의 통로들(1102, 1104, 1106, 1108, 1110, 1112)이 혼합 플레이트(1100)에 형성된다. 가스 혼합물 유입구(502)로부터의 가스 혼합물은, 가스 혼합물 및 플라즈마가 처리 챔버 내로 전달될 때, RPS로부터의 플라즈마와 조합된다. 따라서, 가스 혼합물 유입구(502)로부터 개구부(622)를 통한 챔버로의 유동 경로는 제1 유입구(608A)를 통해 제2 유입구(608B), 통로들(1102, 1104, 1106, 1108, 1110, 1112), 및 배출구들(1116)로 확립되고, 이들 각각은 아래에 논의되는 바와 같이 적어도 하나의 수직 통로에 연결된다.
도 11의 예에서, 제1 사분면(1114A)은, 제2 축(604)에 수직이고 가스 혼합물을 다른 통로들을 통해 이동시키기 위해 가스 혼합물 압력을 구축하는 데 사용될 수 있는 제1 수평 통로(1102)의 부분을 포함한다. 제2 사분면(1114B)은, 제1 유입구(608A)에, 그리고 배출구(1116)에 유체 결합되는 제1 수직 통로(1104)에 유체 결합되는 제1 수평 통로(1102)를 포함한다. 제1 수직 통로(1104)는 제1 수직 통로(1104)가 제2 축(604)과 정렬되기 때문에 제1 사분면(1114A) 및 제2 사분면(1114B) 양쪽 모두에 있다고 할 수 있다. 제1 수평 통로(1102)는 제1 수직 통로(1104)에 결합된 제1 부분(1102A)을 포함하고, 제1 부분(1102A)은 제1 축(606)에 평행하다.
제1 수평 통로(1102)의 제2 부분(1102B)은 제1 축(606)에 대해 각도(α)를 이루고, 제2 통로(1106)는 제2 부분(1102B)뿐만 아니라 배출구(1116)에 결합된다. 각도(α)는 90 도 내지 약 180 도, 예컨대, 약 120 도 내지 약 150 도일 수 있다. 제2 통로(1106)는 제2 부분(1102B)에 대해 각도(δ)를 이룰 수 있다. 각도(δ)는, 실시예에 따라, -10 도 내지 +170 도일 수 있다. 일 예에서, 각도(δ)는 약 85 도 내지 약 95 도의 범위 내, 예컨대, 90 도이다. 제1 수직 통로(1104) 및 제2 수직 통로(1106)가 별개의 배출구들(1116)과 연관되는 것으로 도시되지만, 대안적인 실시예들에서, 2개 이상의 수직 통로들은 단일 배출구(1116)를 공유한다.
제3 사분면(1114C)은, 제2 유입구(608B)에, 그리고 배출구(1116)에 유체 결합되는 제3 수직 통로(1110)에 유체 결합되는 제2 수평 통로(1108)를 포함한다. 일 예에서, 제2 수평 통로(1108)는 제3 수직 통로(1110)에 결합된 제1 부분(1108A)을 포함하고, 제1 부분(1108A)은 제1 축(606)에 평행하다. 제2 수평 통로(1108)의 제2 부분(1108B)은 제1 축(606)에 대해 각도(β)를 이루고, 제4 통로(1112)는 제2 부분(1108B)뿐만 아니라 배출구(1116)에 결합된다. 각도(β)는 90 도 내지 약 180 도, 예컨대, 약 120 도 내지 약 150 도일 수 있다. 제4 통로(1112)는 제2 부분(1108B)에 대해 각도(γ)를 이룰 수 있고, 각도(γ)는 실시예에 따라 -10 도 내지 +170 도일 수 있다. 일 예에서, 각도(γ)는 85 도 내지 약 95 도, 예컨대, 90 도이다.
제3 수직 통로(1110) 및 제4 통로(1112)가 별개의 배출구들(1116)과 연관되는 것으로 예시되지만, 대안적인 실시예들에서, 2개 이상의 수직 통로들은 단일 배출구(1116)를 공유한다. 일 실시예에서, 제3 수직 통로(1110)는 본원에서 논의되는 다른 수직 통로들과 같이 제1 축(606)에 대해 수직이 아닐 수 있다. 제4 사분면(1114D)은, 제2 축(604)에 수직이고 가스 혼합물을 다른 통로들을 통해 이동시키기 위해 가스 혼합물 압력을 구축하는 데 사용될 수 있는 제2 수평 통로(1108)의 부분을 포함한다. 따라서, 가스 혼합물은 가스 혼합물 유입구(502)를 통해 혼합 플레이트(1100)로 도입되고, 배출구들(1116)을 통해 혼합 플레이트(1100)를 빠져나간다.
도 12는 적어도 준안정 라디칼 분자 및/또는 라디칼 원자 종들을 처리 챔버의 처리 용적에 제공하는 방법(1200)의 흐름도이다. 작동(1205)에서, 방법(1200)은 기판을 처리 챔버의 처리 용적에 위치시키는 단계를 포함하고, 여기서 처리 용적은 처리 용적과 원격 플라즈마 공급원 사이에 배치된 가스 주입 조립체를 통해 원격 플라즈마 공급원과 유체 연통한다. 일부 실시예들에서, 처리 챔버는 급속 열 처리(RTP) 챔버, 예컨대, 도 1a에 설명된 처리 챔버(102)이다. 작동들(1210 및 1215)에서, 방법(1200)은 원격 플라즈마 공급원의 제1 가스 또는 제1 가스 혼합물의 플라즈마를 형성하는 단계, 및 플라즈마를 가스 주입 조립체, 예컨대, 도 1-3에 설명된 가스 주입 조립체들(103, 203 또는 303)의 혼합 용적 내로 유동시키는 단계를 각각 포함한다.
작동(1220)에서, 방법(1200)은 제2 가스를, 혼합 용적과 유체 연통하는 하나 이상의 가스 주입 포트를 통해 가스 주입 조립체의 혼합 용적으로 유동시키는 단계를 포함한다. 작동(1225)에서, 방법(1200)은 제2 가스의 분자들을 그의 분자 및/또는 라디칼 원자 종들로 해리시키는 단계를 포함한다. 여기서, 제2 가스의 분자들을 그의 라디칼 종들로 해리시키는 단계는 플라즈마의 라디칼들, 이온들, 및 다른 대전 입자들과 가스 주입 조립체의 혼합 용적의 제2 가스의 분자들을 충돌시키는 것을 포함한다. 작동(1230)에서, 방법(1200)은 라디칼 종들을 처리 챔버의 처리 용적 내로 도입하는 단계를 포함한다.
작동(1235)에서, 방법은 기판을 라디칼 종들에 노출시키는 단계를 포함한다. 일부 실시예들에서, 방법(1200)은 기판을 약 500 ℃ 내지 약 1100 ℃, 예컨대, 약 500 ℃ 내지 약 1000 ℃, 또는 약 1000 ℃ 미만, 예컨대, 약 900 ℃ 미만, 예를 들어, 약 850 ℃ 미만의 처리 온도로 가열하는 단계를 더 포함한다. 방법(1200)의 일부 실시예들에서, 기판을 가열하는 단계는 복사 에너지를 기판의 디바이스 측 표면을 향해 지향시키는 것을 포함한다. 일 실시예에서, 방법(1200)에서의 기판의 디바이스 측 표면은 유전체 층, 예컨대, SiO2, SiON, 질화규소, 고-K 유전체, 또는 이들의 조합들을 포함한다. 본원의 고-K 유전체 층들은, 단일 또는 층상 구조들(예를 들어, SiO2/고-k/SiO2)의, 알루미늄(Al), 하프늄(Hf), 란타넘(La), 또는 지르코늄(Zr) 기재 산화물들, 산질화물들, 및/또는 질화규소들(SixNy)을 포함한다. 일부 실시예들에서, 제2 가스는 질소 함유 가스, 예컨대, NH3를 포함하고, 라디칼 종들은 N, NH, NH2, 또는 이들의 조합들을 포함하고, 기판을 라디칼 종들에 노출시키는 단계는 유전체 층을 라디칼 종들에 노출시키는 것을 포함한다. 일부 실시예들에서, 제1 가스는 희가스, 예컨대, 아르곤, 헬륨, 네온, 크세논, 크립톤, 또는 이들의 조합을 포함한다. 일부 실시예들에서, 제1 가스는 희가스, N2, 또는 이들의 조합을 포함한다.
도 13은, 일 실시예에 따른, 기판의 규소 함유 표면을 선택적으로 산화시키는 방법(1300)의 흐름도이다. 작동(1305)에서, 방법(1300)은 기판을 처리 챔버의 처리 용적에 위치시키는 단계를 포함한다. 기판의 표면은 규소, 예컨대, 비정질 규소, 폴리실리콘, 및/또는 결정질 규소, 질화규소, 또는 증착된 산화규소(예컨대, ALD 또는 CVD 프로세스에 의해 증착된 SiO2)로 형성된 복수의 피쳐들, 및 복수의 비-규소 피쳐들, 예컨대, 금속으로 형성된 피쳐들, 예컨대, 텅스텐 피쳐들을 포함한다. 작동(1310)에서, 방법(1300)은 기판을 향해 복사 에너지를 지향시킴으로써 기판을 가열하는 단계를 포함한다. 본원에서, 기판은 약 1000 ℃ 미만, 예컨대, 약 900 ℃ 미만, 예를 들어, 약 850 ℃ 미만, 또는 약 800 ℃ 미만의 온도로 가열된다. 일부 실시예들에서, 기판은 약 550 ℃ 내지 약 650 ℃, 예를 들어, 약 600 ℃의 온도로 가열되고/거나 유지된다.
일부 실시예들에서, 처리 용적은 약 5 Torr 미만, 예컨대, 약 3 Torr 미만, 예를 들어, 약 1.5 Torr의 압력으로 유지된다. 작동(1315)에서, 방법(1300)은 원격 플라즈마 공급원을 사용하여 제1 가스 또는 가스 혼합물의 플라즈마를 형성하는 단계를 포함한다. 본원에서, 제1 가스 혼합물은 O2 및 H2를 포함하고, 여기서 H2는 제1 가스 혼합물에 20 원자% 이하로 존재한다. 제1 가스 혼합물의 다른 예에서, H2 분자 대 O2 분자의 비율은 약 1:5 내지 약 1:4이다. 일부 실시예들에서, H2 대 O2의 비율은 약 1:4 미만, 예컨대, 약 1:5 미만, 약 1:10 미만이다. 일부 실시예들에서, 제1 가스에는 H2가 실질적으로 없다. 일부 실시예들에서, 제1 가스는 불활성 가스, 예컨대, 아르곤, 헬륨, 크립톤, 또는 이들의 조합을 더 포함한다. 작동(1320)에서, 방법(1300)은 플라즈마를 원격 플라즈마 공급원과 처리 챔버의 처리 용적 사이에 배치된 가스 주입 조립체의 혼합 용적 내로 유동시키는 단계를 포함한다.
작동(1325)에서, 방법(1300)은 제2 가스, 예컨대, H2를 가스 주입 조립체의 몸체 및 라이너에 형성된 하나 이상의 가스 주입 포트를 통해 혼합 용적 내로 유동시키는 단계를 포함한다. 작동(1325)에서, 실시예 또는 실시예들의 조합들에 따른 혼합 플레이트가, 제2 가스를 혼합 플레이트의 통로의 래버린스를 통해 챔버 내로 유동시키는 데 채용된다. 본원에서, 작동(1330)에서, 제2 가스의 분자들을 그들의 라디칼 분자 종들 및/또는 라디칼 원자 종들로 해리시키기 위해, 플라즈마의 이온들, 라디칼들, 및/또는 다른 대전 입자들이 제2 가스의 분자들과 충돌한다. 제2 가스의 라디칼 종들 및 플라즈마는 활성화된 가스를 형성한다. 본원에서, 원격 플라즈마 공급원으로부터 오는 활성화된 산소, 및 선택적으로 수소의 스트림에 충분한 H2를 추가함으로써, 혼합 용적에서의 그리고 처리 챔버에 진입할 때의 수소 대 산소의 원자 비율은 약 3:1 초과, 예컨대, 약 4:1 초과, 예컨대, 약 5:1 초과, 또는 약 4:1 내지 약 10:1이다. 유리하게, 원격 플라즈마 공급원으로부터 오는 활성화된 산소, 및 선택적으로 수소의 스트림에 대한 수소의 추가는, RPS에 대한 손상을 방지하는 데 유용한 1:4(수소:산소) 미만의 원자 비율 미만으로부터 처리 챔버의 처리 용적 내로 유동하는 활성화된 가스의 약 3:1 초과까지의 증가된 활성화된 수소 농도를 허용한다.
작동(1335)에서, 방법(1300)은 활성화된 가스를 처리 챔버의 처리 용적(예를 들어, 내부 용적) 내로 유동시키는 단계를 포함한다. 작동(1340)에서, 방법(1300)은 기판을 활성화된 가스에 노출시키는 단계를 포함한다. 일부 실시예들에서, 기판을 활성화된 가스에 노출시키는 단계는 SiO2를 형성하기 위해 규소를 포함하는 물질들로 형성된 복수의 피쳐들을 산화시킨다. 방법(1300)의 일부 실시예들에서, 기판을 활성화된 가스에 노출시키는 단계는, 복수의 비-규소 피쳐들, 예컨대, 금속 피쳐들의 산화가 없거나 산화를 최소로 하면서, 규소를 포함하는 물질들로 형성된 복수의 피쳐들을 산화시킨다.
도 14는, 일 실시예에 따른, 처리 챔버의 처리 용적에 배치된 기판의 표면에 원자 수소를 제공하는 방법의 흐름도(1400)이다. 작동(1405)에서, 방법(1400)은 기판을 처리 챔버의 처리 용적에 위치시키는 단계를 포함한다. 처리 용적은 처리 용적과 원격 플라즈마 공급원 사이에 배치된 가스 주입 조립체를 통해 원격 플라즈마 공급원에 유체 결합된다. 작동(1410)에서, 방법(1400)은 원격 플라즈마 공급원을 사용하여 제1 가스의 플라즈마를 형성하는 단계를 포함한다. 본원에서, 제1 가스는 하나 이상의 희가스, 예컨대, 아르곤, 헬륨, 네온, 크세논, 크립톤, 또는 이들의 조합을 포함한다. 일 실시예에서, 제1 가스는 아르곤을 포함한다. 작동(1415)에서, 방법(1400)은 플라즈마를 가스 주입 조립체의 혼합 용적 내로 유동시키는 단계를 포함한다. 작동(1420)에서, 방법(1400)은 제2 가스, 예컨대, 수소(예를 들어, H2)를 하나 이상의 가스 주입 포트를 통해 혼합 용적 내로 유동시키는 단계를 포함한다.
작동(1420)에서, 실시예 또는 실시예들의 조합들에 따른 혼합 플레이트가, 제2 가스를 혼합 플레이트의 통로의 래버린스를 통해 챔버 내로 유동시키는 데 채용된다. 작동(1425)에서, 방법은 활성화된 가스를 형성하기 위해 제2 가스를 그의 적어도 준안정 라디칼 분자 및/또는 라디칼 원자 종들, 예컨대, 원자 수소로 해리시키는 단계를 포함한다. 작동(1430)에서, 방법은 활성화된 가스를 처리 챔버의 처리 용적 내로 유동시키는 단계를 포함한다. 작동(1435)에서, 방법(1400)은 기판의 표면을 활성화된 가스에 노출시키는 단계를 포함한다. 일부 실시예들에서, 기판의 표면을 활성화된 가스에 노출시키는 단계는, 에피택셜 성장된 규소 층과 같은, 기판의 표면 상의 층의 에피택셜 성장 이전에 기판의 표면을 세정하고/거나 수소 부동태화한다.
다른 실시예에서, 방법(1400)은 기판 상에 물질 층을 형성하기 위해 기판을 제1 전구체 가스 및 제2 전구체 가스, 본원에서는 활성화된 가스에 순차적으로 그리고 주기적으로 노출시키는 단계를 더 포함한다. 이 실시예에서, 방법(1400)은 기판을 제1 전구체 가스에 노출시키는 단계의 적어도 하나의 주기를 포함하고, 여기서 기판을 제1 전구체 가스에 노출시키는 단계는 제1 층을 형성하기 위해 기판 표면을 제1 전구체 가스와 반응시키는 것을 포함한다. 방법은, 제2 층을 형성하기 위해 기판을 활성화된 가스에 노출시키는 단계를 더 포함하고, 여기서 기판을 활성화된 가스에 노출시키는 단계는 제2 층을 형성하기 위해 제1 층을 활성화된 가스와 반응시키는 것을 포함하며, 여기서 제2 층은 수소 종결된다. 본원에서, 활성화된 가스는 원격 플라즈마 공급원을 사용하여 제1 가스, 예를 들어, 아르곤의 플라즈마를 형성하고, 플라즈마를 가스 주입 조립체의 혼합 용적 내로 유동시키고, 제2 가스, 예컨대, H2를 하나 이상의 가스 주입 포트를 통해 혼합 용적 내로 유동시킴으로써 형성된다. 활성화된 가스를 형성하기 위해 제2 가스는 그의 적어도 준안정 라디칼 분자 및/또는 라디칼 원자 종들, 예컨대, 원자 수소로 해리된다. 일부 실시예들에서, 방법(1400)은 기판을 제1 전구체 가스에 노출시키는 단계 및 기판을 활성화된 가스에 노출시키는 단계의 복수의 순차적인 주기들을 포함한다.
도 15는, 일 실시예에 따른, 처리 챔버의 처리 용적에 오존(O3)을 제공하는 방법(1500)의 흐름도이다. 작동(1505)에서, 방법(1500)은 원격 플라즈마 공급원을 사용하여 제1 가스의 플라즈마를 형성하는 단계를 포함하고, 여기서 제1 가스는 O2를 포함하고 원격 플라즈마 공급원은 가스 주입 조립체, 예컨대, 도 1-3에 설명된 가스 주입 조립체들 중 임의의 가스 주입 조립체를 통해 처리 챔버의 처리 용적에 유체 결합된다. 작동(1510)에서, 방법(1500)은 플라즈마를 가스 주입 조립체의 혼합 용적 내로 유동시키는 단계를 포함하고, 여기서 플라즈마는 적어도 라디칼 원자 산소 종들을 포함한다. 작동(1515)에서, 방법(1500)은 O3를 포함하는 활성화된 가스를 형성하기 위해, O2 분자들을 포함하는 제2 가스를 혼합 용적 내로 유동시키는 단계를 포함한다. 작동(1515)에서, 실시예 또는 실시예들의 조합들에 따른 혼합 플레이트가, 제2 가스를 혼합 플레이트의 통로의 래버린스를 통해 챔버 내로 유동시키는 데 채용된다. 일 예에서, 라디칼 원자 산소 종들 및 O2로부터 O3를 형성하는 것은 이들 사이의 가스 상 충돌들의 결과이다. 작동(1520)에서, 방법(1500)은 활성화된 가스를 처리 챔버의 처리 용적 내로 유동시키는 단계를 포함한다. 일부 실시예들에서, 방법(1500)은 기판의 표면을 활성화된 가스에 노출시키는 단계를 더 포함하고, 여기서 기판은 처리 챔버의 처리 용적에 배치된다. 추가의 실시예들은, 도 12-15에 설명된 방법들 중 임의의 방법에 대한 명령어들이 저장된 컴퓨터 판독가능 매체를 포함한다.
본원에서 논의되는 일부 실시예들에서, "수직" 및/또는 "수평"이라는 용어들은 본 개시내용의 도면들의 설명을 용이하게 하는 데 사용될 수 있다. 그러나, 본원의 실시예들의 통로들은, "수평" 또는 "수직"이라는 용어들이 설명에서 구체적으로 사용될 때에도, 정의된 축에 대해 "수평" 또는 "수직" 이외의 통로들의 배향들을 가질 수 있다는 점이 고려된다. 그러므로, 배향 없이 "통로"라는 용어의 사용은 본 개시내용에 의해 포함되어, 후속하는 청구항들에서 ("수평" 또는 "수직"과 같은 배향 없이) 그러한 용어의 사용을 완전히 지원한다는 점이 고려된다. 게다가, "수평" 또는 "수직"의 임의의 사용은 정의된 수평 또는 수직 방향과 엄격하게 평행한 배향을 반드시 정의하는 것은 아니며, 오히려, 단지 일반적인 수평 또는 수직 방향을 나타낸다는 점이 고려된다.
실시예에서, 가스 주입 조립체는 몸체; 몸체에 배치된 유전체 라이너 - 유전체 라이너는 혼합 용적을 한정함 -; 가스 주입 조립체를 처리 챔버에 결합시키기 위한 제1 플랜지; 가스 주입 조립체를 원격 플라즈마 공급원에 결합시키기 위한 제2 플랜지; 및 몸체 및 라이너를 통해 형성된 하나 이상의 가스 주입 포트를 포함하고, 여기서 라이너는 석영, 사파이어, 또는 이들의 조합을 포함한다. 또한, 가스 주입 조립체의 이 예에서, 제1 플랜지의 장착 표면 평면과 제2 플랜지의 장착 표면 평면 사이에서 가스 주입 조립체의 종축을 따라 측정된 가스 주입 조립체의 길이는 약 25 mm 내지 약 150 mm이고, 몸체에 동축으로 배치된 라이너는 약 20 mm 내지 약 60 mm의 내측 직경을 갖는다. 이 예에서, 하나 이상의 가스 주입 포트 중 적어도 하나는 제1 플랜지의 장착 표면으로부터 약 20 mm 내지 약 80 mm에 위치되고, 하나 이상의 가스 주입 포트는 약 0.5 mm 내지 약 6 mm의 직경을 갖고, 가스 주입 조립체의 종축은 결합될 처리 챔버의 측벽에 형성된 가스 유입구 포트의 종축과 각도를 형성하며, 여기서 각도는 약 10 ° 내지 약 70 °이다.
기판을 처리하는 방법은: 기판을 처리 챔버의 처리 용적에 위치시키는 단계 - 처리 용적은 처리 용적과 원격 플라즈마 공급원 사이에 배치된 가스 주입 조립체를 통해 원격 플라즈마 공급원과 유체 연통함 -; 원격 플라즈마 공급원의 제1 가스의 플라즈마를 형성하는 단계; 플라즈마를 가스 주입 조립체의 혼합 용적 내로 유동시키는 단계; 제2 가스를 혼합 용적과 유체 연통하는 하나 이상의 가스 주입 포트를 통해 혼합 용적으로 유동시키는 단계; 제2 가스의 분자들을 그의 라디칼 종들로 해리시키는 단계; 라디칼 종들을 처리 챔버의 처리 용적 내로 유동시키는 단계; 및 기판을 라디칼 종들에 노출시키는 단계를 포함한다. 방법의 예에서, 방법은 복사 에너지를 기판의 디바이스 측 표면을 향해 지향시킴으로써 기판을 약 500 ℃ 내지 약 1100 ℃의 온도로 가열하는 단계를 더 포함하고, 디바이스 측 표면은 유전체 층을 포함하고, 여기서 기판을 라디칼 종들에 노출시키는 단계는 유전체 층을 라디칼 종들에 노출시키는 것을 포함한다. 또한, 이 방법의 실시예에서, 제2 가스는 NH3를 포함하고, 라디칼 종들은 N, NH, NH2 또는 이들의 조합들을 포함하고, 제1 가스는 희가스, N2 또는 이들의 조합을 포함한다.
실시예에서, 기판의 표면을 선택적으로 산화시키는 방법은: 기판을 처리 챔버의 처리 용적에 위치시키는 단계 - 기판의 표면은 규소를 포함하는 물질들로 형성된 복수의 제1 피쳐들 및 금속을 포함하는 물질들로 형성된 복수의 제2 피쳐들을 포함함 -; 기판을 약 800 ℃ 미만으로 가열하는 단계; 원격 플라즈마 공급원을 사용하여 제1 가스의 플라즈마를 형성하는 단계 - 원격 플라즈마 공급원은 처리 용적과 원격 플라즈마 공급원 사이에 배치된 가스 주입 조립체를 통해 처리 용적과 유체 연통하고, 제1 가스는 O2 및 약 20 원자% 미만의 H2를 포함함 -; 플라즈마를 가스 주입 조립체의 혼합 용적 내로 유동시키는 단계; 제2 가스를 혼합 용적과 유체 연통하는 하나 이상의 가스 주입 포트를 통해 혼합 용적으로 유동시키는 단계; 활성화된 가스를 형성하기 위해 제2 가스의 분자들을 그의 라디칼 종들로 해리시키는 단계 - 활성화된 가스는 적어도 제1 가스의 라디칼 종들 및 제2 가스의 라디칼 종들을 포함함 -; 활성화된 가스를 처리 챔버의 처리 용적 내로 유동시키는 단계; 및 기판의 표면을 활성화된 가스에 노출시키는 단계를 포함한다.
실시예에서, 원자 수소를 기판의 표면에 제공하는 방법은: 기판을 처리 챔버의 처리 용적에 위치시키는 단계 - 처리 용적은 처리 용적과 원격 플라즈마 공급원 사이에 배치된 가스 주입 조립체를 통해 원격 플라즈마 공급원에 유체 결합됨 -; 원격 플라즈마 공급원을 사용하여 제1 가스의 플라즈마를 형성하는 단계; 플라즈마를 가스 주입 조립체의 혼합 용적 내로 유동시키는 단계; 수소를 포함하는 제2 가스를 하나 이상의 가스 주입 포트를 통해 혼합 용적 내로 유동시키는 단계; 제2 가스를 원자 수소를 포함하는 활성화된 가스로 해리시키는 단계; 활성화된 가스를 처리 챔버의 처리 용적 내로 유동시키는 단계; 및 기판의 표면을 활성화된 가스에 노출시키는 단계를 포함한다. 실시예에서, 방법은 기판의 표면을 활성화된 가스에 노출시키기 전에 기판의 표면을 전구체 가스에 노출시키는 단계를 더 포함하고, 여기서 기판의 표면을 전구체 가스에 노출시키는 단계는 제1 층을 형성하기 위해 기판 표면을 제1 전구체 가스와 반응시키는 것을 포함하고, 기판을 활성화된 가스에 노출시키는 단계는 수소 종결된 제2 층을 형성하기 위해 제1 층을 원자 수소와 반응시키는 것을 포함한다.
실시예에서, 오존을 처리 챔버의 처리 용적에 제공하는 방법은: 원격 플라즈마 공급원을 사용하여 제1 가스의 플라즈마를 형성하는 단계 - 제1 가스는 O2를 포함하고 원격 플라즈마 공급원은 가스 주입 조립체를 통해 처리 챔버의 처리 용적에 유체 결합됨 -; 플라즈마를 가스 주입 조립체의 혼합 용적 내로 유동시키는 단계 - 플라즈마는 적어도 라디칼 원자 산소 종들을 포함함 -; O3를 포함하는 활성화된 가스를 형성하기 위해, O2를 포함하는 제2 가스를 혼합 용적 내로 도입하는 단계; 및 활성화된 가스를 처리 챔버의 처리 용적 내로 도입하는 단계를 포함한다.
실시예에서, 컴퓨터 판독가능 매체는 기판을 처리하는 방법에 대한 명령어들이 저장되어 있다. 방법은 기판을 처리 챔버의 처리 용적에 위치시키는 단계를 포함하고, 여기서 처리 용적은 처리 용적과 원격 플라즈마 공급원 사이에 배치된 가스 주입 조립체를 통해 원격 플라즈마 공급원과 유체 연통한다. 방법은 또한, 원격 플라즈마 공급원의 제1 가스의 플라즈마를 형성하는 단계; 플라즈마를 가스 주입 조립체의 혼합 용적 내로 유동시키는 단계를 포함한다. 방법은 또한, 제2 가스를, 혼합 용적과 유체 연통하는 하나 이상의 가스 주입 포트를 통해 혼합 용적으로 유동시키는 단계를 포함한다. 방법은 또한, 제2 가스의 분자들을 그의 라디칼 종들로 해리시키는 단계, 및 라디칼 종들을 처리 챔버의 처리 용적 내로 유동시키는 단계를 포함한다. 방법은 또한, 기판을 라디칼 종들에 노출시키는 단계를 포함한다.
실시예에서, 기판 처리 시스템은: 처리 챔버, 원격 플라즈마 공급원; 및 원격 플라즈마 공급원을 처리 챔버에 유체 결합시키는 가스 주입 조립체를 포함하고, 여기서 가스 주입 조립체는: 몸체; 몸체에 배치된 유전체 라이너 - 유전체 라이너는 혼합 용적을 한정함 -; 가스 주입 조립체를 처리 챔버의 측벽에 결합시키는 제1 플랜지; 가스 주입 조립체를 원격 플라즈마 공급원에 결합시키는 제2 플랜지; 및 몸체 및 라이너를 통해 형성된 하나 이상의 가스 주입 포트를 포함한다.
전술한 내용은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 후속하는 청구항들에 의해 결정된다.

Claims (20)

  1. 기판 처리 시스템으로서,
    기판 처리 챔버;
    상기 기판 처리 챔버의 가스 유입구에 결합된 가스 유입구 도관;
    가스 유입구 도관과 정합되고 가스 유입구 도관과 유체 결합하는 혼합 플레이트 내의 개구부를 갖고 가스 유입구 도관에 결합된 혼합 플레이트 - 혼합 플레이트는 평면을 한정하고, 혼합 플레이트 내의 개구부는 평면에 수직으로 형성되고 벽에 의해 한정되며, 적어도 하나의 가스 통로가 혼합 플레이트 내에 형성되고 벽에 형성된 대응하는 개구부에 의해 가스 유입구 도관에 유체 결합됨 -;
    원격 플라즈마 도관에 의해 상기 혼합 플레이트 내의 상기 개구부에 유체 결합된 원격 플라즈마 공급원; 및
    가스 공급원 도관에 의해 상기 적어도 하나의 가스 통로에 유체 결합된 가스 공급원
    을 포함하는, 기판 처리 시스템.
  2. 제1항에 있어서,
    상기 적어도 하나의 가스 통로는 상기 벽에 형성된 제1 개구에 결합된 제1 가스 통로 및 상기 벽에 형성된 제2 개구에 결합된 제2 가스 통로를 포함하고, 상기 제1 가스 통로 및 상기 제2 가스 통로는 평행한, 기판 처리 시스템.
  3. 제2항에 있어서,
    상기 혼합 플레이트는 중심 축을 가지고, 상기 제1 가스 통로 및 상기 제2 가스 통로는 상기 중심 축의 동일한 측 상에 있는, 기판 처리 시스템.
  4. 제2항에 있어서,
    상기 혼합 플레이트는 중심 축을 가지고, 상기 제1 가스 통로 및 상기 제2 가스 통로는 상기 중심 축의 대향 측들 상에 있는, 기판 처리 시스템.
  5. 가스 주입 조립체로서,
    외측 에지를 갖는 혼합 플레이트 - 상기 외측 에지는 상기 외측 에지에 형성된 복수의 가스 유입구들을 가짐 -;
    상기 혼합 플레이트에 의해 한정된 주 평면에 수직으로 상기 혼합 플레이트를 통해 형성된 혼합 플레이트 개구부 - 상기 혼합 플레이트 개구부는 벽에 의해 한정되고, 상기 벽을 통해 복수의 가스 개구부들이 형성됨 -
    를 포함하고,
    복수의 가스 통로들은 상기 복수의 가스 유입구들의 각각의 가스 유입구를 상기 벽의 대응하는 가스 개구부에 유체 결합시키는, 가스 주입 조립체.
  6. 제5항에 있어서,
    상기 혼합 플레이트는 복수의 사분면들을 포함하고, 상기 복수의 사분면들은 상기 혼합 플레이트의 제1 축, 및 상기 제1 축에 수직이고 상기 혼합 플레이트의 중심에서 상기 제1 축과 교차하는 제2 축에 의해 한정되고, 상기 복수의 사분면들 중 제1 사분면은 상기 제1 축을 따라 제2 사분면에 인접하고, 제3 사분면은 상기 제2 축을 따라 상기 제2 사분면에 인접하고, 제4 사분면은 상기 제1 축을 따라 상기 제1 사분면에 인접하고 상기 제2 축을 따라 상기 제3 사분면에 인접하며, 상기 혼합 플레이트의 제1 반부는 상기 제1 사분면 및 상기 제2 사분면에 의해 한정되고, 상기 혼합 플레이트의 제2 반부는 상기 제3 사분면 및 상기 제4 사분면에 의해 한정되고, 상기 혼합 플레이트의 각각의 반부는 상기 복수의 가스 통로들 중 적어도 하나를 갖는, 가스 주입 조립체.
  7. 제6항에 있어서,
    상기 복수의 사분면들 중 적어도 하나의 사분면은 상기 복수의 가스 통로들 중의 가스 통로를 가지지 않는, 가스 주입 조립체.
  8. 제6항에 있어서,
    상기 복수의 가스 통로들 중 제1 가스 통로에 유체 결합되는 상기 복수의 가스 유입구들 중의 제1 가스 유입구 - 상기 제1 가스 유입구 및 상기 제1 가스 통로는 상기 혼합 플레이트의 상기 제1 반부에 위치됨 -;
    상기 제1 가스 통로에 유체 결합되는 제2 가스 통로;
    상기 제1 가스 통로에 유체 결합되는 제3 가스 통로 - 상기 제2 가스 통로 및 상기 제3 가스 통로 각각은 상기 혼합 플레이트의 상기 제1 반부에 위치되고 상기 복수의 가스 개구부들 중 대응하는 가스 개구부에 유체 결합됨 -;
    상기 혼합 플레이트의 상기 제2 반부에 위치되는 제2 가스 유입구;
    상기 제2 가스 유입구에 유체 결합되고 상기 혼합 플레이트의 상기 제2 반부에 위치되는 제4 가스 통로;
    상기 제4 가스 통로에 유체 결합되는 제5 가스 통로; 및
    상기 제4 가스 통로에 유체 결합되는 제6 가스 통로 - 상기 제4 가스 통로 및 상기 제5 가스 통로 각각은 상기 복수의 가스 개구부들 중 대응하는 가스 개구부에 유체 결합됨 -
    를 더 포함하는, 가스 주입 조립체.
  9. 제6항에 있어서,
    상기 복수의 가스 통로들 중 제1 가스 통로에 유체 결합되는 상기 복수의 가스 유입구들 중의 제1 가스 유입구 - 상기 제1 가스 유입구 및 상기 제1 가스 통로는 상기 혼합 플레이트의 상기 제1 반부에 위치됨 -;
    상기 제1 가스 통로에 유체 결합되는 제2 가스 통로;
    상기 제1 가스 통로에 유체 결합되는 제3 가스 통로;
    상기 제1 가스 통로에 유체 결합되는 제4 가스 통로 - 상기 제2 가스 통로, 상기 제3 가스 통로, 및 상기 제4 가스 통로 각각은 상기 혼합 플레이트의 상기 제1 반부 내에 있고 상기 벽의 상기 복수의 가스 개구부들 중 대응하는 가스 개구부에 유체 결합되고, 상기 제3 가스 통로는 상기 제2 가스 통로와 상기 제4 가스 통로 사이에 배치되며 상기 제1 축 상에 있음 -;
    상기 혼합 플레이트의 상기 제2 반부 상의 상기 복수의 가스 유입구들 중의 제2 가스 유입구 및 제5 가스 통로;
    상기 혼합 플레이트의 상기 제2 반부에서 상기 제5 가스 통로에 유체 결합되는 제6 가스 통로 - 상기 제6 가스 통로는 상기 제1 축을 따라 상기 제3 가스 통로와 정렬됨 -; 및
    상기 혼합 플레이트의 상기 제2 반부의 상기 제5 가스 통로에 유체 결합되는 제7 가스 통로 - 상기 제6 가스 통로 및 제7 가스 통로 각각은 상기 복수의 가스 개구부들 중 대응하는 가스 개구부에 유체 결합됨 -
    를 더 포함하는, 가스 주입 조립체.
  10. 제6항에 있어서,
    제1 가스 유입구에 결합되고 상기 제1 사분면으로부터 상기 제2 사분면으로 연장되어 형성되는 상기 복수의 가스 통로들 중의 제1 가스 통로;
    상기 제1 사분면에 위치되는 제2 가스 통로;
    상기 제1 사분면에 위치되는 제3 가스 통로 - 상기 제2 가스 통로 및 제3 가스 통로 각각은 상기 복수의 가스 개구부들 중 상이한 가스 개구부에 유체 결합됨 -;
    제2 가스 유입구;
    상기 제2 가스 유입구에 유체 결합된 제4 가스 통로 - 상기 제4 가스 통로는 상기 제3 사분면으로부터 상기 제4 사분면으로 연장됨 -;
    상기 제3 사분면에 위치되고 상기 제4 가스 통로에 유체 결합되는 제5 가스 통로; 및
    상기 제3 사분면에 위치되고 상기 제4 가스 통로에 유체 결합된 제6 가스 통로 - 상기 제5 가스 통로 및 상기 제6 가스 통로 각각은 상기 복수의 가스 개구부들 중 상이한 가스 개구부에 유체 결합됨 -
    를 더 포함하는, 가스 주입 조립체.
  11. 제10항에 있어서,
    상기 제2 가스 통로 및 상기 제3 가스 통로는 상기 제1 가스 통로에 수직이고, 상기 제1 사분면의 상기 가스 통로들은 상기 제3 사분면의 상기 가스 통로들과 공통 축을 따라 정렬되지 않는, 가스 주입 조립체.
  12. 제6항에 있어서,
    제1 가스 유입구에 결합되고 상기 제1 사분면 내로 연장되어 형성되는 상기 복수의 가스 통로들 중의 제1 가스 통로;
    상기 제1 사분면에 위치되고 상기 제1 가스 통로에 결합되는 제2 가스 통로;
    상기 제1 사분면에 위치되고 상기 제1 가스 통로에 결합되는 제3 가스 통로 - 상기 제2 가스 통로 및 제3 가스 통로 각각은 상기 복수의 가스 개구부들 중 상이한 가스 개구부에 유체 결합됨 -;
    상기 제1 축을 따라 상기 혼합 플레이트의 상기 외측 에지에 형성되는 제2 가스 유입구;
    상기 제2 가스 유입구에 유체 결합되는 상기 복수의 가스 통로들 중의 제4 가스 통로 - 상기 제4 가스 통로는 상기 제3 사분면에 위치됨 -;
    상기 제3 사분면에 위치되고 상기 제4 가스 통로에 유체 결합되는 제5 가스 통로; 및
    상기 제3 사분면에 위치되고 상기 제4 가스 통로에 유체 결합되는 제6 가스 통로 - 상기 제5 가스 통로 및 제6 가스 통로 각각은 상기 복수의 가스 개구부들 중 상이한 가스 개구부에 유체 결합됨 -
    를 더 포함하는, 가스 주입 조립체.
  13. 제6항에 있어서,
    제1 가스 유입구에 유체 결합되는 상기 복수의 가스 통로들 중의 제1 가스 통로 - 상기 제1 가스 통로는 상기 제1 사분면으로부터 상기 제2 사분면으로 연장됨 -;
    상기 제1 사분면에 위치된 상기 제1 가스 통로에 유체 결합되는 제2 가스 통로;
    상기 제2 사분면에 위치된 상기 제1 가스 통로에 유체 결합되는 제3 가스 통로;
    상기 제1 사분면에 위치된 제5 가스 통로에 유체 결합되는 제4 가스 통로;
    상기 제4 가스 통로에 유체 결합되고 상기 제1 축을 따라 위치되는 제6 가스 통로; 및
    상기 제2 사분면에 위치되는 제7 가스 통로 - 상기 제4 가스 통로, 상기 제5 가스 통로, 및 상기 제6 가스 통로 각각은 상기 복수의 가스 개구부들 중 상이한 가스 개구부에 결합됨 -
    를 더 포함하는, 가스 주입 조립체.
  14. 제13항에 있어서,
    상기 혼합 플레이트의 상기 외측 에지에 형성되고 상기 제1 축 상에 위치되는 제2 가스 유입구;
    상기 제3 사분면으로부터 상기 제4 사분면으로 연장되고 상기 제2 가스 유입구에 결합되는 상기 복수의 가스 통로들 중의 제8 가스 통로;
    상기 제3 사분면에 위치되고 상기 제8 가스 통로 및 상기 복수의 가스 개구부들 중의 가스 개구부에 유체 결합되는 제9 가스 통로; 및
    상기 제8 가스 통로 및 상기 복수의 가스 개구부들 중의 가스 개구부에 유체 결합되는, 상기 제4 사분면에 위치되는 제10 가스 통로 - 상기 제9 가스 통로 및 상기 제10 가스 통로는 상기 제1 축으로부터 등거리에 형성됨 -
    를 더 포함하는, 가스 주입 조립체.
  15. 제13항에 있어서,
    상기 제3 사분면으로부터 상기 제4 사분면으로 연장되고, 상기 혼합 플레이트의 상기 외측 에지에 형성되는 제2 가스 유입구에 결합되는 상기 복수의 가스 통로들 중의 제8 가스 통로;
    상기 제8 가스 통로에 유체 결합되는 상기 제4 사분면 내의 제9 가스 통로;
    상기 제3 사분면으로부터 상기 제4 사분면으로 연장되고 상기 제9 가스 통로에 유체 결합되는 제10 가스 통로;
    상기 제1 축을 따라 연장되고 상기 복수의 가스 개구부들 중의 상기 가스 개구부들 중 하나에 유체 결합되는 제11 가스 통로; 및
    상기 제10 가스 통로 및 상기 복수의 가스 개구부들 중의 상기 가스 개구부들 중 하나에 유체 결합되는 상기 제3 사분면 내의 제12 가스 통로
    를 더 포함하는, 가스 주입 조립체.
  16. 가스 주입 조립체로서,
    복수의 사분면 및 외측 에지에 의해 한정되는 혼합 플레이트;
    상기 외측 에지에 형성되는 복수의 가스 유입구들;
    상기 혼합 플레이트에 평행하게 한정된 평면을 통하여 수직으로 형성되는 혼합 플레이트 개구부 - 제1 축은 상기 평면에 평행하고, 상기 개구부는 벽에 의해 한정되고 복수의 가스 개구부들이 상기 벽을 통하여 형성됨 -; 및
    상기 복수의 가스 유입구들의 각각의 가스 유입구를 상기 복수의 가스 개구부들 중 대응하는 가스 개구부에 유체 결합시키는 복수의 가스 통로들 - 상기 복수의 가스 통로들 중 적어도 하나의 가스 통로는 상기 혼합 플레이트 개구부의 반경을 따라 정렬됨 -
    을 포함하는, 가스 주입 조립체.
  17. 제16항에 있어서,
    상기 제1 축을 따라 제2 사분면에 인접한, 상기 복수의 사분면 중의 제1 사분면;
    제2 축을 따라 상기 제2 사분면에 인접한 제3 사분면;
    상기 제2 축을 따라 상기 제1 사분면에 인접하고 상기 제1 축을 따라 상기 제3 사분면에 인접한 제4 사분면 - 상기 혼합 플레이트의 제1 반부는 상기 제1 사분면 및 상기 제2 사분면에 의해 한정되고 상기 혼합 플레이트의 제2 반부는 상기 제3 사분면 및 상기 제4 사분면에 의해 한정되고, 제1 가스 통로는 상기 혼합 플레이트의 상기 제1 반부에서 한정되고 제2 가스 통로는 상기 혼합 플레이트의 상기 제2 반부에서 한정됨 -;
    상기 제1 가스 통로에 유체 결합된 제3 가스 통로 - 상기 제3 가스 통로는 제1 부분 및 제2 부분을 포함하고, 상기 제1 부분은 상기 제1 가스 통로에 수직이고 상기 제1 사분면으로부터 상기 제2 사분면으로 연장되고, 상기 제2 부분은 상기 제1 부분에 대해 120도 내지 약 150도의 각도로 상기 제2 사분면에 위치됨 -;
    상기 제3 가스 통로의 상기 제1 부분에 유체 결합되고, 상기 제1 축과 정렬되며, 상기 복수의 가스 개구부들 중 제1 가스 개구부에 결합되는 제4 가스 통로; 및
    상기 제3 가스 통로의 상기 제2 부분에 유체 결합되고 상기 복수의 가스 개구부들 중 제2 가스 개구부에 결합되는 제5 가스 통로
    를 더 포함하는, 가스 주입 조립체.
  18. 제17항에 있어서,
    상기 제5 가스 통로는 상기 제3 가스 통로의 상기 제2 부분에 수직인, 가스 주입 조립체.
  19. 제18항에 있어서,
    상기 제2 가스 통로에 유체 결합된 제6 가스 통로 - 상기 제6 가스 통로는 상기 제3 사분면으로부터 상기 제4 사분면으로 연장되는 제1 부분 및 상기 제4 사분면에 형성되는 제2 부분을 포함함 -
    를 더 포함하는, 가스 주입 조립체.
  20. 제19항에 있어서,
    상기 제6 가스 통로의 상기 제2 부분에 유체 결합되고 상기 복수의 가스 개구부들 중 제3 가스 개구부에 결합되는 제7 가스 통로; 및
    상기 제6 가스 통로의 상기 제1 부분에 유체 결합되고, 상기 제1 축과 정렬되며, 상기 복수의 가스 개구부들 중의 가스 개구부에 결합되는 제8 가스 통로를 더 포함하는, 가스 주입 조립체.
KR1020237041701A 2018-12-20 2019-10-23 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치 KR20230170130A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862782551P 2018-12-20 2018-12-20
US62/782,551 2018-12-20
PCT/US2019/057690 WO2020131214A1 (en) 2018-12-20 2019-10-23 Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
KR1020217022721A KR102610827B1 (ko) 2018-12-20 2019-10-23 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020217022721A Division KR102610827B1 (ko) 2018-12-20 2019-10-23 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치

Publications (1)

Publication Number Publication Date
KR20230170130A true KR20230170130A (ko) 2023-12-18

Family

ID=71099201

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237041701A KR20230170130A (ko) 2018-12-20 2019-10-23 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
KR1020217022721A KR102610827B1 (ko) 2018-12-20 2019-10-23 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020217022721A KR102610827B1 (ko) 2018-12-20 2019-10-23 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치

Country Status (7)

Country Link
US (2) US11732355B2 (ko)
JP (2) JP2022515081A (ko)
KR (2) KR20230170130A (ko)
CN (1) CN113196444A (ko)
SG (1) SG11202105321TA (ko)
TW (1) TWI730502B (ko)
WO (1) WO2020131214A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11202105321TA (en) * 2018-12-20 2021-07-29 Applied Materials Inc Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
CN114164412B (zh) * 2020-09-10 2024-03-08 鑫天虹(厦门)科技有限公司 半导体原子层沉积装置的喷洒头结构
US20220165547A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Novel and effective homogenize flow mixing design
CN113201725A (zh) * 2021-04-29 2021-08-03 北京北方华创微电子装备有限公司 进气装置及反应腔室

Family Cites Families (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3663283A (en) * 1969-10-02 1972-05-16 Richard A Hebert Process and apparatus for the production of finely-divided metal oxides
SE387862B (sv) * 1974-09-13 1976-09-20 G A Staaf Rorblandare, innefattande ett som en rotationskropp utformat hus, tva eller flera till detta anslutna rorledningar for tillforsel av blandningskomponenterna, samt ett till huset axiellt anslutet utloppsror
US4480925A (en) * 1980-11-10 1984-11-06 Dietrich David E Method of mixing fluids
US4520757A (en) 1982-10-27 1985-06-04 Energy Conversion Devices, Inc. Process gas introduction, confinement and evacuation system for glow discharge deposition apparatus
CA1272661A (en) * 1985-05-11 1990-08-14 Yuji Chiba Reaction apparatus
GB8526566D0 (en) * 1985-10-29 1985-12-04 Plessey Co Plc Manifold assembly
JP2922910B2 (ja) 1988-12-14 1999-07-26 ソニー株式会社 低温成膜装置
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JP2939823B2 (ja) 1990-07-20 1999-08-25 日本酸素株式会社 有機金属気相成長装置
JPH0610138A (ja) 1991-07-01 1994-01-18 Kokusai Chodendo Sangyo Gijutsu Kenkyu Center Mocvd法による酸化物超電導体の製造方法
US5523063A (en) 1992-12-02 1996-06-04 Applied Materials, Inc. Apparatus for the turbulent mixing of gases
JPH07211643A (ja) 1994-01-20 1995-08-11 Hitachi Electron Eng Co Ltd Cvd装置の反応ガス混合器
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US7234857B2 (en) 1998-02-26 2007-06-26 Wetend Technologies Oy Method and apparatus for feeding a chemical into a liquid flow
KR100296494B1 (ko) 1999-03-31 2001-07-03 윤영환 수소산소 혼합가스 발생장치
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
ATE283450T1 (de) * 1999-09-06 2004-12-15 Shell Int Research Mischvorrichtung
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6428847B1 (en) * 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
US20040028810A1 (en) * 2000-10-16 2004-02-12 Primaxx, Inc. Chemical vapor deposition reactor and method for utilizing vapor vortex
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
GB0113735D0 (en) 2001-06-05 2001-07-25 Holset Engineering Co Mixing fluid streams
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
JP2003133300A (ja) 2001-10-26 2003-05-09 Tokyo Electron Ltd 成膜装置及び成膜方法
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6933010B2 (en) * 2001-12-03 2005-08-23 Ulvac, Inc Mixer, and device and method for manufacturing thin-film
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
JP4002768B2 (ja) 2002-02-14 2007-11-07 株式会社アルバック 成膜装置
US6684719B2 (en) * 2002-05-03 2004-02-03 Caterpillar Inc Method and apparatus for mixing gases
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
WO2004073850A1 (en) * 2003-02-14 2004-09-02 Tokyo Electron Limited Gas feeding apparatus
JP2004323894A (ja) 2003-04-23 2004-11-18 Sekisui Chem Co Ltd ガス供給安定化器、気相成長装置および気相成長方法
JP2005033173A (ja) * 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
JP2007073539A (ja) * 2003-12-18 2007-03-22 Tokyo Electron Ltd 成膜方法およびプラズマ発生方法、基板処理装置
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP4626251B2 (ja) * 2004-10-06 2011-02-02 株式会社日立製作所 燃焼器及び燃焼器の燃焼方法
US7670969B2 (en) 2004-11-19 2010-03-02 Albany Engineered Composites, Inc. Fabricating symmetric and asymmetric shapes with off-axis reinforcement from symmetric preforms
US20060118240A1 (en) 2004-12-03 2006-06-08 Applied Science And Technology, Inc. Methods and apparatus for downstream dissociation of gases
US20070272299A1 (en) * 2004-12-03 2007-11-29 Mks Instruments, Inc. Methods and apparatus for downstream dissociation of gases
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
TW200711757A (en) * 2005-08-02 2007-04-01 Massachusetts Inst Technology Method for removing surface deposits and passivating interior surfaces of the interior of a chemical vapor deposition reactor
CN101313085A (zh) * 2005-08-02 2008-11-26 麻省理工学院 除去化学气相沉积(cvd)腔内的表面沉积物和钝化内表面的方法
CN101461029B (zh) 2005-12-23 2011-03-30 Mks仪器股份有限公司 用于气体的下游离解的方法和装置
JP5280861B2 (ja) * 2006-01-19 2013-09-04 エーエスエム アメリカ インコーポレイテッド 高温aldインレットマニホールド
JP2007335755A (ja) 2006-06-16 2007-12-27 Matsushita Electric Ind Co Ltd 基板処理装置および基板処理方法
KR100791073B1 (ko) * 2006-08-16 2008-01-02 삼성전자주식회사 난류 날개들을 갖는 배기 배관 및 배기 시스템
CN101506561B (zh) * 2006-08-23 2012-04-18 株式会社堀场Stec 组合式气体分配盘装置
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US8123902B2 (en) 2007-03-21 2012-02-28 Applied Materials, Inc. Gas flow diffuser
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9144205B2 (en) * 2008-10-17 2015-09-29 Alchem Environmental Ip Llc Hydroponics applications and ancillary modifications to a polyphasic pressurized homogenizer
JP5378416B2 (ja) 2009-01-09 2013-12-25 株式会社アルバック プラズマ処理装置
US9312154B2 (en) * 2009-04-21 2016-04-12 Applied Materials, Inc. CVD apparatus for improved film thickness non-uniformity and particle performance
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
EP2540387B1 (en) * 2010-02-23 2020-02-19 Asahi Organic Chemicals Industry Co., Ltd. In-line fluid mixing device
US20110203560A1 (en) 2010-02-23 2011-08-25 Wallace William K Fuel conditioning vacuum module
JP5889806B2 (ja) * 2010-03-12 2016-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複式噴射を伴う原子層堆積チャンバ
CH702999A1 (de) * 2010-04-29 2011-10-31 Amt Ag Vorrichtung zur Beschichtung von Substraten mittels Hochgeschwindigkeitsflammspritzen.
MX2012014091A (es) * 2010-06-09 2013-01-29 Procter & Gamble Produccion de alimentacion semicontinua de composiciones liquidas para el cuidado personal.
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US10049881B2 (en) * 2011-08-10 2018-08-14 Applied Materials, Inc. Method and apparatus for selective nitridation process
US8636958B2 (en) * 2011-09-07 2014-01-28 Marathon Oil Canada Corporation Nozzle reactor and method of use
TWI549163B (zh) * 2011-09-20 2016-09-11 應用材料股份有限公司 減少摻質擴散之表面穩定化製程
IN2014DN02477A (ko) 2011-09-30 2015-05-15 Life Technologies Corp
US8741785B2 (en) * 2011-10-27 2014-06-03 Applied Materials, Inc. Remote plasma radical treatment of silicon oxide
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US10232324B2 (en) * 2012-07-12 2019-03-19 Applied Materials, Inc. Gas mixing apparatus
US9370757B2 (en) * 2012-08-21 2016-06-21 Uop Llc Pyrolytic reactor
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10008368B2 (en) * 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US10163606B2 (en) * 2013-03-15 2018-12-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP2015201646A (ja) * 2014-04-07 2015-11-12 ラム リサーチ コーポレーションLam Research Corporation 構成独立型のガス供給システム
US10487399B2 (en) * 2014-06-26 2019-11-26 Applied Materials, Inc. Atomic layer deposition chamber with counter-flow multi inject
US10113232B2 (en) * 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
CA2963010A1 (en) * 2014-09-30 2016-04-07 Plasco Energy Group Inc. A non-equilibrium plasma system and method of refining syngas
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
WO2017009997A1 (ja) * 2015-07-16 2017-01-19 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び気化システム
US10022689B2 (en) * 2015-07-24 2018-07-17 Lam Research Corporation Fluid mixing hub for semiconductor processing tool
US10825659B2 (en) * 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9879795B2 (en) * 2016-01-15 2018-01-30 Lam Research Corporation Additively manufactured gas distribution manifold
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
JP6495875B2 (ja) * 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
US10752991B2 (en) * 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle
JP7176860B6 (ja) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
CN110612593B (zh) * 2017-05-31 2022-09-13 应用材料公司 远程等离子体氧化室
US10847337B2 (en) * 2018-01-24 2020-11-24 Applied Materials, Inc. Side inject designs for improved radical concentrations
US20190295822A1 (en) * 2018-03-20 2019-09-26 Applied Materials, Inc. Method and apparatus for providing radical species to a processing volume of a processing chamber
US11118262B2 (en) * 2018-10-11 2021-09-14 Asm Ip Holding B.V. Substrate processing apparatus having a gas-mixing manifold
SG11202105321TA (en) * 2018-12-20 2021-07-29 Applied Materials Inc Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber

Also Published As

Publication number Publication date
JP2023055707A (ja) 2023-04-18
KR20210095714A (ko) 2021-08-02
TW202028524A (zh) 2020-08-01
US20230407471A1 (en) 2023-12-21
JP7472330B2 (ja) 2024-04-22
WO2020131214A1 (en) 2020-06-25
JP2022515081A (ja) 2022-02-17
TWI730502B (zh) 2021-06-11
US20200199748A1 (en) 2020-06-25
SG11202105321TA (en) 2021-07-29
KR102610827B1 (ko) 2023-12-07
US11732355B2 (en) 2023-08-22
CN113196444A (zh) 2021-07-30

Similar Documents

Publication Publication Date Title
KR102610827B1 (ko) 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
KR102605484B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US20200149166A1 (en) Flow control features of cvd chambers
US11091835B2 (en) Side inject nozzle design for processing chamber
TW201324663A (zh) 用於改良之沉積均勻性的前驅物分配特性
US11634813B2 (en) Half-angle nozzle
US11959169B2 (en) Asymmetric injection for better wafer uniformity
US20050221618A1 (en) System for controlling a plenum output flow geometry
TWI794808B (zh) 半導體反應腔室及原子層等離子體蝕刻設備
US20190295822A1 (en) Method and apparatus for providing radical species to a processing volume of a processing chamber
US9328419B2 (en) Gas treatment apparatus with surrounding spray curtains
JP2641351B2 (ja) 可変分配率ガス流反応室
TWI502096B (zh) 用於化學氣相沉積的反應裝置及反應製程
TW202132618A (zh) 噴淋頭板、反應器總成以及組態反應器總成的方法
JP2016502595A (ja) 選択的なガス注入及び抽出のための装置
JP4002768B2 (ja) 成膜装置

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal