JP5280861B2 - 高温aldインレットマニホールド - Google Patents

高温aldインレットマニホールド Download PDF

Info

Publication number
JP5280861B2
JP5280861B2 JP2008551324A JP2008551324A JP5280861B2 JP 5280861 B2 JP5280861 B2 JP 5280861B2 JP 2008551324 A JP2008551324 A JP 2008551324A JP 2008551324 A JP2008551324 A JP 2008551324A JP 5280861 B2 JP5280861 B2 JP 5280861B2
Authority
JP
Japan
Prior art keywords
reactant
valve
gas
inert gas
passage
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008551324A
Other languages
English (en)
Other versions
JP2009524244A (ja
JP2009524244A5 (ja
Inventor
ティモシー ジェイ. プロベンチャー
クレイグ ビー. ヒクソン
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2009524244A publication Critical patent/JP2009524244A/ja
Publication of JP2009524244A5 publication Critical patent/JP2009524244A5/ja
Application granted granted Critical
Publication of JP5280861B2 publication Critical patent/JP5280861B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K27/00Construction of housing; Use of materials therefor
    • F16K27/003Housing formed from a plurality of the same valve elements
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87571Multiple inlet with single outlet
    • Y10T137/87676With flow control
    • Y10T137/87684Valve in each inlet

Description

関連出願
本出願は、2006年1月19日に提出された「高温ALDインレットマニホールド」と題する暫定出願第60/760243号に対する優先権を主張するものである。前述の出願の発明対象は、本参照によりその全体が開示に含まれる。
発明の背景
発明の分野
本発明は、概して原子層蒸着(ALD)反応器のためのマニホールドアセンブリに関する。
関連技術の説明
原子層蒸着(ALD)は、半導体産業において、シリコンウェーハ等の基板上へ物質の薄膜を形成するための周知の工程である。ALDは、複数の超薄層の蒸着を介して薄膜が形成され、薄膜の厚さは蒸着される層の数によって決定されるというタイプの気相蒸着である。ALD工程では、蒸着されるべき物質の1つまたは複数の化合物(前駆体)の気体分子が基板またはウェーハへ供給され、ウェーハ上にその物質の薄膜が形成される。1パルス内で、第1の前駆物質の典型的には1未満の単分子層が自己制限プロセスにおいてウェーハ上へ大部分が無傷で吸着される。吸着された前駆物質は分解されて、またはそうでなければ後続の1つまたは複数の反応パルスにおいて反応されて所望される物質の単分子層を形成してもよい。例えば、吸着された前駆物質は、後続の反応パルスの反応物質と反応して、元素または化合物の単分子層を形成してもよい。例としては、吸着された種から単に配位子を剥離する反応パルス、配位子を他の種に置換して化合物を形成する反応物質およびサイクル当たり3つ以上の反応物質および/または前駆体パルスを有するシーケンスが挙げられる。より厚い膜は、目標の厚さが達成されるまで反復される成長サイクルを介して製造される。
あるALD工程では、被覆されるべき少なくとも1つの表面を有する1つまたは複数の基板が反応器または蒸着チャンバへと導入される。ウェーハは、典型的には、選択される気相反応物質の凝結温度より高いが熱分解温度より低い所望される温度まで加熱される。ある反応物質は、先の反応物質の吸着された種と反応して基板表面上へ所望される製品を形成することができる。製品は、膜、ライナまたは層の形式であることが可能である。
ALD工程の間、全てが典型的には蒸気または気体の形態である反応パルスは、反応パルス間に除去ステップを包含して反応器内へと順次パルス化される。例えば、反応物質のパルス間に不活性ガスのパルスが供給される。不活性ガスは、次の反応パルスの前に1つの反応パルスのチャンバをパージし、気相の混合またはCVD型反応を回避する。ALDの特徴的な一機能は、表面が飽和状態に達するまで各反応物質(薄膜への前駆体寄与種であれ、単に還元剤であれ)が基板へ配送されることにある。このサイクルは、所望される厚さの原子層が形成されるまで反復される。自己制限成長を達成するために、各前駆体は基板が飽和するに足る量が供給される。成長速度は自己制限的であることから、成長速度は、CVDの場合のように反応フラックスおよび/または温度ではなく、反応シーケンスの反復率に比例する。
発明の要約
本発明によるシステムおよび方法は幾つかの特徴を有するが、これらの特徴は単に単一でその望ましい属性をもたらすものではない。以下、添付の請求の範囲により表現されている本発明の範囲を限定することなく、そのより重要な特徴について簡単に論じる。この論考を検討した後、かつ特に「好適な実施形態の詳細な説明」と題するセクションを読んだ後は、本明細書に記述する特徴が従来のALDミキシング方法およびシステムを凌ぐ幾つかの優位点をどのようにしてもたらすかが理解されるであろう。
1つの態様は、原子層蒸着デバイスである。本デバイスは、第1の通路と第2の通路とを有するマニホールド本体を備え、前記第1の通路および前記第2の通路はOリングを持たない。本デバイスはさらに、前記本体内に位置づけられ、かつ前記第1の通路および前記第2の通路と流体連通状態にある穴を備える。また本デバイスは、前記穴と流体連通状態にあり、かつ本デバイス内に設置されるウェーハ上へ薄膜を蒸着させるように構成される蒸着チャンバも備える。
別の態様は、半導体処理デバイスのためのマルチピースマニホールドアセンブリである。本マニホールドアセンブリは、第1の金属物質を備え、かつ穴を有する本体と、前記第1の金属物質を備え、かつ前記本体へ結合されるベースプレートとを備える。本アセンブリはさらに、第2の金属物質を備え、かつ前記ベースプレートへ接着されるキャップを備え、前記キャップはバルブを取り付けるように構成される。また本アセンブリは、本体の穴とキャップとの間に形成される内部通路をも備える。内部通路の少なくとも一部は本体とベースプレートを介して、本体とベースプレートとの間の接着インタフェースにデッドレッグを形成することなく延設される。
別の態様は、ガスを分散させるように構成される分散アセンブリと、前記分散アセンブリ上へ取り付けられ、かつ穴、第1の内部反応物ラインおよび第2の内部反応物ラインを有するインレットマニホールドブロックとを備える原子層蒸着デバイスであり、前記第1および第2の内部反応物ラインは前記穴と流体連通状態にある。本アセンブリはさらに、前記インレットマニホールドブロック上に取り付けられ、かつ前記第1の内部反応物ラインへの第1の反応物ガスの供給を制御するように構成される第1の反応物バルブと、前記インレットマニホールドブロック上へ取り付けられ、かつ前記第1の反応物ガスバルブへの不活性ガスの供給を制御するように構成される不活性ガスバルブとを備える。本アセンブリはさらに、前記インレットマニホールドブロックへ結合され、かつ前記第2の内部反応物ラインへの第2の反応物ガスの供給を制御するように構成される第2の反応物バルブと、前記インレットマニホールドブロック上へ取り付けられ、かつ前記第2の反応物ガスバルブへの不活性ガスの供給を制御するように構成される第2の不活性ガスバルブとを備える。
さらに別の態様は、マニホールドと反応器とを有する原子層蒸着デバイスへガスを配送する方法である。本方法は、第1の反応物ガスをマニホールドへ、第1の反応物バルブとマニホールド出口との間にOリングを持たない第1の通路を介してルーティングする工程と、反応物ガスの流れを抑止する工程と、不活性ガスをマニホールドへ前記第1の通路の上流側の第2の通路を介してルーティングする工程とを含み、前記第2の通路は第1の不活性ガスバルブと第1の通路との間にOリングを持たない。
以下、幾つかの好適な実施形態の図面を参照して、本発明のこれらの、および他の特徴、態様および優位点について説明する。但し、実施形態は例示を目的とするものであり、本発明を限定するものではない。
好適な実施形態の詳細な説明
以下、例示的であって本発明を限定するためのものではない幾つかの好適な実施形態の図面を参照して、本発明の態様および優位点を説明する。マニホールド本体の特定の実施形態は、1つまたは複数の特徴を有する。これらの特徴には、内部不活性ガスチャネル、一体型ヒータ、前駆体経路内におけるOリングまたはデッドゾーンの不在および短い反応物ガス通路が含まれる。
ALDは、自己制限式反応、延いては完全に一様ではない状態における理論的には完全にコンフォーマルな蒸着によって高く評価されるという事実に関わらず、ALDにより結果的に生じる層の高品質を保証するためには、様々なプロセスパラメータを慎重に制御しなければならない。反応物ガスが効率的にパージされなければ、他の前駆体がパルスしているときに1つの前駆体が存在する結果となり、表面ALD反応ではなく、気相またはチャンバ/基板表面上でのCVD反応に繋がる可能性のあることが発見されている。ALDデバイスのサブコンポーネントを組み立てるためにOリングを使用することで、反応物ガスのパージはさらに複雑になる。これらのOリングは、Oリングのシール表面および前駆体を供給するガスオリフィス付近に一般にデッドレッグと呼ばれる小さい空所を発生させる。これらの空所に捉えられる容積に起因するこれらの前駆体の不適切な排出は粒子を生じさせ、よってALD工程に悪影響を及ぼす。またこれらのOリングは、シール面自体の裂け目を介する、または高温および化学的適合性によって選択されるOリング材料の透過性による漏れの原因となる可能性もある。
ソース(大抵は個体前駆体を運ぶ道管)からウェーハ表面までの前駆体ガスの熱制御を維持することは重要である。通常は、許容される熱公差の小窓が存在する(各前駆体は異なるが、同じ原理に従っている)。即ち、個体媒体の熱的側面を制御することにより、蒸気の抜き取り(または前駆体の量)が管理される。温度が設定された臨界点より低ければ、ガス流路への凝結が発生し、工程結果が損なわれ、かつ保全間隔が短くなる原因となる。温度が設定された臨界点より高ければ、媒体の「分解」が発生し、工程は危うい状態になる。より良い熱的安定性を維持するためには、全てのゾーンを可能な限り短く保つことが重要である。
マニホールドアセンブリが熱的一体性または熱制御を持たなければ、混合ガスの温度はマニホールドアセンブリ内で変わり、CVD成長に繋がる場合がある。マニホールドアセンブリへの熱的一体性の追加は、望ましくないCVD反応を抑止する場合があるが、これは、例えば高速バルブであるマニホールドアセンブリのサブコンポーネントに悪影響を与える場合がある。高速バルブは、上昇された温度環境における作動用に定格されていないことがある。さらに、流路に沿ったデッドゾーンは、反応物ガスが蒸着チャンバの上流で再循環する原因となる可能性がある。
ALD工程の間は、前駆体を空にするために要する時間が極めて重要である。ALD工程は、前駆体ガスおよびパージガスの「高速ファイア」である。ラインが短いほど、かつ伝導性(ポンプ効率)が高いほど、工程時間は短くなる。これは、ALDマーケットに優先する。
図1は、本発明の一実施形態による薄膜形成装置100の一実施形態を示す断面図である。この薄膜蒸着装置100は、処理されるべきワークピースまたはオブジェクトである半導体基板15を真空移送チャンバ(図示せず)からゲートバルブ6を介して反応チャンバ1へ運ぶロボットシステム(図示せず)を含む。反応チャンバ1は、上蓋2と、分散プレート3(「シャワーヘッドプレート」としても知られる)と、排気ダクト4と、下側のチャンバ5と、基板移送ゲートバルブ6と、排気ポート7と、基板支持体8と、基板支持体8を上下に移動させるためのエレベータ機構9とを備える。
基板15は、支持体8が下降位置8’にある間に基板支持体8上へ搭載される。次に、基板支持体8は、半導体基板15が分散プレート3から適切な距離に位置づけられるまで上へ移動される。支持体8はデバイス内へ位置づけられていて、蒸着工程の間に基板15またはウェーハを支持するように構成される。支持体8は、処理の前後に基板15を加熱するための内部または外部ヒータ(図示せず)も装備していてもよい。基板15が真空移送チャンバから反応チャンバ1へ移送されたのち、薄膜蒸着装置は、反応スペース22において、例えば反応物ガスをバルブ31(a)、31(b)、31(c)および31(d)を介して循環させ、かつ不活性ガスをバルブ30(a)、30(b)、30(c)および30(d)を介して循環させることによって薄膜形成工程を実行する。
特定の実施形態では、各反応物ガスバルブ31(a)〜(d)は不活性ガスバルブ30(a)〜(d)に関連づけられ、かつこれらと流体連通状態にある。好適には、各反応物ガスラインの少なくとも一部は、関連づけられる不活性ガスバルブ30と直列に配列される。この方法では、不活性ガスは、好適には関連づけられる反応物バルブ31の近くで、但し反応物バルブ31の上流で反応物ガスの流路へ進入し、反応物ガスライン全体のパージが強化される。
例えば、各反応物ガスバルブ31(a)〜(d)は3ポートバルブであってもよい。3ポートバルブは、反応物ガスソースおよび不活性ガスバルブと流体連通状態にある2つの投入ポートを有する。3ポートバルブの取出しポートは、反応スペース22と流体連通状態にある。反応物ガスバルブ31(a)〜(d)は、反応物ガスおよび不活性ガスの反応スペース22への流れを別々に制御する。
特定の実施形態では、各不活性ガスバルブ30(a)〜(d)は2ポートバルブである。この2ポートバルブは、内部不活性ガスチャネル610(図4)と流体連通状態にある1つの投入ポートと、反応物ガスバルブ31(a)〜(d)のうちの1つと流体連通状態にある取出しポートとを有する。2ポートバルブは、内部不活性ガスチャネル610と反応物ガスバルブ31(a)〜(d)のうちの関連づけられる1つとの間の不活性ガスの流れを制御する。この例示的配置では、反応物ガスバルブ31(a)〜(d)は、関連づけられる不活性ガスバルブ30(a)〜(d)の下流へ直列に位置づけられる。反応スペース22へ向かって流れるガスに関しては、基板処理の間に第2の位置におけるガスが第1の位置へ向かって流れる場合は、第1の位置が第2の位置の下流側にある。
各不活性ガスバルブ30(a)〜(d)は、関連づけられる反応物ガスバルブ31(a)〜(d)への不活性ガスの流れを制御する。反応物ガスバルブ31(a)〜(d)は、反応物質をパルス化した後に反応物蒸気ラインをパージするために、関連づけられる不活性ガスバルブ30(a)〜(d)から受け入れられる不活性ガスの流れを制御する。例えば、バルブ31(a)、31(b)、31(c)および31(d)へ接続される反応物蒸気ソースに関連づけられる不活性ガスソースは、各々バルブ30(a)、30(b)、30(c)および30(d)へ接続される。これらの不活性ガスソースは、加圧される可能性もあれば、加圧されない可能性もある。これらの不活性ガスソースは、例えば、希ガスソースまたは窒素ガスソースであることが可能である。ALD制御システム(図示せず)はメモリと処理モジュールとを含み、様々なガスが反応スペース22へ達することを選択的に許容する、または防止するためにこれらのバルブおよび他のバルブを制御するようにプログラムされる。例えば、不活性バルブ30からの流れは関連づけられる反応物ガスラインへ進入し、かつ引き続き反応チャンバ1へ入ってこのチャンバの反応物ガスをパージしてもよい。
不活性ガスおよび反応物ガスに関連づけられるバルブ30、31に加えて、ALDデバイスは、不活性ガスソースを反応チャンバ1へ接続する分離した不活性ガスライン54とバルブ32とを含んでもよい。不活性ガスバルブ32はALDデバイスへ追加の不活性ガスを供給し、かつ所望される基板処理に依存して連続的に、または周期的に動作されてもよい。図示されている実施形態では、不活性ガスは、不活性チャネル供給ライン52を介して内部不活性ガスチャネル610へも流れる(図6)。不活性チャネル供給ライン52は、不活性ガスバルブ32または分離した不活性ガスバルブ(図示せず)を介して不活性ガスを受け入れてもよい。内部不活性ガスチャネル610は、不活性ガスバルブ30(a)〜(d)と流体連通状態にある。
ALDデバイス100は、基板15が反応チャンバ1に挿入されると基板15上へ薄膜を堆積するように構成される。概して、ALDデバイスは、バルブ31(a)、31(b)、31(c)および31(d)のうちの1つまたはそれ以上を介して第1の反応物ガスを受け入れる。またALDデバイス100は、バルブ30(a)、30(b)、30(c)および30(d)のうちの1つまたはそれ以上を介しても反応物ガスを受け入れる。適切なバルブを切り替えることにより、第1の反応物ガスの流れは停止され、次に蒸着チャンバおよびガスラインは、不活性ガスライン54からの主たるパージフローと共に1つまたは複数のバルブ30(a)、30(b)、30(c)、30(d)からの不活性ガスをパージされる。反応チャンバ1およびガスラインがパージされた後、蒸着サイクルは1つまたは複数の他の反応物ガスによって継続される。交互パルスからの反応物質は基板またはウェーハ表面上のみで互いに反応し、各サイクルで所望される製品の単層を1つだけ形成して気相では反応または接触しない。動作モードによっては、一様性を幾分犠牲にしてサイクル当たり1単層を超える増加された蒸着速度を達成できることに留意されたい。
ALDデバイス100の実施形態では、各サイクルにおいて2つ以上の反応物ガスが(パージ周期で分離されて)順次ALDデバイス100を介して流され、ウェーハ上に物質が形成される。反応スペースにおける各反応物ガスの過剰分は、反応スペース22における吸着または反応後に排気管24を介して引き続き排出される。排気管24は、反応チャンバ1からのガス除去を支援して反応チャンバ1内に低圧状態をもたらすために、ターボ分子ポンプ(TMP)50へ接続されてもよい。さらに、ALDデバイス100全体は、ALDデバイス100の底部上の任意のカップリングを真空ポンプ(TMP50またはドライポンプ(DRY)へ接続することによって、低圧へポンプダウンされることが可能である。
ALDデバイス100は、ガス導入マニホールドアセンブリ10を含む。マニホールドアセンブリ10は、本体27(図5)と、内部不活性ガスチャネル610と、中央の穴28とを含む。マニホールドアセンブリ10はさらに、反応物ガスバルブ31(a)、31(b)、31(c)、31(d)のうちの1つまたはそれ以上と、不活性ガスバルブ30(a)、30(b)、30(c)、30(d)のうちの1つまたはそれ以上とを含む。マニホールドアセンブリ10は、反応物バルブ31(a)、31(b)、31(c)、31(d)を介して進入する反応物ガスおよび不活性ガスバルブ30(a)、30(b)、30(c)、30(d)を介して進入する不活性ガスをALDデバイス100を通ってルーティングするように構成される(図3参照)。マニホールドアセンブリ10はさらに、所定のパルスの間に、バルブ30(a)〜(d)を介して進入する不活性ガスの1つまたはそれ以上をバルブ31(a)〜(d)を介して進入する反応物ガスの1つと選択的に混合するように構成される。結果的に生じる混合気は、反応チャンバ1へ進入する。各パルス後、ALDデバイス100は、任意の未反応の反応物質および不活性ガスを、例えばパージ等によって反応チャンバ1から排気管24を介して排出する。図示されているバルブの位置は単に例示を目的とするものであり、ガスライン沿いの異なる位置に位置づけられることが可能である。好適には、これらのバルブはマニホールドアセンブリ10に近接して、またはマニホールドアセンブリ10自体の上に位置づけられ、バルブ下流側のガスラインの長さが短縮される。反応物ガスバルブ31(a)〜(d)は、短くてパージが容易なラインを設けるために、例えば、インレットマニホールドブロックから約10mmの距離に配置されてもよい。後述するように、本明細書に記載される例示的な実施形態における様々なバルブは、ガスまたは1種または複数種のガスの混合体をマニホールドアセンブリ10内へ流し込むために指示されている。しかしながら、本発明は本明細書に開示される例示的な実施形態に限定されない。
反応物ガスがALDデバイス100を介して循環される順序は、所望される製品に依存する。各ガスが反応チャンバ1へ入る前の1種または複数種の反応物ガス間の任意の相互作用を最小限に抑えるために、バルブ30(a)〜(d)を介して進入する不活性ガスは、反応物ガスのパルス間でALDデバイス100を介して周期的に循環され、または継続的に流される。この方法で、不活性ガスはラインおよび反応チャンバ1をパージする。後に説明するように、ALDデバイス100を介して様々な反応物ガスおよび不活性ガスが規則正しく循環され、ゲートバルブ6を介して挿入されるウェーハ上へ蒸着物が形成される。
図4において最も良く分かるように、ガス導入マニホールドアセンブリ10は分散プレート3上へ設置される。マニホールドアセンブリ10は、蓋2(図1参照)を介して延設される管状のガス導入部材11へ結合される。以下、図1に関連してマニホールドアセンブリ10の実施形態について説明する。部材11はマニホールドアセンブリ10の下流端へ接続し、マニホールドアセンブリ10から反応物ガスおよび不活性ガスを受け入れる。例示的な不活性ガスには、窒素およびアルゴンガスが挙げられる。蒸着工程は、不活性ガスを使用して反応物ガスをパージさせ、かつ/または反応物ガスと混合させる。ラジカルソース12は、図示されている実施形態では、バルブ16を介してマニホールドアセンブリ10へ接続されて示されている。バルブ16は、完全開放式バルブであってもよい。特定の実施形態では、バルブ16は二重作用ゲートバルブである。バルブ16の開口は、様々なガスからのラジカルをマニホールドアセンブリ10内へ導入する。部材11は、ガス分散部分13と流体連通状態にある。部材11から流れるガスは、ガス分散部分13によって拡散される。遠隔プラズマは、主としてチャンバのクリーニングに使用されるが、処理に使用されてもよい。
特定の実施形態では、部材11は中間分散機構43を有する。図2は、中間分散要素43の一例を示す概略図である。図示されている中間分散要素43は図2に示すように円筒形状を有し、部材11の下流端または先端に取り付けられることが可能である(図1参照)。特定の実施形態において、要素43の壁内の1つまたは複数の細孔またはスリット44は、部材11から進入するガスの拡散流出口となる。細孔44は、ガスを要素43から遠位へと半径方向に放出するように位置づけられてもよい。細孔44に加えて、または細孔44に代わって、要素43の底面には、ガスを分散プレート3へ向かって垂直方向に放出する1つまたは複数の細孔45が延設されてもよい。好適には、ガスをプレート3に渡ってより良く分散させるために、1つまたは複数の細孔45は分散プレート3内の細孔に位置合わせされない。
図1に示すガス分散部分13の断面プロファイルは、ホーン形状を有する。反応チャンバ1を介する排気流の変化に短い時間期間で対応するために、ガス分散部分13の内部容量は小さいことが好適である。特定の実施形態において、ガス分散部分13は、ガス分散部分13の水平の下面に対して約3〜30度の角度を有する平らな円錐台形状を有する。実施形態は、5度、10度、15度、20度、25度およびこれらの間の値の角度を含んでもよいが、好適には、分散されるガスをより均一に分配するために約5〜15度であってもよい。
特定の実施形態において、ガス分散部分13の下面とガス分散プレート3との間の距離は、3mm、5mm、7mmおよびこれらの間の値を含む約2〜10mmである。分散部分13を分散プレート3へさらに接近させると、ガスはプレート3上へより均一に分配される場合がある。特定の実施形態において、ガス分散部分13の内壁の形状は、滑らかなガス流を促進するために平滑であってもよい。
特定の実施形態では、分散部分13の内壁にヒータ42が設けられる。ヒータ42は、分散部分13に進入するガスを加熱する。分散プレート3には、具体的には周縁に、薄膜形成を調節するための第2のヒータ26が設けられてもよい。
ガス分散部分13の先端と分散プレート3との間には、スリット式の排気ポート17が形成される。このスリットは、分散部分13の外側の先端の周りに延設される環状(例えば、円形)形状を有する。排気ポートには様々な形状が使用されてもよいが、好適には、ガス流が流体動力学的に中断される領域を最小限に抑えるように選択される。例えば、排気ポートの形状は、複数の円弧状スリット、複数の円形細孔等を有することが可能である。スリットまたは細孔17を介する開口の幅は、ガス分散部分13の下面とガス分散プレート3との間の距離と同じであってもよく、または約2mmから5mmの間であってもよい。
排気スリット17は、上側のスペース18に連通して接続される。上側のスペース18は、分散部分13の上側の外壁と上蓋2の下面とによって形成される。上側のスペース18は、ガス分散部分13の下面と分散プレート3との間に位置づけられるシャワーヘッド状プレナム14と連通して接続される。特定の実施形態において、分散部分13の上側の外壁と上蓋2の下面との間の距離は、ガス分散部分13の下面と分散プレート3との距離にほぼ同じである。
排気フランジ19は上蓋2へ接続され、上側のスペース18およびシャワーヘッド状プレナム14から排出されるガスを受け入れる。シャワーヘッド排気バルブ20の開閉は、上側のスペース18およびシャワーヘッド状プレナム14からのガスの排出を許容または防止する。
ガス圧は、ガスがスリット17を介して上側のスペース18を通過する際に低下することから、これにより、反応パルス間の短い時間期間に渡ってガスを排出することがさらに困難になる場合がある。その結果、特定の実施形態では、スリット17を介して延設され、かつ排気フランジ19へ接続するダクトを有することが有利である場合がある。環状のダクトは、上側のスペース18を有する実施形態に比べて排気フランジ19へのガス流を増大させることが発見されている。これは、ダクトのガスに接触する内面積が、上側のスペース18から流れる際にガスが接触する表面積より少ないためである。しかしながら、排気フランジ19は環状ダクトに対してオフセットして位置づけられることから、環状ダクトは、上側のスペース18を使用する実施形態ほど均一にはガスを排出しない。例えば、上側のスペース18を使用する実施形態では、排気フランジ19は上側のスペース18の中心近くに位置づけられ、排出ガスを均一に受け入れることができる。
ガスは、ガス分散部分13を通過してシャワーヘッド状プレナム14へ達する。ガスはさらに、分散プレート3内のガス分散ポート21を通って進む。ガス分散ポート21を通過するガスは、基板支持体8と分散プレートまたはシャワーヘッドプレート3との間の反応スペース22に達する。次にガスは、引き続き基板15の表面に達してもよい。次にガスは、排気ダクト4内に形成されるリング状のスリット23へと続き、スリット23と連通して接続される排気管24から排出されてもよい。特定の実施形態では、分散プレート3から、および反応スペース22へのガス流量は約2〜3リットル/秒である。
分散プレート3と基板支持体8との間には、電極25から分散プレート3へ高周波電力を供給することによってプラズマを発生させることができる。例えば、プラズマ強化原子層蒸着(PEALD)処理の場合、in situプラズマは分散プレート3と基板支持体8との間で生成される。プラズマの遠隔生成は、PEALDの所定の工程を実行するために使用され、かつ反応チャンバ1による、基板15の処理間、例えば各ウェーハロット間の周期的クリーニングのために使用される。遠隔プラズマは、遠隔ラジカルまたは励起種ソース12として示される施設内プラズマ発生器を使用して発生される。発生器は、例えば400kHzの周波数で動作してもよく、マサチューセッツ州ウィルミントン所在のMKS Instrumentsから入手されてもよい。発生器は、マニホールドアセンブリ10の上に、またはさらに上流に取り付けられてもよい。バルブ16は、遠隔プラズマ発生器をマニホールドアセンブリ10から分離する。遠隔プラズマ発生器内では、チャンバのクリーニングまたは蒸着の何れかのためにラジカルが生成される。ラジカルは、分散部分13を通って基板15の表面まで流れる/浮動する/拡散することを許容される。好適には、ラジカルソース12はチャンバ1の近くに設置され、バルブ16は励起された種残存物延いてはクリーニング効率を最大化するために広く開放される。
in situ直接プラズマ発生のためのRF発生器は、例えば、13.56MHzで動作してもよい。このようなRF発生器および整合ネットワークは、カリフォルニア州フレモント所在のADTEC Technology Inc.から入手されてもよい。整合ネットワークは、反応チャンバ1の上部上に取り付けられてもよい。整合ネットワークの出力と分散プレート3との間には、送信ラインが接続される。分散プレート3(図1)、分散部分13(図1)および上蓋リング113(図4)はRFホットである。具体的には基板支持体8である反応スペース22を画定する残りの導電要素は、接地されている。直接プラズマは、分散プレート3と基板支持体8との間でのみ発生される。
処理が完了すると、基板支持体8は降下され、基板15は蒸着チャンバから同じゲートバルブ6を介して取り外されることが可能である。
制御システム(図示せず)は、基板15の処理中に装置を制御するように構成される。例えば、制御システムは、反応物ガスおよび不活性ガスのデバイスへの出入りおよび高周波電力の印加を制御するコンピュータ制御システムおよび電気制御バルブを含むことが可能である。制御システムは、所定のタスクを実行するFPGAまたはASIC等のソフトウェアまたはハードウェア成分等のモジュールを含むことが可能である。モジュールは、有利には、コンピュータ制御システムのアドレス可能格納媒体上に存在し、かつ1つまたは複数のプロセッサ上で実行されるように構成されてもよい。
図3は、ガスを反応チャンバ1へ導入するための代表的なシーケンスを示す。図3に示すステップ1では、シャワーヘッド排気バルブ20が閉じられる。反応物ガスバルブ31(a)は開放され、ガスAをマニホールドアセンブリ10の中央の穴28へ進入させる。この例では、ガスAはガス分散部分13内へと続き、分散プレート3を通過し、反応スペース22内へ供給される。ガスAは、反応スペース22から排気スリット23を介して排気管24へ排出される。
所定の時間期間に渡ってガスAが供給された後、ステップ2において、ガスAの反応物ガスバルブ31(a)は、ガスAがマニホールドアセンブリ10の中央の穴28へ進入することを防止し、かつ不活性ガスバルブ30(a)から流れる不活性ガスがマニホールドアセンブリ10の中央の穴28へ進入することを許容するように構成される。この時点で、特定の工程または関連する化学反応に依存して、シャワーヘッド排気バルブ20は全開されてもよい。残りのガスAは、不活性ガスによってパージされる。不活性ガスは、反応物ガスバルブ31(a)の上流の1点において不活性ガスバルブ30(a)からガスAに使用される反応物ガスライン内へ導入される。この方法で、不活性ガスは反応物ガスバルブ31(a)を介して流れ、反応物ガスラインをフラッシュまたはパージして後続ステップの間の反応物拡散を防止する。内部不活性ガスチャネル610(図4参照)は、不活性ガスバルブ30(a)に進入する不活性ガスを供給する。特定の実施形態において、内部不活性ガスチャネル610はマニホールドアセンブリ10内に位置づけられる。
ステップ3において、反応物ガスバルブ31(a)は、反応物ガスAおよび不活性ガスの双方がマニホールドアセンブリ10の中央の穴28に進入することを防止するように構成される。図3では、不活性ガスバルブ30(a)はステップ3で閉じられるが、必ずしもそうである必要はない。このチャネルでは不活性ガスを止めることが望ましい図示されている実施形態では、3方反応物ガスバルブ31(a)は、不活性ガスバルブ30(a)の構成に関わらず、不活性ガスがマニホールドアセンブリ10の中央の穴28に進入することを防止する。
ガスBは、反応物ガスバルブ31(b)を開くことによってマニホールドアセンブリ10の中央の穴28内に導入される。この場合、ガスBはガス導入部分11(図1)からガス分散部分13内へ導入される。次にガスBは分散プレート3を介して続き、基板表面15上へ供給される。基板表面15を越えながら、ガスBパルスは基板の表面にしみ込む。先行パルスが去ると同時に、ガスBと基板表面との間には吸着または反応が発生する。
反応スペース22を半径方向に通過した後、ガスBは、排気スリット23を介して排気管24へ向かって流れる。排気管24は、ガスがウェーハにしみ込んだ後の過剰なガスおよび任意の副産物を収集するように構成される。ある実施形態では、排気管24内の領域は反応チャンバ1内の圧力より低圧状態にある。排気管24および/または排気スリット23は、反応チャンバ1からガスを引き抜くために負圧源または真空と流体連通状態にあることが可能である。ガスBは、排気スリット23から排気管24へ排出される。
所定の時間期間の後、反応物ガスバルブ31(b)は閉じられ、ガスBの供給が遮断される。ステップ2に示す状態に類似する状態では、ガスAチャネルの代わりにガスBチャネルを介して流れる不活性ガスを除いて、残りのガスBはバルブ20から排出される。反応ガスAの供給と反応ガスBの供給とをこれら4つのステップの一部として反復することにより、各サイクルは1つ未満の分子単層を蒸着させる。熟練者は、かさばる前駆体からの立体障害が反応サイトをブロックして成長速度をサイクル当たり1単層未満に低下させる傾向のあることを認識するであろう。
3種以上の反応ガスが使用される場合でも、成膜は、3種以上の反応ガスを供給するステップと個々のガスをパージするステップとを反復することによって容易に達成することができる。
特定の実施形態では、シャワーヘッド排気バルブ20の開閉によって分散プレート3の内部領域を容易にパージすることが可能である。さらに、バルブ20を開閉する程度を変えてもよいことから、完全な遮断は不要である。
また、特定の実施形態では、化学反応に依存して、反応物ライン(A、B、C、D)の1つまたはそれ以上は処理の間に常時開いていることが可能である。これは、例えば、反応物ガスソースがパルスステップにおいて供給される前駆体の還元剤として作用する場合に生じることがある。前記還元剤は、高周波電力が印加される場合にのみ反応する。
高周波電力をガス分散プレート3へ印加する際には、反応ガスを直接プラズマガスとして供給することもできる。ガス分散部分13にヒータ42(図1)を設けることにより、分散部分13の内部温度を上げることが可能である。その結果、低い蒸気圧を有し、かつ容易に密着する有機金属物質を使用する場合には、これを凝集なしに排出することが可能になる。
図4は、ALDデバイス100の一実施形態を詳細に示す断面図である。この図は、基板支持体またはサセプタおよび全てのガスバルブを示していない。ガスA反応物ガスは、バルブ31(a)を介してマニホールドアセンブリ10へ導入される。次にガスAは、中間分散要素43内のスリット44を介して分散部分13の第1の区画82内へ導入される。第1の区画82は、部分的に、スリットを有するボトムプレートによって画定される。ガスA反応物ガスはスリットを通過し、複数の穴(図示せず)を有する分散プレート3の上面上に存在する第2の区画81へ流れ込む。第1の区画82と第2の区画81とは、シャワーヘッドプレナムを構成する。
特定の実施形態では、第1の区画82はボトムプレートを保有せず、第1の区画82と第2の区画81との間に明らかな境界は存在しない。ガスAは、分散プレート3内に形成される複数の穴を介して反応チャンバ1の反応スペース22へ放出される。反応スペース22は、基板支持体8(図1)の上に位置づけられる。上述の工程の間、反応スペース22は、排気ダクト4を使用して環状スリット23を介して絶えず排気され、環状スリット23において、ガスは反応スペース22の外周へ向かって半径方向に引き抜かれる。環状スリット23は、基板支持体8の外周周辺に位置づけられる。ガス分散部分13は、絶縁プレート150が上に置かれる上蓋リング113を介して分散プレート3へ固定される。
ガス分散部分13と分散プレート3とは、互いに直に接触せず、ガス分散部分13の外周沿いに環状の間隙83が形成される。この環状の間隙83は、上蓋プレート113を介して排気フランジ19(図1参照)と連通する。
第1および第2の区画82、81をパージするとき、パージガスは、バルブ30(a)〜(d)のうちの1つ、反応物ガスバルブ31(a)〜(d)のうちの関連づけられる1つ、マニホールドアセンブリ10、および中間分散要素13を介してこれらの区画へ導入される。メインパージは、不活性ガスライン54からマニホールドアセンブリ10を介して流れる。反応物ガスバルブ31(a)〜(d)および不活性ガスバルブ30(a)〜(d)からの不活性ガスは、反応物バルブと中央の穴28との間のラインをフラッシュまたはパージする。同時に、第1および第2の区画82、81は、排気フランジ19を使用して環状の間隙83を介して空にされる。反応スペース22は、スリット23および排気ダクト4を介して絶えず空にされる。
図5において最も良く分かるように、この例において、マニホールドアセンブリ10は、4つの反応物ガスバルブ31(a)〜(d)と、不活性チャネル供給ライン52と、不活性ミキサ供給ライン54とを含む。各反応物バルブ31(a)〜(d)は、不活性ガスバルブ30(a)〜(d)のうちの1つとペアにされる。反応物バルブ31(a)は、不活性バルブ30(a)へ結合される。反応物バルブ31(b)は、不活性バルブ30(b)とペアにされる。反応物バルブ31(c)は、不活性バルブ30(c)とペアにされる。反応物バルブ31(d)は、不活性バルブ30(d)とペアにされる。ALDデバイス100は、ALDデバイス100の構成に依存して、より多い、またはより少ない反応物バルブおよび不活性バルブを含むことができる。さらに、各反応物ラインは、1つの不活性ガスバルブとペアにされても、されなくてもよい。例えば、反応物ラインのうちの1つまたはそれ以上は不活性ガスバルブとペアにされてもよく、一方で別の反応物ラインはペアにされない。バルブとペアにされない反応物ラインは、他の手段によってパージされることも可能である。
カップリング190(a)は、反応物ガスバルブ31(a)を反応物ソースA620(図6)へ結合する。カップリング190(b)は、反応物ガスバルブ31(b)を反応物ソースB626(図6)へ結合する。カップリング190(c)は、反応物ガスバルブ31(c)を反応物ソースC632(図6)へ結合する。カップリング190(d)は、反応物ガスバルブ31(d)を反応物ソースD638(図6)へ結合する。
カップリング190(f)は、内部不活性ガスチャネル610(図6参照)を不活性またはパージガスソース644(図6)へ結合する。カップリング190(e)は、中央の穴28またはマニホールドアセンブリ10の内側を不活性ガスソース644へ内部不活性ガスチャネル610から分離して結合する。
図5に示す実施形態では、不活性チャネル供給ライン52およびカップリング190(a)〜(d)はバルブまで、およびマニホールドアセンブリ10の内側へ向かう流路を提供する。不活性チャネル供給ライン52は、内部不活性ガスチャネル610へ接続する。図示されている実施形態では、不活性ガスバルブ30(a)〜(d)の各々は内部不活性ガスチャネル610の下流側に位置づけられる。ライン54は、バルブを通過することなくマニホールドアセンブリ10の内側への経路を提供する。
図5に示す実施形態では、カップリング190(a)〜(d)は反応物ガスをマニホールドアセンブリ10内へ流す。不活性ガスライン54は、不活性ガスを中央の穴28へ直接流す通路を提供する。結果的に生じる混合物(一度に1つの反応物質と1つの不活性ガス)は下方へ、反応チャンバ1へ向かって流れる。絶縁プレート56は、ALDデバイス100上に組み立てられると絶縁プレート150(図4)に隣接して存在する。
マニホールドアセンブリ10は、壁体温度を制御するように構成される1つまたは複数のヒータカートリッジ180を含む。マニホールドアセンブリ10を通過する反応物ガスは、マニホールドおよびヒータカートリッジ180によって加熱される。マニホールドアセンブリ10を通過する際に反応物ガスの温度を制御することは、ガスの凝結または熱分解が発生する尤度を下げる。特定の実施形態では、各反応物ガスバルブ31(a)〜(d)は1つまたは複数のヒータカートリッジ180によって別々に加熱される。図示されている実施形態では、反応物バルブのうちの2つが低い蒸気圧を有する前駆体(例えば、ZrCl、HfCl、TMAおよび他の有機金属化合物等の標準状態で液体または固体)の使用を促進させるヒータを有するが、2つはこれを持たない。例えば、1つまたは複数のヒータカートリッジ180の第1のセットは、マニホールドアセンブリ10内に、かつ反応物ガスAを運ぶラインの近くに位置づけられてもよい。1つまたは複数のヒータカートリッジ180の第2のセットは、マニホールドアセンブリ10内に、かつ反応物ガスBを運ぶラインの近くに位置づけられてもよい。ヒータカートリッジ180の第1および第2のセットは、ガスAをガスBとは異なる温度まで加熱するように別々に制御されてもよい。特定の実施形態では、ヒータカートリッジ180は、マニホールドアセンブリ10内で200℃までの壁体温度を維持する。マニホールドアセンブリ10の温度を監視するために、1つまたは複数の熱スイッチが使用されてもよい。本システムは、システムの様々なコンポーネントを所望される温度に保つために他の温度センサおよび温度制御機構を含むことは理解されるであろう。
さらに、本システムは、所望される処理に依存して、バルブ30、31の第1のペアには異なる温度を保ち、バルブ30、31の第2のセットには第2の温度を保ってもよい。図示されている実施形態は、モノリシックALDインレットマニホールドの温度制御のための単一ゾーンを画定する温度センサによって駆動されるヒータカートリッジを企図しているが、図示されている実施形態は、ALDマニホールド内の各前駆体を個別にゾーン制御するように適合化されることも可能である。例えば、図示されている別々のマニホールド経路を有する4つの前駆体の場合、各前駆体の流路を別々に熱制御するために5つのゾーンを用意することができ、中央のハブおよび4つの前駆体ライン(バルブを含む)の各々が別々のゾーンとして扱われる。ゾーンの熱分離を促進するために、ハブは、例えば本体27とベースプレート606(図10参照)との間の機械的/熱的接続を前駆体ガス吸気開口周囲の小さいスポット突起に制限する熱気遮断を伴って製造されることも可能である。熱制御を監視するために、追加のヒータおよび熱電対が使用される。有利には、混合点(例えば、中央の穴)より上流の流路の温度は、凝結、反応または吸着の何れによるものであれラインのコーティングを最小限に抑え、延いては目詰まりおよび/または下流側の汚染を最小限に抑えるように、各反応物質で別々に調整されることが可能である。
図6は、図5に示すマニホールドアセンブリ10を介するガス流路を示す概略図であり、各々が別々の反応物ガスバルブ30(a)〜(d)と流体連通状態にある4つの不活性ガスバルブ31(a)〜(d)を示す。マニホールドアセンブリ10は、4つの不活性ガスバルブ30(a)〜(d)と流体連通状態にある内部不活性ガスチャネル610を含む。また図6は、各反応物ガスおよび不活性ガスのソースも示す。反応物ソースは、反応物質が標準状態において個体であるか、液体または気体であるかに依存して、ガス容器、バブラまたは他の気化器を呈してもよい。反応物/不活性ガスソースに関連づけられる追加のバルブ(図示せず)は、マニホールドアセンブリ10の外側に位置づけられてもよい。
ガスAは、そのソース620からライン622を介して流れ、反応物バルブ31(a)に達する。反応物ガスバルブ31(a)は、所望される処理ステップに依存して、ライン624を介してマニホールドアセンブリ10の中央の穴28内に至るガスAの流れを許容または防止するように構成されてもよい。ガスBは、そのソース626からライン628を介して流れ、反応物バルブ31(b)に達する。反応物ガスバルブ31(b)は、所望される処理ステップに依存して、ライン630を介してマニホールドアセンブリ10の中央の穴28内に至るガスBの流れを許容または防止するように構成されてもよい。
ガスCは、そのソース632からライン634を介して流れ、反応物バルブ31(c)に達する。反応物ガスバルブ31(c)は、所望される処理ステップに依存して、ライン636を介してマニホールドアセンブリ10の中央の穴28内に至るガスCの流れを許容または防止するように構成されてもよい。ガスDは、そのソース638からライン640を介して流れ、反応物バルブ31(d)に達する。反応物ガスバルブ31(d)は、所望される処理ステップに依存して、ライン642を介してマニホールドアセンブリ10の中央の穴28内に至るガスDの流れを許容または防止するように構成されてもよい。図示されている4つの反応物バルブの実施形態は例示的なものであり、これより多い、または少ない数の反応物バルブが使用されることも可能である。
不活性ガスは、ソース644(複数のガス容器を含んでもよい)から不活性チャネル供給ライン52を介して流れ、内部不活性ガスチャネル610へ達する。内部不活性ガスチャネル610は、好適にはマニホールドアセンブリ10内に位置づけられる。不活性ガスチャネル610をマニホールドアセンブリ10内に包含することにより、保全熟達度は強化される。有利には、マニホールドアセンブリ10は、反応器上へ組み立て直される前にベンチ検査を受けてもよい。不活性ガスチャネル610がマニホールドアセンブリ10に包含される場合、不活性ガスと前駆体ガスとが同じ熱質量またはマニホールドアセンブリ10を介して供給されることから、不活性ガスの熱制御は前駆体ガスとの同等性を高める。
不活性ガスチャネルがマニホールドの外側、かつ反応器上部の内側に位置づけられる場合は、チャンバ内にOリングを追加する必要がある。これらのOリングの追加は、反応器の真空完全性に影響を与える可能性がある。またクリーニングも、反応器内に位置づけられる不活性ガスチャネルにアクセスするために反応器全体が分解されることから、より複雑になる場合がある。
内部不活性ガスチャネル610はさらに、不活性ガスバルブ30(a)〜(d)のうちの1つまたはそれ以上と流体連通状態にある。図6に示す例示的な実施形態では、内部不活性ガスチャネル610は4つの不活性ガスバルブ30(a)〜(d)と流体連通状態にある。
不活性ガスは、内部不活性ガスチャネル610からライン646を介して流れ、不活性ガスバルブ30(a)に達する。特定の実施形態では、不活性ガスバルブ30(a)は2ポートバルブである。2ポートバルブは、内部不活性ガスチャネル610と反応物ガスバルブ31(a)との間の不活性ガスの流れを制御する。2ポートバルブは、内部不活性ガスチャネル610と流体連通状態にある1つの投入ポートと、ライン648を介して反応物ガスバルブ31(a)と流体連通状態にある1つの取出しポートとを有する。この方法では、不活性ガスバルブ30(a)は、ライン646とライン648との間の不活性ガスの流れを許容または防止するように構成されてもよい。
反応物ガスバルブ31(a)は、ライン648と流体連通状態にある。先に述べたような、ライン622からマニホールドアセンブリ10の中央の穴28への反応物ガスAの到達を許容または防止することに加えて、反応物ガスバルブ31(a)はさらに、ライン624を介してマニホールドアセンブリ10の中央の穴28内に至る不活性ガスの流れを許容または防止するように構成される。したがって、反応物ガスバルブ31(a)は、不活性ガスおよび反応物ガスAによるライン624への進入を別々に許容または防止するように構成されてもよい。
ある好適な実施形態では、反応物ガスバルブ31(a)は3ポートバルブである。反応物ガスバルブ31(a)の第1のポートはライン622と流体連通状態にあり、反応物ガスAを受け入れる。反応物ガスバルブ31(a)の第2のポートはライン648と流体連通状態にあり、不活性ガスを受け入れる。反応物ガスバルブ31(a)の第3の、または出口ポートは、ライン624を介してマニホールドアセンブリ10の中央の穴28と流体連通状態にある。
不活性ガスは、内部不活性ガスチャネル610からライン650を介して流れ、不活性ガスバルブ30(b)に達する。特定の実施形態では、不活性ガスバルブ30(b)は2ポートバルブである。2ポートバルブは、内部不活性ガスチャネル610と反応物ガスバルブ31(b)との間の不活性ガスの流れを制御する。2ポートバルブは、内部不活性ガスチャネル610と流体連通状態にある1つの投入ポートと、ライン652を介して反応物ガスバルブ31(b)と流体連通状態にある1つの取出しポートとを有する。この方法では、不活性ガスバルブ30(b)は、ライン650とライン652との間の不活性ガスの流れを許容または防止するように構成されてもよい。
反応物ガスバルブ31(b)は、ライン652と流体連通状態にある。先に述べたような、ライン628からマニホールドアセンブリ10の中央の穴28への反応物ガスBの到達を許容または防止することに加えて、反応物ガスバルブ31(b)はさらに、ライン630を介してマニホールドアセンブリ10の中央の穴28内に至る不活性ガスの流れを許容または防止するように構成される。したがって、反応物ガスバルブ31(b)は、不活性ガスおよび反応物ガスBによるライン630への進入を別々に許容または防止するように構成されてもよい。
ある好適な実施形態では、反応物ガスバルブ31(b)は3ポートバルブである。反応物ガスバルブ31(b)の第1のポートはライン628と流体連通状態にあり、反応物ガスBを受け入れる。反応物ガスバルブ31(b)の第2のポートはライン652と流体連通状態にあり、不活性ガスを受け入れる。反応物ガスバルブ31(b)の第3の、または出口ポートは、ライン630を介してマニホールドアセンブリ10の中央の穴28と流体連通状態にある。
不活性ガスは、内部不活性ガスチャネル610からライン654を介して流れ、不活性ガスバルブ30(c)に達する。特定の実施形態では、不活性ガスバルブ30(c)は2ポートバルブである。2ポートバルブは、内部不活性ガスチャネル610と反応物ガスバルブ31(c)との間の不活性ガスの流れを制御する。2ポートバルブは、内部不活性ガスチャネル610と流体連通状態にある1つの投入ポートと、ライン656を介して反応物ガスバルブ31(c)と流体連通状態にある1つの取出しポートとを有する。この方法では、不活性ガスバルブ30(c)は、ライン654とライン656との間の不活性ガスの流れを許容または防止するように構成されてもよい。
反応物ガスバルブ31(c)は、ライン656と流体連通状態にある。先に述べたような、ライン634からマニホールドアセンブリ10の中央の穴28への反応物ガスCの到達を許容または防止することに加えて、反応物ガスバルブ31(c)はさらに、ライン636を介してマニホールドアセンブリ10の中央の穴28内に至る不活性ガスの流れを許容または防止するように構成される。したがって、反応物ガスバルブ31(c)は、不活性ガスおよび反応物ガスCによるライン636への進入を別々に許容または防止するように構成されてもよい。
ある好適な実施形態では、反応物ガスバルブ31(c)は3ポートバルブである。反応物ガスバルブ31(c)の第1のポートはライン634と流体連通状態にあり、反応物ガスCを受け入れる。反応物ガスバルブ31(c)の第2のポートはライン656と流体連通状態にあり、不活性ガスを受け入れる。反応物ガスバルブ31(c)の第3の、または出口ポートは、ライン636を介してマニホールドアセンブリ10の中央の穴28と流体連通状態にある。
不活性ガスは、内部不活性ガスチャネル610からライン658を介して流れ、不活性ガスバルブ30(d)に達する。特定の実施形態では、不活性ガスバルブ30(d)は2ポートバルブである。2ポートバルブは、内部不活性ガスチャネル610と反応物ガスバルブ31(d)との間の不活性ガスの流れを制御する。2ポートバルブは、内部不活性ガスチャネル610と流体連通状態にある1つの投入ポートと、ライン660を介して反応物ガスバルブ31(d)と流体連通状態にある1つの取出しポートとを有する。この方法では、不活性ガスバルブ30(d)は、ライン658とライン660との間の不活性ガスの流れを許容または防止するように構成されてもよい。
反応物ガスバルブ31(d)は、ライン660と流体連通状態にある。先に述べたような、ライン640からマニホールドアセンブリ10の中央の穴28への反応物ガスDの到達を許容または防止することに加えて、反応物ガスバルブ31(d)はさらに、ライン642を介してマニホールドアセンブリ10の中央の穴28内に至る不活性ガスの流れを許容または防止するように構成される。したがって、反応物ガスバルブ31(d)は、不活性ガスおよび反応物ガスDによるライン642への進入を別々に許容または防止するように構成されてもよい。
ある好適な実施形態では、反応物ガスバルブ31(d)は3ポートバルブである。反応物ガスバルブ31(d)の第1のポートはライン640と流体連通状態にあり、反応物ガスDを受け入れる。反応物ガスバルブ31(d)の第2のポートはライン660と流体連通状態にあり、不活性ガスを受け入れる。反応物ガスバルブ31(d)の第3の、または出口ポートは、ライン642を介してマニホールドアセンブリ10の中央の穴28と流体連通状態にある。
「防止」および「許容」という用語は相対語であり、ガス流の封鎖または全流量の許可に限定されるものではない。例えば、反応物ガスバルブ31(a)は、このバルブを介して流れる反応物ガスが増大される場合は反応物ガスの流れを許容するように構成される。同様に、反応物ガスバルブ31(a)は、このバルブを介して流れる反応物ガスが減少される場合は反応物ガスの流れを防止するように構成される。さらに、図6に示すラインの長さは識別を容易にするためのものであり、所望される構造に依存して、より長くてもより短くてもよい。特定の実施形態では、マニホールドアセンブリ10からパージ対象の未反応反応物質の量を減らすために、1つまたは複数のラインを短縮することが好適である場合がある。実際には、図6に示すマニホールドアセンブリ10内の「ライン」は全て中央ブロックおよび/または付着プレート内の機械加工されたチャネルであり、よって、図4〜5および図7〜10より認識されるように、バルブと反応チャンバとの間の距離は最短にされ、パージ時間が短縮される。
不活性ガスライン54は、マニホールドアセンブリ10の中央の穴28または内側を不活性ガスソース644へ内部不活性ガスチャネル610から分離して結合する。ライン54は、バルブを通過しない中央の穴28への経路を提供する。特定の実施形態では、バルブ662は、ライン54からマニホールドアセンブリ10へ入る不活性ガスの流れを制御する。
図7は、図5のマニホールドアセンブリ10の平面図であり、マニホールドアセンブリ10の中央の本体27へ結合される反応物ガスバルブ31(a)〜(d)および不活性ガスバルブ30(a)〜(d)を示す。マニホールドアセンブリ10は、カップリング190(a)〜(d)を介して進入する反応物ガスおよびカップリング190(e)を介して進入する不活性ガスをマニホールドアセンブリ10の中央の穴28へルーティングするように構成される。カップリング190(a)は、ライン622を介して反応物ガスバルブ31(a)と流体連通状態にある。カップリング190(b)は、ライン628を介して反応物ガスバルブ31(b)と流体連通状態にある。カップリング190(c)は、ライン634を介して反応物ガスバルブ31(c)と流体連通状態にある。カップリング190(d)は、ライン640を介して反応物ガスバルブ31(d)と流体連通状態にある。カップリング190(e)は、ライン54を介してマニホールドアセンブリ10の中央の穴28と流体連通状態にある。
マニホールドアセンブリ10は、所定のパルスの間に、単一のガスまたは複数のガスをマニホールドアセンブリ10の中央の穴28へ同時にルーティングしてもよい。好適には、ALDモードにおいて、1つの反応物ガスは穴28内で不活性ガスと混合される。結果的に生じる混合気は、蒸着チャンバ1(図1)へ入る。各パルスの後、ALDはパージ等によって、任意の未反応の反応物質および不活性ガスを排気管24を介して蒸着チャンバから、かつシャワーヘッド排気バルブ20(図1)を介してシャワーヘッドアセンブリから排出する。
不活性ガスは、処理の間、断続的に、またはパージ動作の間に限って、ライン54からマニホールドアセンブリ10の中央の穴28へ継続して流れてもよい。先に論じたように、不活性ガスは、マニホールドアセンブリ10内の不活性チャネル供給ライン52(図6)を介して内部不活性ガスチャネル610へも流れる場合がある。内部不活性ガスチャネル610は、不活性ガスバルブ30(a)〜(d)と流体連通状態にある。
不活性ガスバルブ30(a)〜(d)は、マニホールドアセンブリ10の本体27へ直に付着する。図8および9で分かるように、各反応物ガスバルブ31(a)〜(d)は、本体27へ付着するスペーサブロック700(a)〜(d)を使用して本体27上へ取り付けられてもよい。スペーサブロック700(a)〜(d)は、反応物ガスバルブ31(a)〜(d)と結合する開口およびねじ穴を装備している。スペーサブロック700(a)〜(d)は、マニホールドアセンブリ10の製造を容易にする。スペーサブロック700(a)は反応物ガスバルブ31(a)に関連づけられ、マニホールドアセンブリ10の本体27と反応物ガスバルブ31(a)との間の流路を提供する。スペーサブロック700(b)は反応物ガスバルブ31(b)に関連づけられ、マニホールドアセンブリ10の本体27と反応物ガスバルブ31(b)との間の流路を提供する。スペーサブロック700(c)は反応物ガスバルブ31(c)に関連づけられ、マニホールドアセンブリ10の本体27と反応物ガスバルブ31(c)との間の流路を提供する。スペーサブロック700(d)は反応物ガスバルブ31(d)に関連づけられ、マニホールドアセンブリ10の本体27と反応物ガスバルブ31(d)との間の流路を提供する。
図8は、図7の線8−8に沿った断面図であり、図9は、図7の線9−9に沿った断面図である。各スペーサブロック700(a)〜(d)は、関連づけられる反応物ガスバルブ31(a)〜(d)との間のガスルーティング経路の一部を提供する。図8および9に示すガスルーティング経路は、図6に関連して記述しているラインに一致する。図6に示すライン全体は、マニホールドアセンブリ10の単一コンポーネントにおける通路全体を、またはマニホールドアセンブリ10の複数のコンポーネントにおける通路の一部を表す場合がある。例えば、図6および8に示すライン652は、マニホールドアセンブリ10の本体27およびスペーサブロック700(b)の双方における通路の少なくとも一部に一致し、図6および8に示すライン660は、マニホールドアセンブリ10の本体27およびスペーサブロック700(d)における通路の少なくとも一部に一致する。
図示されている実施形態における本体27は、中央の穴28を備える管形状を有する。本体27は、入口612と、出口614とを含む。中央の穴28は、円筒形状を有する下側部分と、円錐形状を有する上側部分とを有することが可能である。入口612の領域の断面積は、好適には出口614の断面積より大きい。実施形態によっては、中央の穴28のフロー断面積は、混合気が出口614へ向かって移動し、テーパ状または「漏斗」状の通路が形成されるにつれて漸減する。
特定の実施形態では、本体27の内面の少なくとも一部は、混合気が出口614へ向かって流れるにつれて本体27を介する開放された断面積を低減する円錐形状を有する。本体27はさらに、下流側または底面上に、マニホールドアセンブリ10を反応チャンバ1のシャワーヘッドプレートへ取り付けるための取付け穴を含む。
図示されている実施形態では、各スペーサブロック700(a)〜(d)は、関連づけられる反応物ガスバルブ31(a)〜(d)の2つの投入ポートおよび単一の取出しポートへ接続される3つの区別的な通路を有する。例えば、スペーサブロック700(b)およびマニホールドアセンブリ10の本体27の双方における第1の通路またはライン652は、不活性ガスバルブ30(b)の取出しポートを反応物ガスバルブ31(b)の2つの投入ポートのうちの一方へ接続する。第2の通路またはライン628は、カップリング190(b)を反応物バルブ31(b)のもう一方の投入ポートへ接続する。第3の通路またはライン630は、反応物ガスバルブ31(b)の取出しポートをマニホールドアセンブリ10の中央の穴28へ接続する。反応物ガスバルブ31(d)に関して言えば、スペーサブロック700(d)およびマニホールドアセンブリ10の本体27の双方における第1の通路またはライン660は不活性ガスバルブ30(d)の取出しポートを反応物ガスバルブ31(d)の2つの投入ポートのうちの一方へ接続する。第2の通路またはライン640は、カップリング190(d)を反応物バルブ31(d)のもう一方の投入ポートへ接続する。第3の通路またはライン642は、反応物ガスバルブ31(d)の取出しポートをマニホールドアセンブリ10の中央の穴28に接続する。図7では、不活性ガスバルブ30(a)〜(d)の一部が反応物ガスバルブ31(a)〜(d)によって遮られている。
図9は、図7の線9−9に沿った拡大断面図であり、マニホールドアセンブリ10の本体27へ接続された反応物バルブ31(a),31(c)および不活性ガスバルブ30(a)、30(c)を示す。図7および9を参照すると、スペーサブロック700(a)は反応物ガスバルブ31(a)に関連づけられ、マニホールドアセンブリ10の本体27と反応物ガスバルブ31(a)との間に流路を提供する。スペーサブロック700(c)は反応物ガスバルブ31(c)に関連づけられ、マニホールドアセンブリ10の本体と反応物ガスバルブ31(c)との間に流路を提供する。スペーサブロック700(a)およびマニホールドアセンブリ10の本体27の双方における第1の通路またはライン648は、不活性ガスバルブ30(a)の取出しポートを反応物ガスバルブ31(a)の2つの投入ポートのうちの一方へ接続する。第2の通路またはライン622は、カップリング190(a)を反応物バルブ31(a)のもう一方の投入ポートへ接続する。第3の通路またはライン624は、反応物ガスバルブ31(a)の取出しポートをマニホールドアセンブリ10の中央の穴28へ接続する。反応物ガスバルブ31(c)に関して言えば、スペーサブロック700(c)およびマニホールドアセンブリ10の本体27の双方における第1の通路またはライン656は、不活性ガスバルブ30(c)の取出しポートを反応物ガスバルブ31(c)の2つの投入ポートのうちの一方へ接続する。第2の通路またはライン634は、カップリング190(c)を反応物バルブ31(c)のもう一方の投入ポートへ接続する。第3の通路またはライン636は、反応物ガスバルブ31(c)の取出しポートをマニホールドアセンブリ10の中央の穴28に接続する。
通路またはライン654は、不活性ガスバルブ30(c)の投入ポートを内部不活性ガスチャネル610へ接続する。通路またはライン646は、不活性ガスバルブ30(a)の投入ポートを内部不活性ガスチャネル610へ接続する。
図8および9を参照すると、反応物ガスは、ライン624、630、636、642を介してマニホールドアセンブリ10の中央の穴28へ、好適には中央の穴28内でガスを旋回させて混合を促進するように中心線702から偏心して進入する。ガスを旋回させることは、所望される製品に依存して反応物ガスと不活性ガスおよび/または別の反応物ガスとの混合を促進させる場合がある。混合気は、蒸着チャンバ1へ向かう混合気の移動に伴って管状本体の内側を回る。
特定の実施形態では、本体27、スペーサ700(a)〜(d)およびバルブ30(a)〜(d)、31(a)〜(d)の各コンポーネントのうちの1つまたはそれ以上はステンレス鋼または他の金属物質である。ステンレス鋼の場合、マニホールドアセンブリ10はOリングを含む必要がなく、結果的にデッドゾーンが発生しない。有利には、ラインまたは通路は耐化学性金属のブロックまたは本体27内に一体製造される。特定の実施形態では、不活性バルブおよび反応物バルブ30、31はステンレス鋼であり、オハイオ州サロン所在のSwagelok Co.から市販されている。ある好適な実施形態では、Swagelok社の2ポート不活性ガスバルブ30(a)〜(d)は部品番号6LVV−MSM−ALD3T−W2−P−CSで識別され、3ポート反応物ガスバルブ31(a)〜(d)は部品番号6LVV−MSM−ALD3T−W3−P−CSで識別される。金属バルブ30、31の各々は、金属シールで金属から、および好適にはステンレス鋼スペーサ700およびマニホールドの本体27から密封されてもよい。他の特定の実施形態では、マニホールドアセンブリ10の1つまたは複数のコンポーネントはセラミック材料から製造される。
図9はさらに、合わせコンポーネントの表面間に位置づけられる様々な金属シールを示す。当然ながら、例えば合わせコンポーネントに関連づけられる材料、公差、動作圧力およびガスに依存して、より多い、またはより少ない数の金属シールを使用することも可能である。さらに、特定の実施形態では、1つまたは複数のコンポーネントが組み合わされて単一のコンポーネントにされてもよく、よって、組み合わされるコンポーネント間の任意のシールは不要にされてもよい。例えば、スペーサブロック700(a)〜(d)と関連の反応物ガスバルブ31(a)〜(d)とは単一のコンポーネントに組み合わされ、組み合わされるコンポーネント間のシールの必要はなくなる可能性もある。さらに、反応物ガスバルブに関連づけられるスペーサブロック700(a)〜(d)は、隣接する不活性ガスバルブのスペーサを形成するように反応物ガスバルブの側面を超えて延設されてもよい(図10参照)。或いは、反応物ガスバルブと、この反応物ガスバルブに関連づけられる不活性ガスバルブとは、別々のスペーサを有してもよい。マニホールドアセンブリ10をシャワーヘッドアセンブリから密封するためには、Oリング用等の高分子材料から製造される従来のシール900が使用されてもよい。
図10は、マニホールドアセンブリ10の別の実施形態を略示するものであり、スペーサブロックは、反応物ガスバルブ31(a)およびその関連の不活性ガスバルブ30(a)とマニホールドアセンブリ10の本体27との間に結合される、アルミニウムとステンレス鋼等の異種物質のサブコンポーネントを備える。この実施形態の場合、反応物ガスバルブ31(a)および不活性ガスバルブ30(a)が示されていて、反応物ガスバルブ31(b)〜(d)および不活性ガスバルブ30(b)〜(d)は示されていない。しかしながら、以下の説明は、反応物ガスバルブと関連の不活性ガスバルブとの他の3つのペア、30(b)と31(b)、30(c)と31(c)、30(d)と31(d)にも等しく当てはまる。
この好適な実施形態では、バルブ31(a)、30(a)は、例えば316SSであるステンレス鋼製である。ステンレス鋼は、より強度の低い金属を凌いでバルブの耐久性を有利に向上させる。マニホールドアセンブリ10の本体27はアルミニウムまたはこれに類似する物質から製造され、高い熱伝導率をもたらす。有利には、アルミニウムは比較的軽い金属であり、ステンレス鋼に比べて拡大された熱的分布をもたらす。或いは、本体27は316ステンレス鋼から製造されてもよい。当然ながら、本体27には他の物質が使用されてもよい。
図6に示すように、マニホールドアセンブリ10内の多くの内部通路はコンポーネント間で共用される。異なる部分における接続通路間のインタフェースは、従来的に、合せ面における窪みを使用してOリングまたは他のシールデバイス900(図9)を収容する。窪みおよび関連のシールは、インタフェースにデッドゾーンを形成する尤度を増大させる。マニホールドアセンブリ10の中央の穴28と反応物/不活性ガスバルブとの間の流路沿いに存在する窪みまたは埋込みシール、Oリングおよび結果的に生じる任意のデッドゾーンの数は、少ない方が有利である。このようなデッドゾーンは、流路の完全なパージを妨げる間隙または空所をもたらすことになる。不完全にパージされた第1の反応物ガスは、不都合にもこの空所サイトで、または第1の反応物質が拡散できる流路に沿った1つの位置で第2の反応物ガスと反応する場合がある。
本体27とバルブ30、31との間に位置づけられる中間インタフェースの数を減らすことにより、シールの数並びにデッドゾーンの形成しやすさは低減されることが発見されている。インタフェースの発生を余儀なくされる場合には、高度な加工技術を使用してインタフェースにおけるデッドゾーンの形成を最小限に抑えてもよい。これらの加工技術には、電子ビーム溶接、金属シール技術の使用、爆着等が含まれる。これらの技術のうちの1つまたはそれ以上は、マニホールドアセンブリ10を製造するために使用されてもよい。
この好適な実施形態では、本体27とバルブ31(a)、30(a)との間に1つまたは複数の部材がはさまれる。図示されている実施形態では、アルミニウム製ベースプレート606およびステンレス鋼製キャップ608が本体27をバルブ30(a)、31(a)へ接続する。さらに、ベースプレート606およびキャップ608は互いに接続される。好適には、ベースプレート606およびキャップ608は、本体27へ接続される前に互いに接続される。特定の実施形態では、ベースプレート606およびキャップ608は、技術上周知の爆着技術を使用して互いに付着される。爆着は、ベースプレート606およびキャップ608の異種物質を融着させ、間にシールのないインタフェースをもたらす。
好適には、ベースプレート606は、互いの付着を単純にするために本体27と同じ物質から製造される。この例示的な実施形態では、双方がアルミニウムから製造される。ベースプレート606とキャップ608のアセンブリを本体27へ付着する前に、本体27内に内部不活性ガスチャネル610が機械加工される。ベースプレート606の表面は、内部不活性ガスチャネル610の外面を形成する。内部不活性ガスチャネル610の図示されている形状および大きさは単に例示的なものであり、異なる形状および大きさを有してもよい。さらに、内部不活性ガスチャネル610の位置も単に例示的なものであり、本体27内部で図示されている位置から移動されてもよい。
爆着されたベースプレート606とキャップ608は、本体27の外面へ付着される。ベースプレート606を本体27へ付着するためには、エネルギービーム溶接法が使用されてもよい。例えば、レーザビームまたは電子ビームを使用して、これらの物質を溶接する高度に集中されたビームまたはエネルギーをもたらしてもよい。特定の実施形態では、ベースプレート606は、電子ビームで本体27に溶接される。
次に、キャップ608へバルブが接続される。特定の実施形態では、金属シールを使用してバルブ30、31とキャップ608との間にシールが形成される。金属シールは、高分子Oリングとは対照的に、増大された耐化学性を有する。特定の実施形態では、バルブ30、31とキャップ608との間のインタフェースにW形の金属シールが使用される。金属シールはまた、高分子Oリングに比べて過剰に変形することなくより高い荷重に耐えるその能力によっても有利である。金属シールは、被覆されても、されなくてもよい。
組み立てられると、不活性ガスは内部不活性ガスチャネル610からライン646を介して流れ、不活性ガスバルブ30(a)に達する。有利には、本体27とベースプレート606との間の結合は、別々のシールを持たない電子ビーム溶接である。ベースプレート606とキャップ608との間の結合は、別々のシールを持たない爆着である。バルブ30(a)、31(a)とキャップ608との間には取外し可能な金属シールが使用され、検査、クリーニングおよび保全に際してバルブ30(a)、31(a)を取り外すことができる。
不活性ガスバルブ30(a)の取出しポートは、ライン648を介して反応物ガスバルブ31(a)と流体連通状態にある。ライン648は、好適には内部不活性ガスチャネル610のコンポーネント間で共用されず、ライン648の入口および出口以外にシールを必要としない。好適には、ライン646からの出口、ライン648への入口、ライン648からの出口、ライン622からの出口およびライン624への入口を密封するシールは金属である。有利には、金属シールの使用は従来の高分子シールを凌いでシール寿命を延ばし、かつその高い耐化学性によって汚染物質の除去を強化することができる。
反応物ガスバルブ31(a)は、ライン648と流体連通状態にある。反応物ガスAがライン622からマニホールドアセンブリ10の中央の穴28へ到達することを許容または防止することに加えて、反応物ガスバルブ31(a)はさらに、不活性ガスのライン624を介するマニホールドアセンブリ10の中央の穴28への流れを許容または防止するように構成される。したがって、反応物ガスバルブ31(a)は、不活性ガスおよび反応物ガスAがライン624へ進入することを許容または防止するように構成されてもよい。
ある好適な実施形態では、反応物ガスバルブ31(a)は3ポートバルブである。反応物ガスバルブ31(a)の第1のポートはライン622と流体連通状態にあり、反応物ガスAを受け入れる。反応物ガスバルブ31(a)の第2のポートはライン648と流体連通状態にあり、不活性ガスを受け入れる。反応物ガスバルブ31(a)の第3の、または出口ポートは、ライン624を介してマニホールドアセンブリ10の中央の穴28と流体連通状態にある。
ベースプレート606とキャップ608との機械加工公差を制御することは、インタフェースの第1の側面上のラインの第1の部分を同じインタフェースの第2の側面上の同じラインの第2の部分に位置合わせすることを手助けすることができ、これにより、マニホールドアセンブリ10内の再循環または空所が低減される。マニホールドアセンブリ10のサブコンポーネントの合せ面上の表面仕上げおよび平坦度を制御することは、隣接するサブコンポーネントを密封する手助けをすることができる。特定の実施形態では、シール表面に16から32マイクロ仕上げ表面が保持される。
制御システムは、1つまたは複数のバルブ30、31を制御して、1つまたはそれ以上のガスがマニホールドアセンブリ10の中央の穴28に到達することを選択的に許容または防止する。有利には、マニホールドアセンブリ10の実施形態は、マニホールドアセンブリ10のコンポーネント間のインタフェースにおける従来型シールの必要性を減じる。従来型シールの数の低減は、デッドレッグまたはデッドゾーンが形成される機会を減じる。ALDオペレーションの場合、デッドレッグの低減は、反応スペースの上流における反応物質の相互作用を回避するために必要なパージの持続時間を短縮する。このような相互作用は、基板上の蒸着に汚染または非一様性をもたらす可能性もある。インタフェースの発生を余儀なくされる場合には、高度な加工技術を使用してデッドゾーンの形成を最小限に抑えてもよい。これらの加工技術には、電子ビーム溶接、金属シール技術の使用、爆着等が含まれる。マニホールドアセンブリ10はさらに、離散的なヒータ180を使用して、マニホールドアセンブリ10の中央の穴28に進入する様々なガスの温度を個々に制御する。
本発明を特定の好適な実施形態に関して説明したが、一般的な当業者に明らかな他の実施形態もまた本発明の範囲内にある。したがって、本発明の精神および範囲を逸脱することなく、様々な変更および修正が行われてもよい。例えば、様々なコンポーネントは、所望に応じて位置合わせを変更されてもよい。さらに、本発明の実施に際しては、必ずしも上述の特徴、態様および優位点の全てが必要ではない。
本発明の一実施形態による原子層蒸着(ALD)デバイスを示す概略図である。 本発明の一実施形態による装置に適用可能な中間分散要素の一例を示す概略図である。 一実施形態による薄膜形成ステップの一例を示す概略図である。 一実施形態によるALD反応器へ結合されたマニホールドアセンブリを示すALDデバイスの断面図である。 図4に示すマニホールドアセンブリの斜視図である。 一実施形態による図5のマニホールドアセンブリを介するガス流路を示す概略図であり、各々が別々の反応物ガスバルブと流体連通状態にある4つの不活性ガスバルブを示す。 図5のマニホールドアセンブリの平面図である。 図7の線8−8に沿った断面図である。 図7の線9−9に沿った拡大断面図であり、反応物バルブ、不活性ガスバルブおよびマニホールド本体間の流路を示す。 互いに結合されるアルミニウムとステンレス鋼等の異種物質のサブコンポーネントを有するマニホールドアセンブリの別の実施形態を示す。

Claims (27)

  1. 原子層堆積(ALD)デバイスであって、
    内部に配置される内部不活性ガスチャネル、第1の通路および第2の通路を有するマニホールド本体と、
    前記本体内に位置づけられ、かつ前記第1の通路および前記第2の通路と流体連通状態にある穴と、
    前記本体上へ取り付けられ、かつ前記第1の通路を介する前記穴への第1の反応物ガスの供給を制御するように構成される第1の反応物バルブと、
    前記本体上へ取り付けられ、かつ前記内部不活性ガスチャネルから前記第1の反応物バルブへの不活性ガスの供給を制御するように構成される第1の不活性ガスバルブと、
    前記穴と流体連通状態にあり、かつ内部に基板を収容するように構成される気相堆積チャンバと、
    を備え
    前記第1の反応物バルブは、3ポートバルブであり、前記3ポートバルブは、反応物ガスソース及び前記第1の不活性ガスバルブと流体連通状態にある2つの投入ポートを有するとともに、前記3ポートバルブの取出しポートは、前記穴を介して気相堆積チャンバの反応スペースと流体連通状態にある
    ALDデバイス。
  2. 前記本体上へ取り付けられ、かつ前記第2の通路を介する前記穴への第2の反応物ガスの供給を制御するように構成される第2の反応物バルブと、
    前記本体上へ取り付けられ、かつ前記内部不活性ガスチャネルから前記第2の反応物バルブへの不活性ガスの供給を制御するように構成される第2の不活性ガスバルブと、
    をさらに備える、請求項1記載のALDデバイス。
  3. 前記本体内にOリングを有さず、かつ前記穴と流体連通状態にある第3の通路と、
    前記本体上へ取り付けられ、かつ前記第3の通路を介する前記穴への第3の反応物ガスの供給を制御するように構成される第3の反応物バルブと、
    前記本体上へ取り付けられ、かつ前記内部不活性ガスチャネルから前記第3の反応物バルブへの不活性ガスの供給を制御するように構成される第3の不活性ガスバルブと、
    をさらに備える、請求項2記載のALDデバイス。
  4. 前記第1の反応物バルブと前記本体との間に配置される第1のスペーサブロックと、
    前記第2の反応物バルブと前記本体との間に配置される第2のスペーサブロックと、
    をさらに備える、請求項2記載のALDデバイス。
  5. 前記第1の反応物バルブ、前記第1の不活性ガスバルブ、前記第1のスペーサブロック、前記第2の反応物バルブ、前記第2の不活性ガスバルブ、前記第2のスペーサブロックおよび前記マニホールド本体は316級ステンレス鋼を含む、請求項4記載のALDデバイス。
  6. 前記第1のスペーサブロックはベースプレートとキャップとを備え、
    前記ベースプレートは前記本体および前記キャップの双方へ結合され、前記キャップは前記第1の反応物バルブへ結合される、請求項4記載のALDデバイス。
  7. 前記キャップと前記ベースプレートとは爆着によって結合される、請求項6記載のALDデバイス。
  8. 前記ベースプレートはアルミニウムを含み、前記キャップはステンレス鋼を含み、前記本体はアルミニウムを含み、前記第1の反応物バルブはステンレス鋼を含む、請求項6記載のALDデバイス。
  9. 前記第1の反応物バルブと前記キャップとの間のカップリングは金属シールを使用する、請求項6記載のALDデバイス。
  10. 前記第1の反応物バルブと前記キャップとは間にOリングなしに結合される、請求項6記載のALDデバイス。
  11. 前記第1の反応物ガスを加熱する第1のヒータをさらに備える、請求項6記載のALDデバイス。
  12. 前記第2の反応物ガスを加熱する第2のヒータをさらに備え、前記第2のヒータは前記第1のヒータとは独立して制御される、請求項11記載のALDデバイス。
  13. 前記内部不活性ガスチャネルは、選択的に、第1の時間期間の間に前記第1の通路を介して、かつ第2の時間期間の間に前記第2の通路を介して前記穴と連通する、請求項1記載のALDデバイス。
  14. 前記穴の少なくとも一部は円錐形状を有する、請求項1記載のALDデバイス。
  15. 1の内部反応物ラインは、前記穴における前記第1の反応物ガスの旋回を促進するように前記円錐形状の穴を介する中心線に対して傾斜される、請求項14記載のALDデバイス。
  16. 前記第1および第2の反応物バルブおよび前記第1および第2の不活性ガスバルブは少なくとも200℃の温度での動作に定格される、請求項2記載のALDデバイス。
  17. 半導体処理デバイスのためのマルチピースマニホールドアセンブリであって、
    第1の金属物質を含み、かつ穴および本体内部に配置される不活性ガスチャネルを有する本体と、
    前記第1の金属物質を含み、かつ前記本体へ結合されるベースプレートと、
    第2の金属物質を含み、かつ前記ベースプレートへ接着され、上に3ポートバルブを取り付けるように構成されるキャップと、
    前記本体の前記穴と前記キャップとの間に形成される内部通路であって、前記内部通路の少なくとも一部は前記本体および前記ベースプレートを介して延設され、前記不活性ガスチャネルと流体連通状態にある内部通路と、
    を備え
    前記3ポートバルブは、反応物ガスソース及び不活性ガスバルブと流体連通状態にある2つの投入ポートを有するとともに、前記3ポートバルブの取出しポートは、前記穴を介して気相堆積チャンバの反応スペースと流体連通状態にある
    マルチピースマニホールドアセンブリ。
  18. 前記第1の金属物質はアルミニウムであり、前記第2の金属物質はステンレス鋼である、請求項17記載のマルチピースマニホールドアセンブリ。
  19. マニホールドと反応器とを有する原子層堆積デバイスへガスを分配する方法であって、
    第1の反応物ガスを前記マニホールドへ、第1の反応物バルブからの第1の通路を介してルーティングする工程と、
    前記第1の反応物ガスの流れを抑止する工程と、
    不活性ガスを前記マニホールド内に配置される不活性ガスチャネルから前記マニホールドへ、前記第1の通路の上流側の第2の通路を介してルーティングする工程と、を含
    前記第1の反応物バルブは、3ポートバルブであり、前記3ポートバルブは、反応物ガスソース及び不活性ガスバルブと流体連通状態にある2つの投入ポートを有するとともに、前記3ポートバルブの取出しポートは、前記反応器の反応スペースと流体連通状態にある
    方法。
  20. 前記第1の反応物ガスを前記第1の反応物バルブとマニホールド出口との間の位置で加熱する工程をさらに含む、請求項19記載の方法。
  21. 前記第1の反応物ガスを前記マニホールド内で旋回させる工程をさらに含む、請求項19記載の方法。
  22. 第2の反応物ガスを前記マニホールドへ、第2の反応物バルブから第3の通路を介してルーティングする工程と、
    前記第2の反応物ガスの流れを抑止する工程と、
    前記不活性ガスを前記不活性ガスチャネルから前記マニホールドへ、前記第3の通路の上流側の第4の通路を介してルーティングする工程と、をさらに含み、
    請求項19記載の方法。
  23. 前記第2の反応物ガスの前記マニホールドへの供給を前記第1の反応物ガスの供給と交互する工程をさらに含む、請求項22記載の方法。
  24. 少なくとも前記第1の反応物ガスが前記マニホールドへルーティングされる時間と前記第2の反応物ガスが前記マニホールドへルーティングされる時間との間の時間に前記反応器を排気する工程をさらに含む、請求項22記載の方法。
  25. 前記第1および第2の反応物バルブを制御するように構成されるコントローラをさらに備える請求項2記載のALDデバイス。
  26. 前記コントローラは、前記穴への前記第1および第2の反応物ガスの供給を交互に行う請求項25記載のALDデバイス。
  27. ガスを分散するように構成される分散アセンブリをさらに備え、前記マニホールド本体は、前記分散アセンブリに取り付けられ、前記分散アセンブリは、排気通路を有するシャワーヘッドアセンブリを備える請求項25記載のALDデバイス。
JP2008551324A 2006-01-19 2007-01-17 高温aldインレットマニホールド Active JP5280861B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US76024306P 2006-01-19 2006-01-19
US60/760,243 2006-01-19
PCT/US2007/001114 WO2007084493A2 (en) 2006-01-19 2007-01-17 High temperature ald inlet manifold

Publications (3)

Publication Number Publication Date
JP2009524244A JP2009524244A (ja) 2009-06-25
JP2009524244A5 JP2009524244A5 (ja) 2010-02-25
JP5280861B2 true JP5280861B2 (ja) 2013-09-04

Family

ID=38185832

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008551324A Active JP5280861B2 (ja) 2006-01-19 2007-01-17 高温aldインレットマニホールド

Country Status (6)

Country Link
US (2) US7918938B2 (ja)
JP (1) JP5280861B2 (ja)
KR (2) KR101522725B1 (ja)
CN (1) CN101370963B (ja)
TW (1) TWI424084B (ja)
WO (1) WO2007084493A2 (ja)

Families Citing this family (382)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4790291B2 (ja) * 2005-03-10 2011-10-12 東京エレクトロン株式会社 基板処理方法、記録媒体および基板処理装置
KR101522725B1 (ko) * 2006-01-19 2015-05-26 에이에스엠 아메리카, 인코포레이티드 고온 원자층 증착용 인렛 매니폴드
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
KR100949914B1 (ko) * 2007-11-28 2010-03-30 주식회사 케이씨텍 원자층 증착 장치
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
JP2009224590A (ja) * 2008-03-17 2009-10-01 Tokyo Electron Ltd 基板処理装置
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
FI122941B (fi) * 2008-06-12 2012-09-14 Beneq Oy Sovitelma ALD-reaktorin yhteydessä
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US8580044B2 (en) * 2010-08-13 2013-11-12 Samsung Austin Semiconductor, L.P. Apparatus for agitating and evacuating byproduct dust from a semiconductor processing chamber
TW201240087A (en) * 2011-03-30 2012-10-01 Anpec Electronics Corp Power device with boundary trench structure
JP6097742B2 (ja) * 2011-05-27 2017-03-15 クリスタル・ソーラー・インコーポレーテッド エピタキシャル堆積によるシリコンウェハ
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10707082B2 (en) 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
CN102868092A (zh) * 2011-07-08 2013-01-09 苏州徕卡节能电气技术有限公司 双前门节电柜
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101308111B1 (ko) * 2011-11-17 2013-09-26 주식회사 유진테크 복수의 배기포트를 포함하는 기판 처리 장치 및 방법
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US9388492B2 (en) * 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
JP5868219B2 (ja) * 2012-02-29 2016-02-24 株式会社フジキン 流体制御装置
JP5513544B2 (ja) * 2012-04-23 2014-06-04 東京エレクトロン株式会社 基板処理装置
US8985152B2 (en) * 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102003768B1 (ko) * 2012-11-13 2019-07-26 삼성디스플레이 주식회사 기상 증착 장치 및 유기 발광 표시 장치 제조 방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102173047B1 (ko) * 2013-10-10 2020-11-03 삼성디스플레이 주식회사 기상 증착 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
TWI524388B (zh) * 2013-12-27 2016-03-01 Hitachi Int Electric Inc A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9284644B2 (en) * 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
JP5764228B1 (ja) 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP5762602B1 (ja) * 2014-06-24 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6001015B2 (ja) 2014-07-04 2016-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体
JP6446881B2 (ja) * 2014-07-17 2019-01-09 東京エレクトロン株式会社 ガス供給装置及びバルブ装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5800969B1 (ja) 2014-08-27 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
WO2016043033A1 (ja) 2014-09-17 2016-03-24 東京エレクトロン株式会社 シャワーヘッド及び成膜装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9963782B2 (en) * 2015-02-12 2018-05-08 Asm Ip Holding B.V. Semiconductor manufacturing apparatus
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
KR20160147482A (ko) * 2015-06-15 2016-12-23 삼성전자주식회사 가스 혼합부를 갖는 반도체 소자 제조 설비
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6333232B2 (ja) * 2015-12-02 2018-05-30 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10215317B2 (en) * 2016-01-15 2019-02-26 Lam Research Corporation Additively manufactured gas distribution manifold
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102632725B1 (ko) * 2016-03-17 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 기판 지지 플레이트 및 이를 포함하는 박막 증착 장치 및 박막 증착 방법
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
CN105839079B (zh) * 2016-06-07 2019-05-14 江苏微导纳米装备科技有限公司 真空镀膜装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
KR102514043B1 (ko) 2016-07-18 2023-03-24 삼성전자주식회사 반도체 소자의 제조 방법
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10655222B2 (en) * 2016-12-02 2020-05-19 Applied Materials, Inc. Thin film encapsulation processing system and process kit
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR102153876B1 (ko) 2017-02-08 2020-09-10 피코순 오와이 이동 구조를 가진 증착 또는 세정 장치 및 작동 방법
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10400332B2 (en) * 2017-03-14 2019-09-03 Eastman Kodak Company Deposition system with interlocking deposition heads
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
CN109321897B (zh) * 2017-07-31 2022-01-07 北京北方华创微电子装备有限公司 一种原子层沉积系统及方法
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
CA3073711A1 (en) 2017-08-24 2019-02-28 Forge Nano, Inc. Manufacturing processes to synthesize, functionalize, surface treat and/or encapsulate powders, and applications thereof
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) * 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7119779B2 (ja) * 2018-08-30 2022-08-17 住友金属鉱山株式会社 成膜装置と成膜方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
CN111286721A (zh) * 2018-12-06 2020-06-16 北京北方华创微电子装备有限公司 一种薄膜沉积方法及设备
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
CN113196444A (zh) * 2018-12-20 2021-07-30 应用材料公司 用于供应改良的气流至处理腔室的处理空间的方法和设备
KR20210102337A (ko) * 2019-01-08 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 펌핑 장치 및 방법
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
US11492701B2 (en) * 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11335591B2 (en) * 2019-05-28 2022-05-17 Applied Materials, Inc. Thermal process chamber lid with backside pumping
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
US11631571B2 (en) * 2019-08-12 2023-04-18 Kurt J. Lesker Company Ultra high purity conditions for atomic scale processing
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20210069745A1 (en) * 2019-09-10 2021-03-11 Applied Materials, Inc. Vapor delivery methods and apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
KR20210103953A (ko) * 2020-02-13 2021-08-24 에이에스엠 아이피 홀딩 비.브이. 가스 분배 어셈블리 및 이를 사용하는 방법
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20230024400A (ko) * 2020-06-17 2023-02-20 어플라이드 머티어리얼스, 인코포레이티드 고온 화학 기상 증착 덮개
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11862475B2 (en) * 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
US11401608B2 (en) * 2020-10-20 2022-08-02 Sky Tech Inc. Atomic layer deposition equipment and process method
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
FI129868B (en) * 2021-03-30 2022-10-14 Beneq Oy Gas supply cup and gas manifold assembly
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI814435B (zh) * 2022-06-09 2023-09-01 友達光電股份有限公司 具抽氣結構的箱體
CN116103640B (zh) * 2023-04-07 2023-06-27 上海陛通半导体能源科技股份有限公司 一种ald反应腔装置及ald镀膜设备

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5080549A (en) * 1987-05-11 1992-01-14 Epsilon Technology, Inc. Wafer handling system with Bernoulli pick-up
DE3715644A1 (de) 1987-05-11 1988-12-01 Fraunhofer Ges Forschung Molekularstrahlepitaxieanlage
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
JPS6411320A (en) * 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US5166092A (en) * 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5071460A (en) * 1988-03-04 1991-12-10 Nippon Telegraph And Telephone Corporation Process for the preparation of fluoride glass and process for the preparation of optical fiber preform using the fluoride glass
JPH01266715A (ja) * 1988-04-18 1989-10-24 Hitachi Ltd 薄膜成長装置
US4949783A (en) * 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
ES2163388T3 (es) * 1988-05-24 2002-02-01 Unaxis Balzers Ag Instalacion de vacio.
US4889609A (en) * 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US4907534A (en) * 1988-12-09 1990-03-13 Siemens Aktiengesellschaft Gas distributor for OMVPE Growth
WO1990010092A1 (en) 1989-02-24 1990-09-07 Massachusetts Institute Of Technology A modified stagnation flow apparatus for chemical vapor deposition providing excellent control of the deposition
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
JPH0364465A (ja) * 1989-08-02 1991-03-19 Fujitsu Ltd 有機金属気相成長法
JPH03166719A (ja) * 1989-11-27 1991-07-18 Mitsubishi Electric Corp 気相結晶成長装置
DE4006489A1 (de) * 1990-03-02 1991-09-05 Hoechst Ag Vorrichtung zum herstellen duenner schichten aus metallmischoxiden aus organischen metallverbindungen auf einem substrat
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
JPH04145621A (ja) * 1990-10-08 1992-05-19 Furukawa Electric Co Ltd:The 半導体薄膜気相成長装置
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH04308090A (ja) * 1991-04-05 1992-10-30 M B K Maikurotetsuku:Kk 気相化学反応生成装置のロードロック機構
US5199483A (en) * 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5192371A (en) * 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
US5223001A (en) * 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
US5248253A (en) * 1992-01-28 1993-09-28 Digital Equipment Corporation Thermal processing furnace with improved plug flow
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US5229615A (en) * 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
GB2264957B (en) * 1992-03-12 1995-09-20 Bell Communications Res Deflected flow in a chemical vapor deposition cell
JP3183575B2 (ja) * 1992-09-03 2001-07-09 東京エレクトロン株式会社 処理装置および処理方法
JP3405466B2 (ja) * 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
JP3186262B2 (ja) * 1992-10-14 2001-07-11 ソニー株式会社 半導体装置の製造方法
KR100302012B1 (ko) * 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
JP2548062B2 (ja) * 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
JP3292540B2 (ja) * 1993-03-03 2002-06-17 東京エレクトロン株式会社 熱処理装置
JP3218488B2 (ja) * 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
JPH07122500A (ja) * 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5538390A (en) * 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3042335B2 (ja) * 1994-10-25 2000-05-15 信越半導体株式会社 気相成長方法及びその装置
US5586585A (en) * 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
JP3258885B2 (ja) 1995-12-27 2002-02-18 東京エレクトロン株式会社 成膜処理装置
US5661093A (en) * 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5789027A (en) * 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US6432479B2 (en) * 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
EP1068464B1 (en) * 1998-03-05 2006-10-04 The Swagelok Company Modular surface mount manifold
US6079353A (en) * 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP2000172343A (ja) * 1998-12-02 2000-06-23 Hitachi Ltd ガス供給装置と成膜装置
WO2001029282A2 (en) 1999-10-20 2001-04-26 Cvd Systems, Inc. Fluid processing system
DE10060002B4 (de) * 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
DE50100603D1 (de) * 2000-02-04 2003-10-16 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
US6303501B1 (en) * 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US20020072164A1 (en) * 2000-09-13 2002-06-13 Applied Materials, Inc. Processing chamber with multi-layer brazed lid
US6527855B2 (en) * 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
TW511135B (en) * 2000-12-06 2002-11-21 Angstron Systems Inc Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
TW200737307A (en) * 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR101522725B1 (ko) * 2006-01-19 2015-05-26 에이에스엠 아메리카, 인코포레이티드 고온 원자층 증착용 인렛 매니폴드
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device

Also Published As

Publication number Publication date
JP2009524244A (ja) 2009-06-25
KR101522725B1 (ko) 2015-05-26
WO2007084493A2 (en) 2007-07-26
CN101370963B (zh) 2012-03-28
CN101370963A (zh) 2009-02-18
WO2007084493A3 (en) 2007-10-04
US20080202416A1 (en) 2008-08-28
KR20140081895A (ko) 2014-07-01
TW200732501A (en) 2007-09-01
KR20080106520A (ko) 2008-12-08
KR101474879B1 (ko) 2014-12-19
US8372201B2 (en) 2013-02-12
US20110162580A1 (en) 2011-07-07
TWI424084B (zh) 2014-01-21
US7918938B2 (en) 2011-04-05

Similar Documents

Publication Publication Date Title
JP5280861B2 (ja) 高温aldインレットマニホールド
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
TWI391997B (zh) 溫控多氣體分配組件
US8465801B2 (en) Gas mixer and manifold assembly for ALD reactor
TWI490366B (zh) Cvd腔室之流體控制特徵結構
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
US7273526B2 (en) Thin-film deposition apparatus
KR20180070971A (ko) 기판 처리 장치
TWI589724B (zh) 熱絲化學氣相沉積腔室之噴頭設計
US20030106490A1 (en) Apparatus and method for fast-cycle atomic layer deposition
JP4451221B2 (ja) ガス処理装置および成膜装置
US20040154538A1 (en) Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
KR102411152B1 (ko) Ald 장치, 방법 및 밸브
CN117051376A (zh) 用于薄膜沉积的系统、设备和方法
US20220145462A1 (en) Gas distribution unit in connection with ald reactor
KR20220019244A (ko) 다공성 입구
TW202334495A (zh) 基座總成及噴淋頭總成
KR101408506B1 (ko) 성막 장치

Legal Events

Date Code Title Description
RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090703

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090703

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091224

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091224

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100622

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120605

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130415

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130523

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5280861

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250