TWI424084B - 高溫原子層沈積注入岐管 - Google Patents
高溫原子層沈積注入岐管 Download PDFInfo
- Publication number
- TWI424084B TWI424084B TW96102030A TW96102030A TWI424084B TW I424084 B TWI424084 B TW I424084B TW 96102030 A TW96102030 A TW 96102030A TW 96102030 A TW96102030 A TW 96102030A TW I424084 B TWI424084 B TW I424084B
- Authority
- TW
- Taiwan
- Prior art keywords
- gas
- manifold
- passage
- inert gas
- atomic layer
- Prior art date
Links
- 239000007789 gas Substances 0.000 claims description 276
- 239000011261 inert gas Substances 0.000 claims description 234
- 239000012495 reaction gas Substances 0.000 claims description 136
- 238000000231 atomic layer deposition Methods 0.000 claims description 84
- 238000006243 chemical reaction Methods 0.000 claims description 72
- 239000006185 dispersion Substances 0.000 claims description 68
- 239000000758 substrate Substances 0.000 claims description 68
- 239000000376 reactant Substances 0.000 claims description 66
- 238000000034 method Methods 0.000 claims description 45
- 238000004891 communication Methods 0.000 claims description 37
- 125000006850 spacer group Chemical group 0.000 claims description 33
- 230000008878 coupling Effects 0.000 claims description 25
- 238000010168 coupling process Methods 0.000 claims description 25
- 238000005859 coupling reaction Methods 0.000 claims description 25
- 229910052751 metal Inorganic materials 0.000 claims description 22
- 239000002184 metal Substances 0.000 claims description 22
- 238000002347 injection Methods 0.000 claims description 16
- 239000007924 injection Substances 0.000 claims description 16
- 238000012545 processing Methods 0.000 claims description 14
- 229910001220 stainless steel Inorganic materials 0.000 claims description 14
- 239000010935 stainless steel Substances 0.000 claims description 14
- 239000011148 porous material Substances 0.000 claims description 12
- 239000007769 metal material Substances 0.000 claims description 10
- 238000011144 upstream manufacturing Methods 0.000 claims description 10
- 229910052782 aluminium Inorganic materials 0.000 claims description 9
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 9
- 239000004065 semiconductor Substances 0.000 claims description 7
- 238000004880 explosion Methods 0.000 claims description 4
- 238000007740 vapor deposition Methods 0.000 claims description 4
- 238000010438 heat treatment Methods 0.000 claims description 3
- 239000012530 fluid Substances 0.000 claims 1
- 239000002243 precursor Substances 0.000 description 26
- 230000008569 process Effects 0.000 description 23
- 239000000463 material Substances 0.000 description 18
- 238000000151 deposition Methods 0.000 description 14
- 230000008021 deposition Effects 0.000 description 14
- 235000012431 wafers Nutrition 0.000 description 13
- 238000007664 blowing Methods 0.000 description 12
- 239000000203 mixture Substances 0.000 description 12
- 238000007789 sealing Methods 0.000 description 12
- 239000010408 film Substances 0.000 description 11
- 206010016717 Fistula Diseases 0.000 description 6
- 230000015572 biosynthetic process Effects 0.000 description 6
- 230000003890 fistula Effects 0.000 description 6
- 238000010926 purge Methods 0.000 description 6
- 238000010894 electron beam technology Methods 0.000 description 5
- 239000010410 layer Substances 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 230000007246 mechanism Effects 0.000 description 5
- 239000000047 product Substances 0.000 description 5
- 239000002356 single layer Substances 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- 238000004140 cleaning Methods 0.000 description 4
- 230000013011 mating Effects 0.000 description 4
- 238000002156 mixing Methods 0.000 description 4
- 239000007787 solid Substances 0.000 description 4
- 239000010409 thin film Substances 0.000 description 4
- 238000003466 welding Methods 0.000 description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 3
- 230000008901 benefit Effects 0.000 description 3
- 230000005540 biological transmission Effects 0.000 description 3
- 238000009833 condensation Methods 0.000 description 3
- 230000005494 condensation Effects 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 239000002360 explosive Substances 0.000 description 3
- 238000009413 insulation Methods 0.000 description 3
- 230000003993 interaction Effects 0.000 description 3
- 238000012423 maintenance Methods 0.000 description 3
- 238000001179 sorption measurement Methods 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 239000003638 chemical reducing agent Substances 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 230000009977 dual effect Effects 0.000 description 2
- 239000003446 ligand Substances 0.000 description 2
- 239000007788 liquid Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 239000002052 molecular layer Substances 0.000 description 2
- 229920006395 saturated elastomer Polymers 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 239000007921 spray Substances 0.000 description 2
- 238000000427 thin-film deposition Methods 0.000 description 2
- 229910000619 316 stainless steel Inorganic materials 0.000 description 1
- 229910007926 ZrCl Inorganic materials 0.000 description 1
- 238000005054 agglomeration Methods 0.000 description 1
- 230000002776 aggregation Effects 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000002939 deleterious effect Effects 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000011066 ex-situ storage Methods 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 230000007717 exclusion Effects 0.000 description 1
- 239000000945 filler Substances 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000003754 machining Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000000737 periodic effect Effects 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- 238000013022 venting Methods 0.000 description 1
- 239000011800 void material Substances 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45561—Gas plumbing upstream of the reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- F—MECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
- F16—ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
- F16K—VALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
- F16K27/00—Construction of housing; Use of materials therefor
- F16K27/003—Housing formed from a plurality of the same valve elements
-
- F—MECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
- F16—ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
- F16K—VALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
- F16K51/00—Other details not peculiar to particular types of valves or cut-off apparatus
- F16K51/02—Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T137/00—Fluid handling
- Y10T137/8593—Systems
- Y10T137/87571—Multiple inlet with single outlet
- Y10T137/87676—With flow control
- Y10T137/87684—Valve in each inlet
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Mechanical Engineering (AREA)
- General Chemical & Material Sciences (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Electromagnetism (AREA)
- Plasma & Fusion (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Chemical Vapour Deposition (AREA)
Description
本發明涉及用於原子層沈積(ALD)反應器之岐管組件。
半導體產業中,於基底(substrate)(例如矽晶圓)上形成薄膜材質的原子層沈積(ALD)製程是習知的。ALD是氣相沈積之一種類型,其中膜是經多重超薄層之沈積增長,膜的厚度由沈積層之數量決定。於ALD製程中,供給要沈積之材質的一種或多種混合物(前驅物)之氣態分子到基底或晶圓以於晶圓上形成該材質之薄膜。於一脈衝中,典型地少於1單層之第一前驅物材質於自我限制製程中大量吸附於晶圓上。被吸附之前驅物材質可以被分解或另於後續的一個或多個反應物脈衝中反應以形成所希望材質之單一分子層。例如,被吸附之前驅物材質可以與後續反應物脈衝之反應物反應,以形成元件或混合物之單一分子層。示例包括僅從被吸附之物種(species)剝離(strip)配位基(ligand)之反應物脈衝,用另一物種以形成混合物替代配位基之反應物,以及每一週期順序使用三種或多種反應物和/或前驅物脈衝。較厚的膜經重複生長週期產生,直到達到目標厚度。
於ALD製程中,引入具有至少一要被塗覆之表面的一個或多個基底到反應器或沈積室。典型地加熱晶圓到所希望之溫度,高於凝結溫度但低於所選氣相反應物之熱分解溫度。一種反應物能夠與先前反應物之被吸附物種反應以於基底表面上形成所希望之產品。產品可以是膜、膠帶(liner)或層。
於ALD製程中,所有典型地以蒸氣或氣體形式之反應物脈衝,用反應物脈衝之間的去除步驟脈衝至反應器內。例如,於反應物的脈衝之間提供惰性氣體脈衝。惰性氣體於下一反應物脈衝之前吹淨(purge)一個反應物脈衝室以避免氣相混合或CVD類型之反應。ALD之一個特性特徵是將每一反應物(不論是對物種變為膜有貢獻之前驅物或僅僅是還原劑)噴擊到基底,直到達到飽和表面條件。重複週期以形成希望厚度之原子層。為了獲得自我限制成長,提供充分數量之各前驅物以飽和(saturate)基底。由於成長率是自我限制,成長率與反應順序之重複率成正比,而不是與CVD中的反應物和/或溫度之變動(flux)成正比。
本發明之系統以及方法具有幾個特徵,單個特徵並不單獨負責產生其所希望之屬性。在不限制由後續申請範圍所表達之此發明之範圍的情況下,現在將簡要地討論它的較突出之特徵。考慮此討論之後,尤其是閱讀“較佳實施例之具體描述”這部份之後,將明白描述於此之特徵是如何提供較傳統之ALD混合方法以及系統有利之幾個優點。
一方面是原子層沈積裝置。裝置包括具有第一通路及第二通之岐管主體,第一通路及第二通路不具有O形環。裝置進一步包括位於主體內並與第一通路及第二通路流通之孔。裝置還包括與孔流通並配置為在安裝於其內之晶圓上沈積薄膜之氣相沈積室。
另一方面是用於半導體處理裝置之多片式(multi-piece)岐管組件。岐管組件包括:主體,包括第一金屬材質並具有孔;以及基板,包括第一金屬材質並與主體耦接。組件進一步包括罩,包括第二金屬材質並與基板結合,罩配置為其上安裝閥。組件還包括形成於主體之孔與罩之間的內部通路。內部通路之至少一部份經主體及基板延伸,沒有在主體與基板之間的結合介面形成盲管段(dead legs)。
另一方面是原子層沈積裝置,包括:分散組件,配置為分散氣體;以及注入岐管塊,安裝於分散組件上方並具有孔、第一內部反應物管線以及第二內部反應物管線,第一及第二內部反應物管線與孔流通。組件進一步包括第一反應氣體閥,安裝於注入岐管塊上並配置為控制第一反應氣體到第一內部反應物管線之供給;以及惰性氣體閥,安裝於注入岐管塊並配置為控制惰性氣體到第一反應氣體閥之供給。組件進一步包括第二反應氣體閥,耦接於注入岐管塊並配置為控制第二反應氣體到第二內部反應物管線之供給;以及第二惰性氣體閥,安裝於注入岐管塊並配置為控制惰性氣體到第二反應氣體閥之供給。
又一方面是將氣體分配到具有岐管及反應器之原子層沈積裝置之方法。方法包括:經於第一反應氣體閥與岐管出口之間不具有O形環之第一通路將第一反應氣體發送到岐管;抑制第一反應物氣流;以及經第一通路上游之第二通路將惰性氣體發送到岐管,第二通路於第一惰性氣體閥與第一通路之間不具有O形環。
現在將結合幾個較佳實施例之圖示描述本發明之目的以及優點,其中,實施例僅意圖說明但不限制本發明。岐管主體之某些實施例具有一個或多個特徵。這些特徵包括內惰性氣體通道,一體加熱器,前驅物路徑內沒有O形環或死區(dead zone),以及短反應氣體通路。
儘管事實上ALD因自我限制反應而有價值以及因此理論上在不完全一致的條件下完全共形沈積(conformal deposition),不同製程參數必須小心控制以保證由ALD生成之高品質之層。已經發現,如果反應氣體未被有效地吹淨,可能會導致當其他前驅物產生脈衝時已有另一前驅物存在,導致氣相中或室/基底表面上之CVD反應而不是表面ALD反應。藉由O形環之使用以組裝ALD裝置之子元件,反應氣體之吹淨進一步複雜化。這些O形環產生通常被稱為盲管段(dead legs)之小空間,接近O形環密封表面以及供給到前驅物之氣孔。由於在這些空間中的殘留體積,這些前驅物之不適當排除將產生顆粒,因而消極地影響ALD製程。這些O形環還可以是洩漏的來源,其原因可能是經密封表面自身之裂口,或經由於高溫以及化學相容性而選擇之O形環材質之滲透性。
維持前驅物氣體從來源(類似於承載固體前驅物之器皿)到晶圓表面之熱控制是很重要的。通常存在所允許的熱誤差(對於每一前驅物是不同,但它們遵循相同原則)的小窗口。也就是說,藉由控制固體媒介之熱方面,來管理蒸氣汲取(或前驅物之數量)。當溫度低於臨界設置點時,氣流路徑之凝結發生,造成消極製程結果以及短的維持時間間隔。當溫度高於臨界設置點時,媒介之“分解”發生並且製程處於危險中。保持所有區域(zones)盡可能短以維持較好的熱穩定性是重要的。
如果岐管組件沒有熱整合或控制,混合氣體之溫度可能在岐管組件內變化並且導致CVD成長。雖然對岐管組件之熱整合之加總可能會抑制不希望之CVD反應,它將對岐管組件之子元件(例如,高速閥)具有有害影響。高速閥不列在高溫環境的操作中。而且,沿流動路徑之死區可能會造成反應氣體於沈積室上游的再循環。
於ALD製程中,排除前驅物所需的次數是非常重要的。ALD製程是前驅物以及噴吹氣體的“快速脈衝或快速切換(rapid fire)製程”。越短之管線以及越好之電導(泵效率),製程時間越短。這對於ALD市場來說是極為重要的。
圖1顯示根據本發明之一實施例之薄膜形成設備100之實施例的截面圖。薄膜沈積設備100包括機器人(未圖示),經閘閥6從真空輸送室(未圖示)將半導體基底(substrate)15搬運到反應室1,半導體基底15是要被處理之工件或物體。反應室1包括上蓋2、分散板(dispersion plate)3(也叫做“淋浴頭板”)、排氣管4、下室5、基底輸送閘閥6、排氣口7、基底支撐座8以及用以上下移動基底支撐座8之升降機制9。
基底15裝載於基底支撐座8上,而支撐座8位於較低之位置8’。然後向上移動基底支撐座8直到半導體基底l5定位於距離分散板3之適當位置。支撐座8定位於裝置內並且配置為於沈積製程中支撐基底15或晶圓。支撐座8還可以設有內部或外部加熱器(未圖示)以於製程前以及製程中加熱基底15。於基底15從真空輸送室搬運到反應室1之後,薄膜沈積設備於反應空間22內執行薄膜形成製程,藉由循環之氣體,例如,經閥31(a)、31(b)、31(c)以及31(d)之反應氣體與經閥30(a)、30(b)、30(c)以及30(d)之惰性氣體。
於某些實施例中,各反應氣體閥31(a)-(d)是流通的並且與惰性氣體閥30(a)-(d)關聯。較佳的是,各反應物管線之至少一部份排列為與關聯之惰性氣體閥30串聯。以這種方式,惰性氣體,較佳的是從接近反應氣體閥31或是從反應氣體閥31之上游進入反應氣體之流動路徑,以增強沖淨全部反應物管線。
例如,各反應氣體閥31(a)-(d)可以是三埠閥。三埠閥具有兩個與反應氣體源以及惰性氣體閥流通之輸入口。三埠閥之輸出口是與反應空間22連通。反應氣體閥31(a)-(d)獨立控制反應氣體以及惰性氣體於反應空間22內之流動。
於某些實施例中,各惰性氣體閥30(a)-(d)是兩埠閥。兩埠閥具有一個與內惰性氣體通道610(圖4)連通之輸入口以及與反應氣體閥31(a)-(d)之一連通之輸出口。兩埠閥控制惰性氣體於內惰性氣體通道610以及關聯之反應氣體閥31(a)-(d)之一之間的流動。於這個示範性排列中,反應氣體閥31(a)-(d)定位為與關聯之惰性氣體閥30(a)-(d)之下游串聯。由於氣體朝反應空間22流動,於基底處理中,如果第二位置處之氣體朝第一位置流動,第一位置是在第二位置之下游。
各惰性氣體閥30(a)-(d)控制惰性氣體到關聯反應氣體閥31(a)-(d)之流動。反應氣體閥31(a)-(d)控制從關聯之惰性氣體閥30(a)-(d)所接收之惰性氣體的流動,用以於脈衝反應物之後吹淨反應蒸氣管線。例如,關聯於反應物蒸氣源之惰性氣體源分別連接於閥30(a)、30(b)、30(c)以及30(d),其中反應物蒸氣源連接於閥31(a)、31(b)、31(c)以及31(d)。這些惰性氣體源可以被加壓或不被加壓。這些惰性氣體源可以是,例如,惰性氣體源或氮氣體源。ALD控制系統(未圖示)包括記憶體以及處理模組,並且可程式為以控制這些閥以及其他閥,以選擇性允許或防止各種氣體到達反應空間22。例如,來自惰性氣體閥30之氣流進入關聯之反應物管線並且繼續進入到反應室1內並且吹淨反應氣體室。
除了關聯於惰性氣體以及反應氣體之閥30、31,ALD裝置包括獨立的惰性氣體管線54以及連接惰性氣體源至反應室1之閥32。惰性氣體閥32提供附加惰性氣體到ALD裝置並且基於希望的基底處理可以連續或週期操作。於所示之實施例中,惰性氣體還經惰性通道供給管線52(圖6)流動到內惰性氣體通道610。惰性通道供給管線52可以經惰性氣體閥32或獨立的惰性氣體閥(未圖示)接收惰性氣體。內惰性氣體通道610是與惰性氣體閥30(a)-(d)連通。
當基底15插入到反應室1時,ALD裝置100配置為於基底15上沈積薄膜。一般說來,ALD裝置經一個或多個閥31(a)、31(b)、31(c)以及31(d)接收第一反應氣體。ALD裝置100還經一個或多個其他閥30(a)、30(b)、30(c)以及30(d)接收惰性氣體。藉由開關適合之閥,停止第一反應氣體之流動並且然後來自一個或多個閥30(a)、30(b)、30(c)、30(d)之惰性氣體,沿惰性氣體管線54之主吹噴氣流,吹淨沈積室以及氣體管線。於吹淨反應室1以及氣體管線後,沈積週期由一種或多種其他反應氣體繼續。來自交替脈衝之反應物僅於基底上或晶圓表面相互反應,以於每一週期中不再形成單一單層之所希望產品並且於氣相中不反應或接觸(meet)。請注意,於一些操作模式中,每一週期中於一單層上方增加的沈積速度可以通過對均勻性之某些犧牲來實現。
於ALD裝置100之實施例中,兩種或多種反應氣體在每一週期中順序流經(以吹噴週期來分隔)ALD裝置100以於晶圓上形成材質。於反應空間22內吸附或反應之後,反應空間內剩餘之各反應氣體隨後經排氣管24排出。排氣管24可以連接於渦輪分子式幫浦(TMP)50,以協助從反應室1移除氣體以及提供反應室1中之低壓條件。而且,藉由將ALD裝置100之底部上的任一耦接件連接到真空幫浦(TMP)50或乾幫浦(DRY),可以將整個ALD裝置100抽氣到低壓。
ALD裝置100包括氣體引入岐管組件10。岐管組件10包括主體27(圖5)、內惰性氣體通道610以及中心孔28。岐管組件10進一步包括一個或多個反應氣體閥31(a)、31(b)、31(c)以及31(d),一個或多個惰性氣體閥30(a)、30(b)、30(c)以及30(d)。岐管組件10配置為發送(route)經反應氣體閥31(a)、31(b)、31(c)、31(d)進入之反應氣體以及經惰性氣體閥30(a)、30(b)、30(c)、30(d)進入之惰性氣體通過ALD裝置100(見圖3)。岐管組件10進一步配置為於一給定脈衝中,選擇性將經閥30(a)-(d)進入之一種或多種惰性氣體與經閥31(a)-(d)進入之反應氣體之一種混合。合成之混合物進入反應室1。於每個脈衝後,ALD裝置100從反應室1經排氣管24排出(例如經由吹噴)所有未反應之反應物以及惰性氣體。在此顯示之閥的位置僅出於說明之目的並且可以沿氣體管線設置於不同位置上。較佳的是,閥定位為接近或於其自身之岐管組件10上以減少閥之氣體管線下游的長度。反應氣體閥31(a)-31(d)可以,例如,設置為距離注入岐管塊大約10mm,以提供短且容易被吹淨之管線。如下文所述,於在此描述之示範性實施例中的各種閥被指定為使氣體或一種或多種氣體之混合物流動進入岐管組件10。然而,本發明並不限於在此描述之示範性實施例。
反應氣體經ALD裝置100循環之次序取決於希望之產品。為了於各種氣體進入反應室1之前最小化一種或多種反應氣體之間的任何相互影響,經閥30(a)-(d)進入之惰性氣體週期性地循環或於反應氣體之脈衝之間經ALD裝置100連續流動。以這種方式,惰性氣體吹淨管線以及反應室1。如下文將解釋的,不同的反應氣體以及惰性氣體是系統地通過ALD裝置100循環,以便於通過閘閥6嵌入之晶圓上形成沈積。
如圖4所示,氣體引入岐管組件10是安裝於分散板3之上。岐管組件10耦合到穿過蓋2(見圖1)延伸之管狀氣體引入構件11。下文結合圖1描述岐管組件10之實施例。構件11連接岐管組件10之下游端並且接收來自岐管組件10之反應物以及惰性氣體。示範性惰性氣體包括氮氣與氬氣。沈積製程利用惰性氣體來吹噴和/或與反應氣體混合。於所示實施例中顯示之基源(radical source)12經閥16與岐管組件10連接,閥16可以是全開啟閥。於某些實施例中,閥16是雙動(dual action)閘閥。閥16之開啟可以將來自不同氣體之基引入到岐管組件10內。構件11與氣體分散部分13流通。從構件11流動之氣體通過氣體分散部分13擴撒。遙式電漿(remote plasma)主要用於室之清潔但也可以用於處理。
於某些實施例中,構件11具有中間分散機制43。圖2是顯示中間分散元件43之示例的示意圖。如圖2所示,所顯示的中間分散元件43是圓柱形並且可以附著於構件11之下游端或頂端(見圖1)。於某些實施例中,元件43之壁內的一個或多個細孔或狹縫44為從構件11進入之氣體提供擴散流動出口路徑。細孔44可以定位為使得遠離元件43之徑向方向上均勻流出氣體。除了細孔44外或替代細孔44,一個或多個細孔45可以通過元件43之底面延伸,朝分散板3之竪直方向上流出氣體。較佳的是,一個或多個細孔45不與分散板3內之細孔排列,以便更好地越過板3散佈氣體。
顯示於圖1中之氣體分散部分13的截面輪廓是喇叭(horn)形狀。為了適應短時期內穿過反應室1之排出氣流之變化,氣體分散部分13之內容量為小的話較佳。於某些實施例中,氣體分散部分13相對於其水準下表面具有平截錐形(flattruncated cone shape),大約3-30度之角度。實施例可以包括5度、10度、15度、20度以及25度之角度,以及這些角度值之間的角度,但較佳的是為大約5-15度,以便更加均勻地散佈擴散氣體。
於某些實施例中,氣體分散部分13之下表面以及氣體分散板3之間的距離大約為2-10mm,包括3mm、5mm、7mm以及這些值之間的值。具有接近於分散板3之分散部分13可以更加均勻地越過板3散佈氣體。於某些實施例中,氣體分散部分13之內壁的外形可以是光滑的,以便促進平滑之氣流。
於某些實施例中,加熱器42設置於分散部分13之內壁。加熱器42加熱進入分散部分13之氣體。第二加熱器26可以設置於分散板3內,尤其在週邊邊緣處,以便調節薄膜之形成。
狹縫排氣口17形成於氣體分散部分13之頂端與分散板3之間。狹縫具有於分散部分13之外頂端附近延伸之環形(例如圓形)。排氣口可以使用各種形狀但較佳的是選擇可以最小化氣流被液壓破壞之區域的形狀。例如,排氣口之形狀可以具有多個圓弧形狹縫,多個圓細孔等等。狹縫或細孔17之開放寬度可以是與氣體分散部分13之下表面以及氣體分散板3之間的距離相等,或者是大約2mm到5mm之間。
排氣狹縫17與上空間18流通。上空間18是通過分散部分13之上外壁以及上蓋2之下表面形成。上空間18與定位於氣體分散部分13之下表面以及分散板3之間的噴頭氣室(plenum)14流通。於某些實施例中,分散部分13之上外壁與上蓋2之下表面之間的距離大致等於氣體分散部分13之下表面與分散板3之間的距離。
排氣口凸緣(flange)19與上蓋2連接並且接收從上空間18以及噴頭氣室14排出之氣體。噴頭排氣閥20之開啟與閉合允許或防止氣體從上空間18以及噴頭氣室14排出。
當氣體經狹縫17通過上空間18時,由於氣壓降低,使得於反應物脈衝之間的短時期內排出氣體更加困難。因此,於某些實施例中,具有穿過狹縫17延伸並且連接到排氣口凸緣19之輸送管是有利的。已經發現,與具有上空間18之實施例相比,環形輸送管增加到排氣口凸緣19之氣流。這是因為輸送管與氣體接觸之內表面面積小於氣體從上空間18流動之表面面積。然而,因為排氣口凸緣19定位為相對於環形輸送管偏置,與使用上空間18之實施例相比,環形輸送管不能均勻排氣。例如,於使用上空間18之實施例中,排氣口凸緣19可以定位為接近上空間18之中心並且均勻接收排出氣體。
氣體流過氣體分散部分13並且到達噴頭氣室14。氣體進一步流過分散板3中之氣體流出口21。流過氣體流出口21之氣體到達基底支撐座8以及分散或淋浴頭板3之間的反應空間22。然後氣體可以繼續並到達基底15之表面。然後氣體繼續穿過形成於排氣管4內之環形狹縫23並且從與排狹縫23流通之氣管24排出。於某些實施例中,從分散板3到反應空間22之氣體流動率大約是2-3升/秒。
藉由從電極25輸送射頻能到分散板3,可以於分散板3以及基底支撐座8之間產生電漿。例如,於分散板3以及基底支撐座8之間產生臨場(in situ)電漿,其用於電漿增強原子層沈積(PEALD)製程。遙式電漿產生用於執行PEALD之某些製程以及用於於基底15處理之間週期的反應室1清潔,例如於每一堆晶圓之間。遙式電漿是使用離場(ex-situ)電漿產生器產生,顯示為遙式基或激發的物種源12。產生器可以操作於,例如,400kHz之頻率以及從位於Wilmington,MA之MKS Instruments獲得。產生器可以安裝於岐管組件10之頂部或更上游。閥16將遙式電漿產生器從岐管組件10中隔開。基產生於遙式電漿產生器內,用於室清潔或沈積。允許基流動/漂流/擴散整個分散部分13並且到基底15之表面。較佳的是,基源12安裝為接近室1並且閥16充分開啟以最大化殘餘之激發物種並且因此有效地清潔。
用於臨場直接式電漿(direct plasma)產生之RF產生器可以操作於,例如,13.56MHz。這樣的RF產生器以及匹配之網路可以從位於加州的Fremont的ADTEC技術股份有限公司獲得。匹配之網路可以安裝於反應室1之頂部。傳輸線連接於匹配之網路的輸出以及分散板3之間。分散板3(圖1)、分散部分13(圖1)以及上蓋環113(圖4)是RF高壓(RF hot)。定義反應空間22之剩餘之傳導元件,尤其是基底支撐8,是在地上。直接式電漿僅產生於分散板3以及基底支撐座8之間。
一旦處理完成,降低基底支撐座8並且可以經相同之閘閥6從沈積室移除基底15。
於基底15之處理過程中,控制系統(未圖示)配置為控制設備。例如,控制系統可以包括電腦控制系統以及電控制閥以控制反應物以及惰性氣體於裝置內外之流動以及RF能量之應用。控制系統可以包括模組,例如執行某些任務之軟體或硬體組成,例如FPGA或ASIC。模組可以方便地配置為存在於電腦控制系統之可尋址儲存媒體上以及配置為執行一個或多個處理器。
圖3顯示引入氣體到反應室1之代表性次序。於示於圖3之步驟1中,噴頭排氣閥20是閉合的。反應氣體閥31(a)是開放的以允許氣體A進入岐管組件10之中心孔28。這個示例中,氣體A連續進入氣體分散部分13中,流過分散板3,並且被供給到反應空間22內。氣體A從反應空間22穿過排氣狹縫23排出並到達排氣管24。
氣體A於一給定時間週期被供給後,步驟2中,對應於氣體A之反應氣體閥31(a)配置為防止氣體A進入岐管組件10之中心孔28並且允許惰性氣體從惰性氣體閥30(a)流動到岐管組件10之中心孔28內。這時候,取決於特定製程或相關化學品,噴頭排氣閥20可以是充分開啟。剩餘氣體A由惰性氣體吹噴。惰性氣體從惰性氣體閥30(a)引入到用於氣體A之反應氣體管線內,於反應氣體閥31(a)之點上游處。以這種方式,惰性氣體流過反應氣體閥31(a)並且吹淨或噴淨反應物管線,以防止後續步驟中反應物擴散。內惰性氣體通道610(見圖4)供給進入惰性氣體閥30(a)之惰性氣體。於某些實施例中,內惰性氣體通道610位於岐管組件10內。
步驟3中,反應氣體閥31(a)配置為防止反應氣體A以及惰性氣體岐管組件10之進入中心孔28。步驟3中,圖3之惰性氣體閥30(a)是閉合的,但這並不是必須的。於所示實施例中,希望暫停惰性氣體穿過這個通道,三埠反應氣體閥31(a)防止惰性氣體進入岐管組件10之中心孔28,不管惰性氣體閥30(a)之構造。
藉由開啟反應氣體閥31(b),氣體B引入到岐管組件10之中心孔28內。這種情況下,氣體B從氣體引入部分11(圖1)引入並引入到氣體分散部分13內。然後氣體B連續穿過分散板3並且供給到基底表面15上。當越過基底表面15時,氣體B脈衝使基底之表面飽和。吸附或反應發生於氣體B與基底之表面之間,如由先前脈衝剩下之氣體B。
越過反應空間22之後以及於徑向方向上,氣體B朝排氣管24流動並且穿過排氣狹縫23。排氣管24配置為於氣體已經飽和晶圓後收集多餘氣體以及任何副產品。於一實施例中,排氣管24內區域的壓力低於反應室1內之壓力。負壓源或真空可以與排氣管24和/或排氣狹縫23連通,以從反應室1抽出氣體。氣體B從排氣狹縫23排出到排氣管24。
於給定週期時間內,反應氣體閥31(b)是閉合的並且氣體B之供給被關閉。與示於步驟2相似之狀態中,除了惰性氣體流過替代氣體A通道之氣體B通道外,剩餘氣體B從閥20排出。藉由將重複供給反應氣體A以及供給反應氣體B作為這四個步驟之一部份,各週期沈積少於分子單層。熟知此技藝之技工將明白,來自大體積前驅物之位阻現象(steric hindrance)趨於阻礙反應場所並且將成長率減少到每一週期少於單層。
即使使用三種或更多之反應氣體,藉由重複供給三種或更多之反應氣體之步驟以及吹噴各氣體之步驟,可以容易實現膜之形成。
於某些實施例中,藉由開啟或閉合噴頭排氣閥20,可以容易地吹淨分散板3之內部區域。此外,因為閥20被開啟或閉合之程度可以變化,完全關閉是不需要的。
同樣,於某些實施例中,取決於化學,一個或多個反應物管線(A,B,C,D)可以於製程中一直被開啟。這將出現,例如,當反應氣體源作為用於脈衝步驟中被噴擊之前驅物之還原劑時,僅當施加RF能量時反應。
當施加射頻能到氣體分散板3時,反應氣體還可以作為直接式電漿氣體供給。藉由於氣體分散部分13內提供加熱器42(圖1),提升分散部分13內部之溫度是可能的。因此,當使用具有低蒸氣壓並且容易凝結之有機金屬材質時,沒有凝聚之情況下將它們排出變得可能。
圖4是顯示ALD裝置100之實施例之詳細截面圖。這個圖沒有顯示基底支撐座或基座以及所有氣體閥。將氣體A反應氣體經閥31(a)引入到岐管組件10。然後將氣體A經中間分散元件43內之狹縫44引入到分散部分13之第一隔間82。第一隔間82是由部分具有狹縫之底板定義。氣體A反應氣體流過狹縫並且流入位於分散板3之上表面上方之第二隔間81,分散板3具有多個細孔(未圖示)。第一隔間82以及第二隔間81組成噴頭氣室。
於某些實施例中,第一隔間82不具有底板並且第一隔間82以及第二隔間81之間沒有明顯之界線。氣體A經形成於分散板3內之細孔流出反應室1之反應空間22。反應空間22定位於基底支撐座8(圖1)之上方。於上述製程中,反應空間22使用排氣管4經環形狹縫23持續排氣,其中朝反應空間22之外圍徑向抽取氣體。環形狹縫23定位於基底支撐座8之外圍附近。氣體分散部分13經上蓋環固定到分散板3,絕緣板150放置於上蓋環113之上方。
氣體分散部分13以及分散板3並不直接接觸,以及沿分散部分13之外圍形成環形間隙83。環形間隙83經上蓋板113與排氣口凸緣19(見圖1)連通。
當吹噴第一與第二隔間82、81時,吹噴氣體經閥30(a)-(d)之一、關聯之反應氣體閥31(a)-(d)之一、岐管組件10以及中間分散元件13引入到其內。主要的吹噴從惰性氣體管線54流動並穿過岐管組件10。來自反應氣體閥31(a)-(d)以及惰性氣體閥30(a)-(d)之惰性氣體吹淨或噴淨反應氣體閥以及中心孔28之間的管線。同時,使用排氣口凸緣19經環形間隙83排空第一與第二隔間82、81。經狹縫23以及排氣管4持續地排空反應空間22。
如圖5最佳顯示,於此實施例中,岐管組件10包括四個反應氣體閥31(a)-(d),惰性通道供給管線52,以及惰性混合氣體供給管線54。各反應氣體閥31(a)-(d)與惰性氣體閥30(a)-(d)成對。反應氣體閥31(a)與惰性氣體閥30(a)耦接。反應氣體閥31(b)與惰性氣體閥30(b)成對。反應氣體閥31(c)與惰性氣體閥30(c)成對。反應氣體閥31(d)與惰性氣體閥30(d)成對。ALD裝置100可以包括較多或較少之反應氣體閥以及惰性氣體閥,取決於ALD裝置100之構造。而且,各反應物管線可以與一惰性氣體閥成對或不成對。例如,一種或多種反應物管線可以與惰性氣體閥成對,而另一反應物管線卻不與惰性氣體閥成對。不與閥成對之反應物管線可以藉由其他方式吹噴。
耦接件190(a)將反應氣體閥31(a)耦接到反應源A620(圖6)。耦接件190(b)將反應氣體閥31(b)耦接到反應源B626(圖6)。耦接件190(c)將反應氣體閥31(c)耦接到反應源C632(圖6)。耦接件190(d)將反應氣體閥31(d)耦接到反應源D638(圖6)。
耦接件190(f)將內惰性氣體通道610(見圖6)耦接到惰性氣體源或吹噴氣體源644(圖6)。耦接件190(e)產生從惰性氣體源或吹噴氣體源644(見圖6)至內部惰性氣體通道610(見圖6)的氣流路徑。耦接件190(f)產生一個額外的個別的氣流路徑,其從惰性氣體源或吹噴氣體源644直接通往中心孔28(而沒有通過內部惰性氣體通道610)。
於圖5中顯示之實施例中,惰性通道供給管線52以及耦接件190(a)-(d)提供到閥以及朝向岐管組件10之內部流動路徑。惰性通道供給管線52與內惰性氣體通道610連接。於所示實施例中,各惰性氣體閥30(a)-(d)位於內惰性氣體通道610之下游。管線54提供岐管組件10內部之沒有流過閥之路徑。
於圖5所示之實施例中,耦接件190(a)-(d)將反應氣體流動到岐管組件10內。惰性氣體管線54提供將惰性氣體直接流動到中心孔28之通路。合成之混合物(每次一種反應物以及一種惰性氣體)向下朝反應室1流動。當裝配於ALD裝置100時,絕緣板56與絕緣板150(圖4)相鄰。
岐管組件10包括一個或多個配置為控制壁溫之加熱器盒180。岐管以及加熱器盒180加熱流過岐管組件10之反應氣體。當反應氣體流過岐管組件10時,控制它們之溫度減少了氣體將發生凝結或熱分解的可能性。於某些實施例中,一個或多個加熱器盒180分別加熱各反應氣體閥31(a)-(d)。於所示實施例中,反應氣體閥之兩個具有加熱器以使具有低蒸氣壓(例如,標態時之液態或固態,例如ZrCl2
、HfCl2
、TMA以及其他金屬有機物)之前驅物的使用容易,而另兩個卻不具有加熱器。例如,第一組之一個或多個加熱器盒180可以位於岐管組件10內並且接近運輸反應氣體A之管線。第二組之一個或多個加熱器盒180可以位於岐管組件10內並且接近運輸反應氣體B之管線。可以單獨控制第一以及第二組之加熱器盒以便將氣體A加熱到不同於氣體B之溫度。於某些實施例中,加熱器盒180將岐管組件10內之壁溫維持到200℃。可以採用一個或多個熱開關來監視岐管組件10之溫度。可以明白,系統包括其他溫度傳感器以及控制機制來將系統之不同組成維持於所希望之溫度。
進一步,系統可以維持第一對閥30、31之不同溫度以及第二組閥30、31之第二溫度,取決於希望之處理。雖然所示之實施例期望由溫度傳感器驅動之加熱器盒定義單積體電路ALD注入岐管之溫度控制之單一區域,所示實施例還適於ALD岐管內之各前驅物的單獨區域控制。例如,於具有各自岐管通路之四個前驅物之所示情況下,可以提供五個區域,用於各前驅物之流動路徑的單獨熱控制:中樞(center hub)以及各前驅物管線(包括閥)是作為分隔區域。為了使區域之熱分離容易,中樞可以製造成具有熱空斷(thermal air break)結構,限制機戒連接於前驅物氣體出口孔附近之小突點。可以採用附加加熱器以及熱耦合監視熱控制。有利地,混合點(例如,噴頭氣室)之流動通路上游之溫度可以對應各反應物分別調節,無論是通過凝結還是反應或吸附,以最小化管線之塗布,並且因此最小化堵塞和/或下游污染。
圖6為經圖5所示之岐管組件10之氣流路徑之示意圖並顯示了分別與獨立反應氣體閥30(a)-(d)連通之四個惰性氣體閥31(a)-(d)。岐管組件10包括與四個惰性氣體閥30(a)-(d)連通之內惰性氣體通道610。圖6進一步繪示了各反應物及惰性氣體源。取決於反應物在標準狀態下是否是固體、液體或者氣體,反應源可以代表氣體容器、起泡器或者其他蒸發器。與反應物以及惰性氣體源關聯之附加閥(未圖示)可以位於岐管組件10之外面。
氣體A在到達反應氣體閥31(a)之前從其源620流經管線622。取決於所需之處理步驟,反應氣體閥31(a)配置為允許或者防止氣體A流經管線624並進入岐管組件10之中心孔28。氣體B在到達反應氣體閥31(b)之前從其源626流經管線628。取決於所需之處理步驟,反應氣體閥31(b)可以配置為允許或防止氣體B流經管線630並進入岐管組件10之中心孔28。
氣體C在到達反應氣體閥31(c)之前從其源632流經管線634。取決於所需之處理步驟,反應氣體閥31(c)可以配置為允許或防止氣體C流經管線636並進入岐管組件10之中心孔28。氣體D在到達反應氣體閥31(d)之前從其源638流經管線640。取決於所需之處理步驟,反應氣體閥31(d)可以配置為允許或防止氣體D流經管線642並進入岐管組件10之中心孔28。所示之四反應氣體閥實施例是示範性的並且可以使用更多或更少之反應氣體閥。
惰性氣體在到達內惰性氣體通道610之前,從氣體源644(可以包括多氣體容器)並流經惰性通道供給管線52。內惰性氣體通道610較佳的是位於岐管組件10之內。通過在岐管組件10內包括惰性氣體通道610,提高了維護之熟練程度。有利地,於再組裝到反應器上之前,可以在工作臺上對岐管組件10進行測試。利用包括於岐管組件10內之惰性氣體通道610,惰性氣體以及前驅物氣體之熱控制更加均勻,因為惰性氣體與前驅物氣體經相同之熱質量(thermal mass)或者岐管組件10給入。
當惰性氣體通道位於岐管外側並位於反應器頂部內側時,在室內需要附加的O形環。這些附加O形環會影響反應器之真空完整性。清潔也更加複雜,因為要拆開整個反應器來取出位於反應器內之惰性氣體通道。
內惰性氣體通道610進一步與惰性氣體閥30(a)-(d)中之一個或多個連通。在圖6所繪示之示範性實施例中,內惰性氣體通道610與四個惰性氣體閥30(a)-(d)連通。
惰性氣體在到達惰性氣體閥30(a)之前從內惰性氣體通道610流經管線646。於某些實施例中,惰性氣體閥30(a)為兩埠閥。兩埠閥控制內惰性氣體通道610與反應氣體閥31(a)之間惰性氣體之流動。兩埠閥具有與內惰性氣體通道610連通之輸入口以及經由管線648與反應氣體閥31(a)連通之輸出口。通過這種方式,惰性氣體閥30(a)可以配置為允許或防止管線646與管線648之間惰性氣體之流動。
反應氣體閥31(a)與管線648連通。除了如上文所描述地允許或防止反應氣體A從管線622到達岐管組件10之中心孔28,反應氣體閥31(a)進一步配置為允許或防止惰性氣體經管線624流入岐管組件10之中心孔28。因而,反應氣體閥31(a)可以配置為獨立允許或防止惰性氣體及反應氣體A進入管線624。
於較佳實施例中,反應氣體閥31(a)為三埠閥。反應氣體閥31(a)之第一埠與管線622連通並接收反應氣體A。反應氣體閥31(a)之第二埠與管線648連通並接收惰性氣體。反應氣體閥31(a)之第三或輸出埠經由管線624與岐管組件10之中心孔28連通。
惰性氣體在到達惰性氣體閥30(b)之前從內惰性氣體通道610流經管線650。於某些實施例中,惰性氣體閥30(b)為兩埠閥。該兩埠閥控制內惰性氣體通道610與反應氣體閥31(b)之間之惰性氣體之流動。兩埠閥具有與內惰性氣體通道610連通之輸入口以及經管線652與反應氣體閥31(b)連通之輸出口。通過這種方式,惰性氣體閥30(b)可以配置為允許或防止管線650與管線652之間之惰性氣體之流動。
反應氣體閥31(b)與管線652連通。除了如上文所描述地允許或防止反應氣體B從管線628到達岐管組件10之中心孔28,反應氣體閥31(b)進一步配置為允許或防止惰性氣體經管線630並流入岐管組件10之中心孔28。因而,反應氣體閥31(b)可以配置為單獨允許或防止惰性氣體及反應氣體B進入管線630。
於較佳實施例中,反應氣體閥31(b)為三埠閥。反應氣體閥31(b)之第一埠與管線628連通並接收反應氣體B。反應氣體閥31(b)之第二埠與管線652連通並接收惰性氣體。反應氣體閥31(b)之第三或輸出埠經管線630與岐管組件10之中心孔28連通。
惰性氣體在到達惰性氣體閥30(c)之間從內惰性氣體通道610流經管線654。於某些實施例中,惰性氣體閥30(c)為兩埠閥。該兩埠閥控制內惰性氣體通道610與反應氣體閥31(c)之間惰性氣體之流動。該兩埠閥具有一個與內惰性氣體通道610連通之輸入口以及經管線656與反應氣體閥31(c)連通之輸出口。通過這種方式,惰性氣體閥30(c)可以配置為允許或防止管線654與管線656之間之惰性氣體流動。
反應氣體閥31(c)與管線656連通。除了如上文所描述地允許或防止反應氣體C從管線634進入岐管組件10之中心孔28,反應氣體閥31(c)進一步配置為允許或防止惰性氣體經管線636並流入岐管組件10之中心孔28。因而,反應氣體閥31(c)可以配置為單獨允許或防止惰性氣體及反應氣體C進入管線636。
於較佳實施例中,反應氣體閥31(c)為三埠閥。反應氣體閥31(c)之第一埠與管線634連通並接收反應氣體C。反應氣體閥31(c)之第二埠與管線656連通並接收惰性氣體。反應氣體閥31(c)之第三或輸出埠經管線636與岐管組件10之中心孔28連通。
惰性氣體在到達惰性氣體閥30(d)之間從內惰性氣體通道610流經管線658。於某些實施例中,惰性氣體閥30(d)為兩埠閥。該兩埠閥控制內惰性氣體通道610與反應氣體閥31(d)之間惰性氣體之流動。該兩埠閥具有一個與內惰性氣體通道610連通之輸入口以及經管線660與反應氣體閥31(d)連通之輸出口。通過這種方式,惰性氣體閥30(d)可以配置為允許或防止管線658與管線660之間之惰性氣體流動。
反應氣體閥31(d)與管線660連通。除了如上文所描述地允許或防止反應氣體D從管線640進入岐管組件10之中心孔28,反應氣體閥31(d)進一步配置為允許或防止惰性氣體經管線642並流入岐管組件10之中心孔28。因而,反應氣體閥31(d)可以配置為單獨允許或防止惰性氣體及反應氣體D進入管線642。
於較佳實施例中,反應氣體閥31(d)為三埠閥。反應氣體閥31(d)之第一埠與管線640連通並接收反應氣體D。反應氣體閥31(d)之第二埠與管線660連通並接收惰性氣體。反應氣體閥31(d)之第三或輸出埠經管線642與岐管組件10之中心孔28連通。
術語“防止”以及“允許”是相對術語並且不限於封閉氣流或者允許全流量。例如,反應氣體閥31(a)配置為在增加流經閥之反應氣體時允許反應氣流。類似地,反應氣體閥31(a)配置為在減少流經閥之反應氣體時防止反應氣流。此外,圖6中所繪示之管線長度僅是為了識別方便,並且取決於所需配置可以更短或者更長。於某些實施例中,較佳的是縮短一個或多個管線以便減少要從岐管組件10吹噴之未反應反應物之次數。實際上,如從圖4到圖5以及圖7第到圖10所知道的,岐管組件10內之圖6之“管線”全部是中心區塊和/或附板內之加工通道,使得閥與反應室之間之距離最小,減少吹噴時間。
獨立於內惰性氣體通道610,惰性混合供應管線54將中心孔28或者岐管組件10內部與惰性氣體源(吹噴氣體源)644耦接。管線54提供沒有經過閥到中心孔28之路徑。於某些實施例中,閥662控制從管線54進入岐管組件10之惰性氣體之流動。
圖7為圖5之岐管設備10之繪示了耦接到岐管組件10之主體27之反應氣體閥31(a)-(d)以及惰性氣體閥30(a)-(d)之上視圖。岐管組件10配置為將經耦接件190(a)-(d)進入之反應氣體以及經耦接件190(e)進入之惰性氣體發送到岐管組件10之中心孔28。耦接件190(a)經由管線622與反應氣體閥31(a)連通。耦接件190(b)經由管線628與反應氣體閥31(b)連通。耦接件190(c)經由管線634與反應氣體閥31(c)連通。耦接件190(d)經由管線640與反應氣體閥31(d)連通。耦接件190(e)經由管線54與岐管組件10之中心孔28連通。
在一個給定脈衝過程中,岐管組件10可以同時將單種氣體或者多種氣體發送到岐管組件10之中心孔28。較佳的是,在ALD模式,一種反應氣體在孔28內與惰性氣體混合。合成之混合物進入沈積室1(圖1)。在每個脈衝之後,ALD經排氣管24從沈積室並經噴頭排氣閥20(圖1)從噴頭組件排出(例如通過吹噴)所有未反應之反應物及惰性氣體。
在處理過程中、間斷中或者僅在吹噴過程中,惰性氣體可以經管線54連續流動到岐管組件10之中心孔28。如上文所描述的,惰性氣體還可以經岐管組件10內之惰性通道供給管線52(圖6)流動到內惰性氣體通道610。內惰性氣體通道610與惰性氣體閥30(a)-(d)連通。
惰性氣體閥30(a)-(d)直接附著到岐管組件10之主體27。如圖8及圖9中所看到的,可以使用附著到主體27之間隔塊700(a)-(d)將各反應氣體閥31(a)-(d)安裝到主體27上。間隔塊700(a)-(d)設有與反應氣體閥31(a)-(d)配合之開口以及螺絲孔。間隔塊700(a)-(d)簡化了岐管組件10之製造。間隔塊700(a)與反應氣體閥31(a)關聯並且在岐管組件10之主體27與反應氣體閥31(a)之間提供流動通路。間隔塊700(b)與反應氣體閥31(b)關聯並且在岐管組件10之主體27與反應氣體閥31(b)之間提供流動通路。間隔塊700(c)與反應氣體閥31(c)關聯並且在岐管組件10之主體27與反應氣體閥31(c)之間提供流動通路。間隔塊700(d)與反應氣體閥31(d)關聯並且在岐管組件10之主體27與反應氣體閥31(d)之間提供流動通路。
圖8是沿圖7之剖線8-8之截面圖,同時圖9是沿圖7之剖線9-9之截面圖。各間隔塊700(a)-(d)提供向以及從關聯反應氣體閥31(a)-(d)之氣體發送通路之一部分。圖8以及圖9中所繪示之空氣發送通路對應於參照圖6所描述之管線。圖6中描述之整個管線可以代表岐管組件10之單個元件之整個通路或者岐管組件10之多個元件中之部分通路。例如,圖6以及圖8中所繪示之管線652對應於岐管組件10之主體27與間隔塊700(b)二者之通路之至少部分。圖6以及圖8中所繪示之管線660對應於岐管組件10之主體27與間隔塊700(d)二者之通路之至少部分。
所繪示之實施例中之主體27為帶有中心孔28之管狀。主體27包括入口612以及出口614。中心孔28具有柱形之下部以及錐形上部。入口612之區域內之截面面積較佳的是大於出口614之截面面積。於某些實施例中,中心孔28之截面流動面積隨著朝向出口614遷移而逐步減小並形成漸縮或“漏斗形(funnel)”通道。
於某些實施例中,主體27之內表面之至少一部分具有圓錐形狀,圓錐形狀隨著混合物朝向出口614移動經該主體27之開口截面面積。主體27進一步包括位於下游或者底面上之附著孔以便將岐管組件10附著到反應室1之淋浴頭板。
在所繪示之實施例中,各間隔塊700(a)-(d)具有連接到關聯反應氣體閥31(a)-(d)之兩個輸入口與單個輸出口之三個分立通路。例如,間隔塊700(b)及岐管組件10之主體27二者內之第一通路或管線652將惰性氣體閥30(b)之輸出口連接到反應氣體閥31(b)之兩個輸入口中之一個上。第二通路或管線628將耦接件190(b)連接到反應氣體閥31(b)之另一個輸入口。第三通路或管線630將反應氣體閥31(b)之輸出口與岐管組件10之中心孔28連接。關於反應氣體閥31(d),間隔塊700(d)及岐管組件10之主體27二者內之第一通路或管線660將惰性氣體閥30(d)之輸出口連接到反應氣體閥31(d)之兩個輸入口中之一個上。第二通路或管線640將耦接件190(d)連接到反應氣體閥31(d)之另一個輸入口。第三通路或管線640將反應氣體閥31(d)之輸出口與岐管組件10之中心孔28連接。在圖7中,惰性氣體閥30(a)-(d)被反應氣體閥31(a)-(d)部分遮擋。
圖9為沿圖7之剖線9-9之放大截面圖,顯示了連接到岐管組件10之主體27之反應氣體閥31(a)、31(c)以及惰性氣體閥30(a),30(c)。參照圖7及圖9,間隔塊700(a)與反應氣體閥31(a)關聯並於岐管組件10之主體27與反應氣體閥31(a)之間提供流動通路。間隔塊700(c)與反應氣體閥31(c)關聯並於岐管組件10之主體與反應氣體閥31(c)之間提供流動通路。間隔塊700(a)與岐管組件10之主體27二者內之第一通路或管線648將惰性氣體閥30(a)之輸出口連接到反應氣體閥31(a)之兩個輸入口中的一個上。第二通路或者管線622將耦接件190(a)連接到反應氣體閥31(a)之另一輸入口。第三通路或管線624將反應氣體閥31(a)之輸出口與岐管組件10之中心孔28連接。關於反應氣體閥31(c),間隔塊700(c)及岐管組件10之主體27二者內之第一通路或管線656將惰性氣體閥30(c)之輸出口連接到反應氣體閥31(c)之兩個輸入口中的一個上。第二通路或管線634將耦接件190(c)連接到反應氣體閥31(c)之另一輸入口。第三通路或管線636將反應氣體閥31(c)之輸出口與岐管組件10之中心孔28連接。
通路或管線654將惰性氣體閥30(c)之輸入口與內惰性氣體通道610連接。通路或管線646將惰性氣體閥30(a)之輸入口與內惰性氣體通道610連接。
參照圖8及圖9,反應氣體較佳的是經由從中心線702偏心的管線624、630、636、642進入岐管組件10之中心孔28,以便旋流(swirl)中心孔28內之氣體來增強混合。旋流氣體會促進反應氣體與惰性氣體和/或其他反應氣體之混合,取決於所需之產品。隨著混合物向沈積室1遷移,氣體混合物環繞管狀主體內部。
於某些實施例中,主體27、間隔件700(a)-(d)以及閥30(a)-(d)、31(a)-(d)元件中的一個或多個是不銹鋼或者其他金屬材質。利用不銹鋼,岐管組件10不必包括O形環,導致沒有死區。有利地,管線或通路一體形成於耐化學性金屬塊或者主體27中。於某些實施例中,惰性及反應氣體閥30、31為不銹鋼並且可從俄亥俄州的Salon的Swagelok公司購得。於較佳實施例中,Swagelok公司的雙口惰性氣體閥30(a)-(d)標記成零件號:6LVV-MSM-ALD3T-W2-P-CS而三口反應氣體閥31(a)-(d)標記成零件號:6LVV-MSM-ALD3T-W3-P-CS。利用金屬密封,可以將各金屬閥30、31密封到岐管的金屬,較佳為密封到不銹鋼間隔件700與主體27上。在某些的其他實施例中,岐管組件10中的一個或多個元件由陶瓷材質製成。
圖9進一步繪示了位於配合元件表面之間的各種金屬密封。當然,取決於例如材質、公差、工作壓力以及與配合元件相關聯的氣體,可以使用更多或者更少的金屬密封。此外,於某些實施例中,一個或多個元件可以組合成單個元件,並因而使組合元件之間的所有密封都不必要。例如,間隔塊700(a)-(d)與關聯反應氣體閥31(a)-(d)可以組合成單個元件並消除了對組合元件之間之密封之需要。此外,與反應氣體關聯之間隔塊700(a)-(d)可以延伸超過反應氣體閥之側面,以便形成相鄰惰性氣體閥(見圖10)之間隔件。替代方案是,反應氣體閥及與反應氣體閥關聯之惰性氣體閥可以具有獨立之間隔件。也可以採用由聚合體材質製成之傳統密封900,例如O形環,來相對噴頭組件密封岐管組件10。
圖10示意性繪示了岐管組件10之另一實施例,其中間隔塊包括結合於反應氣體閥31(a)及其關聯之惰性氣體閥30(a)與岐管組件10之主體27之間之不同材質之次元件,例如鋁及不銹鋼。對於本實施例,繪示了反應氣體閥31(a)及惰性氣體閥30(a),而沒有繪示反應氣體閥31(b)-(d)與惰性氣體閥30(b)-(d)。然而,後續描述同樣適用於其他三對反應氣體閥以及關聯惰性氣體閥30(b)、31(b),30(c)、31(c),以及30(d)、31(d)。
於本實施例中,閥31(a)、30(a)由不銹鋼製成,例如316SS。與強度較小之金屬相比,不銹鋼有利地增加了閥之耐久度。岐管組件10之主體27由鋁或類似材質製成並且提供高導熱性。有利地,鋁是相對輕之金屬並且與不銹鋼相比提供了增強之熱分佈。替代方案是,主體27可由316不銹鋼製成。當然,可使用其他材質作為主體27。
如圖6所示,岐管組件10內之許多內部通路在元件之間共用。不同部件內之連接通路之間之介面傳統上採用配合表面內之凹部來容納O形環或者其他密封裝置900(圖9)。凹部與關聯密封增加了於介面形成死區之可能。有利的是於岐管組件10之中心孔28與反應氣體閥及惰性氣體閥之間具有較少之凹部或者其嵌入式密封,O形環以及沿流動通路產生死區之任何密封。這種死區將提供間隙或空隙,會抑制流動通路的完全吹淨。在該空隙處,或者在沿流動通路到第一反應物可擴散到之位置,未完全吹淨之第一反應氣體可能不符需要地與第二反應氣體反應。
已經發現,通過減少位於主體27與閥30、31之間之中間介面之數量,密封之數量連同形成死區之可能性減少。當必須出現介面時,採用先進之製造技術可以最小化介面處死區之形成。這些製造技術包括電子束焊接、採用金屬密封技術、爆炸接合(explosion bonding)(爆炸接合是一種接合方法,其使用爆炸在兩個或多個相似或不相似的金屬中產生冶金鍵,而不需使用中間介質或填充金屬,也不需從外部加熱)以及類似技術。可以使用這些技術中之一種或多種來製造岐管組件10。
於本較佳實施例中,一個或多個構件可以夾在主體27與閥31(a)、30(a)之間。於所示實施例中,鋁基板606以及不銹鋼罩608將主體27連接到閥30(a)、31(a)。基板606及罩608進一步彼此連接。較佳的是,基板606及罩608在連接到主體27之前連接到一起。於某些實施例中,使用本領域公知之爆炸接合技術將基板606及罩608附著到一起。爆炸接合融合基板606與罩608之不同材質以便在其間提供無密封介面。
較佳的是,基板606由與主體27相同之材質製成以便簡化彼此間之附著。於本示範性實施例中,二者具有鋁製成。在將基板606與罩608之組件附著到主體27上之前,內惰性氣體通道610加工於主體27內。基板606之表面形成內惰性氣體通道610之外表面。內惰性氣體通道610之所繪示之形狀及尺寸僅是示範性並且可以具有不同形狀及尺寸。此外,內惰性氣體通道610之位置僅是示範性的並且在主體27中可從所繪示之位置移開。
爆炸接合之基板606及罩608附著到主體27之外表面。可以採用能量束焊接(energy beam welding)方法來將基板606附著到主體27上。例如,可以使用鐳射束或者電子束並且提供能量之高聚焦束以便將材質焊接到一起。於某些實施例中,基板606電子束焊接到主體27上。
隨後,閥連接到罩(cap)608。於某些實施例中,採用金屬密封以便在閥30、31與罩608之間形成密封。與聚合體O形環相反,金屬密封具有高耐化學性。於某些實施例中,在閥30、31與罩608之間之介面採用W形金屬密封。由於與聚合體O形環相比,其在沒有過大變形之情況下承受較高負載之能力,金屬密封也是有利的。金屬密封可以進行塗覆或者不塗覆。
一旦組裝後,惰性氣體在到達惰性氣體閥30(a)之前從內惰性氣體通道610流經管線646。有利地,主體27與基板606之間之結合為沒有獨立密封之電子束焊接。基板606與罩608之間之結合為沒有獨立密封之爆炸接合。在閥30(a)、31(a)與罩608之間採用可松脫金屬密封,允許移除閥30(a)、1(a)進行檢查、清潔以及保養。
惰性氣體閥30(a)輸出口徑由管線648與反應氣體閥31(a)連通。管線648較佳的是沒有在內惰性氣體通道610之元件中共用,並且除了在管線648之入口及出口外不需要密封。較佳的是,密封管線646出口、管線648入口、管線648出口、管線622出口以及管線624入口之密封為金屬。有利地,使用金屬密封能夠比傳統聚合體密封增加密封壽命並由於其高耐化學形而提高污染排除。
反應氣體閥31(a)與管線648連通。除了允許或防止反應氣體A從管線622到達岐管組件10之中心孔28,反應氣體閥31(a)進一步配置為允許或防止惰性氣體經管線624並進入岐管組件10之中心孔28之流動。因而,反應氣體閥31(a)可以配置為獨立允許或防止惰性氣體及反應氣體Af進入管線624。
於較佳實施例中,反應氣體閥31(a)為三埠閥。反應氣體閥31(a)之第一埠與管線622連通並接收反應氣體A。反應氣體閥31(a)之第二埠與管線648連通並接收惰性氣體。反應氣體閥31(a)之第三或輸出埠經由管線624與岐管組件10之中心孔28連通。
控制基板606與罩608之加工公差可以有助於將管線之第一部份對準到介面之第一側面,同時將同一管線之第二部份對準到同一介面之第二側面上,由此減少岐管組件10內之再循環或空隙。控制岐管組件10之子元件之配合表面之表面光潔度及平面度可以有助於密封相鄰次元件。於某些實施例中,在密封表面表面上保持16到32微光潔表面(micro finish surface)。
控制系統控制閥30、31之一個或多個以便選擇性允許或防止一種或多種氣體達到岐管組件10之中心孔28。有利地,岐管組件10之實施例減少了在岐管組件10之部件間介面處對傳統密封之需求。傳統密封數量之減少降低了形成盲管段或死區之機會。對於ALD操作,減少盲管段可以減少所需之吹噴持續時間,以避免反應空間上游之反應物之交互作用。這種交互作用可能會導致基底上沈積之污染或不均勻。當必須出現介面時,採用先進之製造技術可以最小化死區之形成。這些製造技術包括電子束焊接、採用金屬密封技術、爆炸接合以及類似技術。岐管組件10進一步採用分立加熱器180來單獨控制進入岐管組件10之中心孔28之各種氣體之溫度。
儘管已經針對某些較佳實施例描述了本發明,但對本領域熟知此技藝者顯而易見之其他實施例也在本發明範圍內。因而,在不脫離本發明之精神及範圍之情況下,可以進行各種變化及潤飾。例如,各種部件可如所希望地重新定位。此外,不是所有的特徵、方面以及優點都是實施本發明所必需的。
1...反應室
2...蓋
3...分散板
4...排氣管
5...下室
6...閘閥
7...排氣口
8...基底支撐座
8’...較低位置
9...升降機制
10...岐管組件
11...管狀氣體引入構件
12...基源
13...氣體分散部分
14...噴頭氣室
15...半導體基底
16...閥
17...狹縫排氣口
18...上空間
19...排氣口凸緣
20...噴頭排氣閥
21...氣體流出口
22...反應空間
23...環形狹縫
24...排氣管
25...電極
26...第二加熱器
27...主體
28...中心孔
30(a)、30(b)、30(c)、30(d)...閥
31(a)、31(b)、31(c)、31(d)...閥
32...閥
42...加熱器
43...中間分散元件
44...狹縫
45...細孔
50...渦輪分子式幫浦
52...惰性通道供給管線
54...惰性氣體管線
56...絕緣板
81...第二隔間
82...第一隔間
83...環形間隙
100...薄膜形成設備
113...上蓋環
150...絕緣板
180...加熱器盒
190(a)、190(b)、190(c)、190(d)、190(e)...耦接件
606...基板
608...罩
610...內惰性氣體通道
620...反應源A
626...反應源B
622、624、628、630、634、636、640、642、646、648、650、652、654、656、658、660...管線
632...反應源C
638...反應源D
644...惰性氣體源(吹噴氣體源)
662...閥
700(a)、700(b)、700(c)、700(d)...間隔塊
702...中心線
900...密封裝置
圖1顯示根據本發明之一實施例之原子層沈積(ALD)裝置的示意圖。
圖2顯示應用於根據本發明之一實施例設備之中間分散元件的示例的示意圖。
圖3顯示根據一實施例之薄膜形成步驟示例的示意圖。
圖4是ALD裝置之截面圖,顯示與一實施例ALD反應器耦合之岐管組件。
圖5是示於第4圖中之岐管組件的立體圖。
圖6是從一實施例的圖5經由岐管組件的氣流路徑的示意圖,並顯示四個惰性氣體閥,各與獨立的反應氣體閥流通。
圖7是圖5之岐管組件的上視圖。
圖8是沿圖7中剖線8-8之截面圖。
圖9是沿圖7中剖線9-9之放大截面圖,顯示反應氣體閥、惰性氣體閥以及岐管主體之間的流動通路。
圖10是岐管組件之另一實施例,其具有不同材質之次元件,例如結合在一起之鋁與不銹鋼。
1...反應室
2...蓋
3...分散板
4...排氣管
5...下室
6...閘閥
7...排氣口
8...基底支撐座
8’...較低位置
9...升降機制
10...岐管組件
11...管狀氣體引入構件
12...基源
13...氣體分散部分
14...噴頭氣室
15...半導體基底
16...閥
17...狹縫排氣口
18...上空間
19...排氣口凸緣
20...噴頭排氣閥
21...氣體流出口
22...反應空間
23...環形狹縫
24...排氣管
25...電極
26...第二加熱器
30(a)、30(b)、30(c)、30(d)...閥
31(a)、31(b)、31(c)、31(d)...閥
32...閥
42...加熱器
43...中間分散元件
50...渦輪分子式幫浦
52...惰性通道供給管線
54...惰性氣體管線
100...薄膜形成設備
Claims (36)
- 一種原子層沈積裝置,包括:一岐管主體,具有一第一通路及一第二通路,所述第一通路及所述第二通路不具有O形環;一孔,位於所述岐管主體內並與所述第一通路及所述第二通路相流通;以及一氣相沈積室,與所述孔相流通,並配置為在該氣相沈積室內收容一基底。
- 如申請專利範圍第1項所述之原子層沈積裝置,進一步包括:一第一反應氣體閥,安裝於所述岐管主體上,並配置為控制一第一反應氣體經所述第一通路到所述孔之供應;以及一惰性氣體閥,安裝於所述岐管主體上,並配置為控制一惰性氣體到所述第一反應氣體閥之供應。
- 如申請專利範圍第2項所述之原子層沈積裝置,進一步包括:第二反應氣體閥,安裝於所述岐管主體上,並配置為控制一第二反應氣體經所述第二通路到所述孔之供應;以及一第二惰性氣體閥,安裝於所述岐管主體上,並配置為控制所述惰性氣體到所述第二反應氣體閥之供應。
- 如申請專利範圍第3項所述之原子層沈積裝置,進一步包括: 一第三通路,在所述岐管主體內不具有O形環,並與所述孔相流通;一第三反應氣體閥,安裝於所述岐管主體上,並配置為控制一第三反應氣體經所述第三通路到所述孔之供應;以及一第三惰性氣體閥,安裝於所述岐管主體上,並配置為控制所述惰性氣體到所述第三反應氣體閥之供應。
- 如申請專利範圍第3項所述之原子層沈積裝置,進一步包括設置於所述第一反應氣體閥與所述岐管主體之間的一第一間隔塊,以及設置於所述第二反應氣體閥與所述岐管主體之間的一第二間隔塊。
- 如申請專利範圍第5項所述之原子層沈積裝置,其中所述第一反應氣體閥、所述第一惰性氣體閥、所述第一間隔塊、所述第二反應氣體閥、所述第二惰性氣體閥、所述第二間隔塊以及所述岐管主體包括316級不銹鋼。
- 如申請專利範圍第5項所述之原子層沈積裝置,其中所述第一間隔塊包括一基板及一罩,所述基板耦接於所述岐管主體與所述罩,並且所述罩耦接於所述第一反應氣體閥。
- 如申請專利範圍第7項所述之原子層沈積裝置,其中所述罩及所述基板藉由爆炸接合耦接。
- 如申請專利範圍第7項所述之原子層沈積裝置,其中所述基板包括鋁。
- 如申請專利範圍第7項所述之原子層沈積裝置,其中所述罩包括不銹鋼。
- 如申請專利範圍第7項所述之原子層沈積裝置,其中所述岐管主體包括鋁。
- 如申請專利範圍第7項所述之原子層沈積裝置,其中所述第一反應氣體閥包括不銹鋼,並且所述第一反應氣體閥與所述罩之間的耦接採用金屬密封。
- 如申請專利範圍第7項所述之原子層沈積裝置,其中所述第一反應氣體閥與所述罩之間是以無O形環的方式耦接。
- 如申請專利範圍第7項所述之原子層沈積裝置,進一步包括一第一加熱器,以加熱所述第一反應氣體。
- 如申請專利範圍第14項所述之原子層沈積裝置,進一步包括一第二加熱器,以加熱所述第二反應氣體,且獨立於所述第一加熱器對所述第二加熱器進行控制。
- 如申請專利範圍第1項所述之原子層沈積裝置,進一步包括設置於所述岐管主體內的一惰性氣體通道,所述惰性氣體通道選擇性地在一第一時間間隔內經由所述第一通路與所述孔連通,而在一第二時間間隔內經由所述第二通路與所述孔連通。
- 一種多片式岐管組件,應用於半導體處理裝置,所述岐管包括:一主體,包括一第一金屬材質並具有一孔;一基板,包括所述第一金屬材質,並與所述主體耦接; 一罩,包括一第二金屬材質,並與所述基板結合,所述罩配置成在該罩上安裝一閥;以及一內部通路,形成於所述主體之所述孔與所述罩之間,所述內部通路之至少一部分經所述主體及所述基板延伸,而沒有在所述主體與所述基板之間的一結合介面形成死區。
- 如申請專利範圍第17項所述之多片式岐管組件,進一步包括位於所述主體內,並與所述內部通路相流通的一惰性氣體通道。
- 如申請專利範圍第17項所述之多片式岐管組件,其中所述第一金屬材質為鋁,而所述第二金屬材質為不銹鋼。
- 一種原子層沈積裝置,包括:一分散組件,配置成用以分散氣體;一注入岐管塊,安裝於所述分散組件上方,並具有一孔、一第一內部反應物管線以及一第二內部反應物管線,所述第一及第二內部反應物管線與所述孔相流通;一第一反應氣體閥,安裝於所述注入岐管塊上,並配置為控制一第一反應氣體到所述第一內部反應物管線之供給,其中所述第一內部反應物管線於所述第一反應氣體閥與所述注入岐管塊之間不具有O形環;一第一惰性氣體閥,安裝於所述注入岐管塊上,並配置為控制一惰性氣體到所述第一反應氣體閥之供給; 一第二反應氣體閥,耦接於所述注入岐管塊,並配置為控制一第二反應氣體到所述第二內部反應物管線之供給;以及一第二惰性氣體閥,安裝於所述注入岐管塊上,並配置為控制所述惰性氣體到所述第二反應氣體閥之供給。
- 如申請專利範圍第20項所述之原子層沈積裝置,進一步包括一控制器,配置為控制所述第一反應氣體閥及所述第二反應氣體閥。
- 如申請專利範圍第20項所述之原子層沈積裝置,其中所述控制器交替供給所述第一反應氣體與所述第二反應氣體到所述孔。
- 如申請專利範圍第20項所述之原子層沈積裝置,其中所述分散組件包括具有一排氣通路的一噴頭組件。
- 如申請專利範圍第20項所述之原子層沈積裝置,進一步包括一間隔塊,所述間隔塊設置於所述第一反應氣體閥與所述注入岐管塊之間。
- 如申請專利範圍第20項所述之原子層沈積裝置,進一步包括一間隔塊,所述間隔塊設置於所述第一惰性氣體閥及所述注入岐管塊之間。
- 如申請專利範圍第24項所述之原子層沈積裝置,其中所述間隔塊包括一基板及一罩。
- 如申請專利範圍第20項所述之原子層沈積裝置,其中所述第一反應氣體閥與所述注入岐管塊之間之距離為大約10mm。
- 如申請專利範圍第20項所述之原子層沈積裝置,其中所述孔之至少一部份為錐形。
- 如申請專利範圍第28項所述之原子層沈積裝置,其中所述第一內部反應物管線相對於穿過所述錐形孔之中心線呈一角度,以使所述第一反應氣體於所述孔內旋流。
- 如申請專利範圍第20項所述之原子層沈積裝置,其中所述第一及第二反應氣體閥以及所述第一及第二惰性氣體閥,是額定為運轉於至少200℃的溫度。
- 一種將氣體分配到原子層沈積裝置之方法,該原子層沈積裝置具有一岐管及一反應器,該方法包括:經由在一第一反應氣體閥與一岐管出口之間不具有O形環的一第一通路,將一第一反應氣體發送到所述岐管;抑制所述第一反應氣體的氣流;以及經所述第一通路上游的一第二通路,將一惰性氣體發送到所述岐管,所述第二通路於一第一惰性氣體閥與所述第一通路之間不具有O形環。
- 如申請專利範圍第31項所述之將氣體分配到原子層沈積裝置之方法,進一步包括:於所述第一反應氣體閥與所述岐管出口之間的一位置,加熱所述第一反應氣體。
- 如申請專利範圍第31項所述之將氣體分配到原子層沈積裝置之方法,進一步包括:於所述岐管內旋流所述第一反應氣體。
- 如申請專利範圍第31項所述之將氣體分配到原子層沈積裝置之方法,進一步包括: 經由在一第二反應氣體閥與所述岐管出口之間不具有O形環的一第三通路,將一第二反應氣體發送到所述岐管;抑制所述第二反應氣體的氣流;以及經所述第三通路上游的一第四通路,將所述惰性氣體發送到所述岐管,所述第四通路於一第二惰性氣體閥與所述第三通路之間不具有O形環。
- 如申請專利範圍第34項所述之將氣體分配到原子層沈積裝置之方法,進一步包括:交替供給所述第一反應氣體與所述第二反應氣體到所述岐管。
- 如申請專利範圍第34項所述之將氣體分配到原子層沈積裝置之方法,進一步包括:在所述第一反應氣體發送到所述岐管以及所述第二反應氣體發送到所述岐管之間的至少一時刻,排空所述反應器。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US76024306P | 2006-01-19 | 2006-01-19 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200732501A TW200732501A (en) | 2007-09-01 |
TWI424084B true TWI424084B (zh) | 2014-01-21 |
Family
ID=38185832
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW96102030A TWI424084B (zh) | 2006-01-19 | 2007-01-19 | 高溫原子層沈積注入岐管 |
Country Status (6)
Country | Link |
---|---|
US (2) | US7918938B2 (zh) |
JP (1) | JP5280861B2 (zh) |
KR (2) | KR101522725B1 (zh) |
CN (1) | CN101370963B (zh) |
TW (1) | TWI424084B (zh) |
WO (1) | WO2007084493A2 (zh) |
Families Citing this family (411)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4790291B2 (ja) * | 2005-03-10 | 2011-10-12 | 東京エレクトロン株式会社 | 基板処理方法、記録媒体および基板処理装置 |
WO2007084493A2 (en) * | 2006-01-19 | 2007-07-26 | Asm America, Inc. | High temperature ald inlet manifold |
KR20090018290A (ko) * | 2007-08-17 | 2009-02-20 | 에이에스엠지니텍코리아 주식회사 | 증착 장치 |
KR101046520B1 (ko) * | 2007-09-07 | 2011-07-04 | 어플라이드 머티어리얼스, 인코포레이티드 | 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어 |
US7939447B2 (en) * | 2007-10-26 | 2011-05-10 | Asm America, Inc. | Inhibitors for selective deposition of silicon containing films |
KR100949914B1 (ko) * | 2007-11-28 | 2010-03-30 | 주식회사 케이씨텍 | 원자층 증착 장치 |
US7655543B2 (en) | 2007-12-21 | 2010-02-02 | Asm America, Inc. | Separate injection of reactive species in selective formation of films |
JP2009224590A (ja) * | 2008-03-17 | 2009-10-01 | Tokyo Electron Ltd | 基板処理装置 |
JP2009239082A (ja) * | 2008-03-27 | 2009-10-15 | Tokyo Electron Ltd | ガス供給装置、処理装置及び処理方法 |
FI122941B (fi) | 2008-06-12 | 2012-09-14 | Beneq Oy | Sovitelma ALD-reaktorin yhteydessä |
JP5231117B2 (ja) * | 2008-07-24 | 2013-07-10 | 株式会社ニューフレアテクノロジー | 成膜装置および成膜方法 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8486191B2 (en) | 2009-04-07 | 2013-07-16 | Asm America, Inc. | Substrate reactor with adjustable injectors for mixing gases within reaction chamber |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9441295B2 (en) * | 2010-05-14 | 2016-09-13 | Solarcity Corporation | Multi-channel gas-delivery system |
US8580044B2 (en) * | 2010-08-13 | 2013-11-12 | Samsung Austin Semiconductor, L.P. | Apparatus for agitating and evacuating byproduct dust from a semiconductor processing chamber |
TW201240087A (en) * | 2011-03-30 | 2012-10-01 | Anpec Electronics Corp | Power device with boundary trench structure |
CN107022789B (zh) * | 2011-05-27 | 2021-03-12 | 斯瓦高斯技术股份有限公司 | 在外延反应器中的硅衬底上外延沉积硅晶片的方法 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10707082B2 (en) | 2011-07-06 | 2020-07-07 | Asm International N.V. | Methods for depositing thin films comprising indium nitride by atomic layer deposition |
CN102868092A (zh) * | 2011-07-08 | 2013-01-09 | 苏州徕卡节能电气技术有限公司 | 双前门节电柜 |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9574268B1 (en) | 2011-10-28 | 2017-02-21 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
KR101308111B1 (ko) * | 2011-11-17 | 2013-09-26 | 주식회사 유진테크 | 복수의 배기포트를 포함하는 기판 처리 장치 및 방법 |
US8900364B2 (en) * | 2011-11-29 | 2014-12-02 | Intermolecular, Inc. | High productivity vapor processing system |
US9388492B2 (en) * | 2011-12-27 | 2016-07-12 | Asm America, Inc. | Vapor flow control apparatus for atomic layer deposition |
JP5868219B2 (ja) * | 2012-02-29 | 2016-02-24 | 株式会社フジキン | 流体制御装置 |
JP5513544B2 (ja) * | 2012-04-23 | 2014-06-04 | 東京エレクトロン株式会社 | 基板処理装置 |
US8985152B2 (en) * | 2012-06-15 | 2015-03-24 | Novellus Systems, Inc. | Point of use valve manifold for semiconductor fabrication equipment |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
KR102003768B1 (ko) * | 2012-11-13 | 2019-07-26 | 삼성디스플레이 주식회사 | 기상 증착 장치 및 유기 발광 표시 장치 제조 방법 |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) * | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
KR102173047B1 (ko) * | 2013-10-10 | 2020-11-03 | 삼성디스플레이 주식회사 | 기상 증착 장치 |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
JP5971870B2 (ja) * | 2013-11-29 | 2016-08-17 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及び記録媒体 |
TWI524388B (zh) * | 2013-12-27 | 2016-03-01 | Hitachi Int Electric Inc | A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium |
JP5859583B2 (ja) * | 2014-01-30 | 2016-02-10 | 株式会社日立国際電気 | 基板処理装置及び半導体装置の製造方法 |
US10683571B2 (en) * | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9284644B2 (en) * | 2014-02-27 | 2016-03-15 | Lam Research Corporation | Apparatus and method for improving wafer uniformity |
JP5764228B1 (ja) * | 2014-03-18 | 2015-08-12 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体 |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
JP5762602B1 (ja) * | 2014-06-24 | 2015-08-12 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
JP6001015B2 (ja) | 2014-07-04 | 2016-10-05 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法、プログラムおよび記録媒体 |
JP6446881B2 (ja) * | 2014-07-17 | 2019-01-09 | 東京エレクトロン株式会社 | ガス供給装置及びバルブ装置 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) * | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
JP5800969B1 (ja) | 2014-08-27 | 2015-10-28 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法、プログラム、記録媒体 |
WO2016043033A1 (ja) | 2014-09-17 | 2016-03-24 | 東京エレクトロン株式会社 | シャワーヘッド及び成膜装置 |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9963782B2 (en) * | 2015-02-12 | 2018-05-08 | Asm Ip Holding B.V. | Semiconductor manufacturing apparatus |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US11384432B2 (en) * | 2015-04-22 | 2022-07-12 | Applied Materials, Inc. | Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate |
US9972740B2 (en) | 2015-06-07 | 2018-05-15 | Tesla, Inc. | Chemical vapor deposition tool and process for fabrication of photovoltaic structures |
KR20160147482A (ko) * | 2015-06-15 | 2016-12-23 | 삼성전자주식회사 | 가스 혼합부를 갖는 반도체 소자 제조 설비 |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US10403515B2 (en) * | 2015-09-24 | 2019-09-03 | Applied Materials, Inc. | Loadlock integrated bevel etcher system |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
JP6333232B2 (ja) * | 2015-12-02 | 2018-05-30 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法およびプログラム |
US20170162366A1 (en) * | 2015-12-08 | 2017-06-08 | Asm Ip Holding B.V. | Film forming apparatus, recording medium, and film forming method |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10215317B2 (en) * | 2016-01-15 | 2019-02-26 | Lam Research Corporation | Additively manufactured gas distribution manifold |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
KR102632725B1 (ko) * | 2016-03-17 | 2024-02-05 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 플레이트 및 이를 포함하는 박막 증착 장치 및 박막 증착 방법 |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US9748434B1 (en) | 2016-05-24 | 2017-08-29 | Tesla, Inc. | Systems, method and apparatus for curing conductive paste |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
CN105839079B (zh) * | 2016-06-07 | 2019-05-14 | 江苏微导纳米装备科技有限公司 | 真空镀膜装置 |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10403474B2 (en) * | 2016-07-11 | 2019-09-03 | Lam Research Corporation | Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
KR102514043B1 (ko) | 2016-07-18 | 2023-03-24 | 삼성전자주식회사 | 반도체 소자의 제조 방법 |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9954136B2 (en) | 2016-08-03 | 2018-04-24 | Tesla, Inc. | Cassette optimized for an inline annealing system |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10115856B2 (en) | 2016-10-31 | 2018-10-30 | Tesla, Inc. | System and method for curing conductive paste using induction heating |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US10655222B2 (en) * | 2016-12-02 | 2020-05-19 | Applied Materials, Inc. | Thin film encapsulation processing system and process kit |
KR20180068582A (ko) * | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) * | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
CN110234793B (zh) | 2017-02-08 | 2020-10-02 | 皮考逊公司 | 具有可移动结构的沉积或清洁装置和操作方法 |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10400332B2 (en) * | 2017-03-14 | 2019-09-03 | Eastman Kodak Company | Deposition system with interlocking deposition heads |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
CN109321897B (zh) * | 2017-07-31 | 2022-01-07 | 北京北方华创微电子装备有限公司 | 一种原子层沉积系统及方法 |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US20190048467A1 (en) * | 2017-08-10 | 2019-02-14 | Applied Materials, Inc. | Showerhead and process chamber incorporating same |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
CN111279015B (zh) | 2017-08-24 | 2022-05-24 | 福吉纳米有限公司 | 合成、功能化、表面处理和/或封装粉末的制造工艺及其应用 |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10927459B2 (en) * | 2017-10-16 | 2021-02-23 | Asm Ip Holding B.V. | Systems and methods for atomic layer deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) * | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
JP7119779B2 (ja) * | 2018-08-30 | 2022-08-17 | 住友金属鉱山株式会社 | 成膜装置と成膜方法 |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
CN111286721A (zh) * | 2018-12-06 | 2020-06-16 | 北京北方华创微电子装备有限公司 | 一种薄膜沉积方法及设备 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
CN113196444B (zh) * | 2018-12-20 | 2024-07-02 | 应用材料公司 | 用于供应改良的气流至处理腔室的处理空间的方法和设备 |
US11078568B2 (en) * | 2019-01-08 | 2021-08-03 | Applied Materials, Inc. | Pumping apparatus and method for substrate processing chambers |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
CN113396240A (zh) * | 2019-03-11 | 2021-09-14 | 应用材料公司 | 用于基板处理腔室的盖组件设备及方法 |
US11492701B2 (en) | 2019-03-19 | 2022-11-08 | Asm Ip Holding B.V. | Reactor manifolds |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
TW202428929A (zh) * | 2019-05-28 | 2024-07-16 | 美商應用材料股份有限公司 | 具有背側泵送的熱處理腔室蓋 |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
KR20210017147A (ko) | 2019-08-07 | 2021-02-17 | 주성엔지니어링(주) | 가스 유입 장치 및 이를 이용한 기판 처리 장치 |
US11631571B2 (en) * | 2019-08-12 | 2023-04-18 | Kurt J. Lesker Company | Ultra high purity conditions for atomic scale processing |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
JP7472272B2 (ja) * | 2019-09-10 | 2024-04-22 | アプライド マテリアルズ インコーポレイテッド | 蒸気供給方法および装置 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210048408A (ko) * | 2019-10-22 | 2021-05-03 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 증착 반응기 매니폴드 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146691A (zh) * | 2020-02-13 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 氣體分配總成、噴淋板總成、及調整至反應室之氣體的傳導率之方法 |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
WO2021257773A1 (en) * | 2020-06-17 | 2021-12-23 | Applied Materials, Inc. | High temperature chemical vapor deposition lid |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
US11862475B2 (en) * | 2020-10-15 | 2024-01-02 | Applied Materials, Inc. | Gas mixer to enable RPS purging |
US11584993B2 (en) | 2020-10-19 | 2023-02-21 | Applied Materials, Inc. | Thermally uniform deposition station |
US11401608B2 (en) * | 2020-10-20 | 2022-08-02 | Sky Tech Inc. | Atomic layer deposition equipment and process method |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
FI129868B (en) * | 2021-03-30 | 2022-10-14 | Beneq Oy | Gas supply cup and gas manifold assembly |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
TWI814435B (zh) * | 2022-06-09 | 2023-09-01 | 友達光電股份有限公司 | 具抽氣結構的箱體 |
CN118136544A (zh) * | 2022-12-02 | 2024-06-04 | 盛美半导体设备(上海)股份有限公司 | 一种整流装置 |
CN116103640B (zh) * | 2023-04-07 | 2023-06-27 | 上海陛通半导体能源科技股份有限公司 | 一种ald反应腔装置及ald镀膜设备 |
CN116641041A (zh) * | 2023-05-06 | 2023-08-25 | 拓荆科技(上海)有限公司 | 一种气体分配装置 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW432119B (en) * | 1998-08-07 | 2001-05-01 | Samsung Electronics Co Ltd | Method for manufacturing thin film using atomic layer deposition |
TW511135B (en) * | 2000-12-06 | 2002-11-21 | Angstron Systems Inc | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
Family Cites Families (72)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4747367A (en) * | 1986-06-12 | 1988-05-31 | Crystal Specialties, Inc. | Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition |
US4951601A (en) * | 1986-12-19 | 1990-08-28 | Applied Materials, Inc. | Multi-chamber integrated process system |
US5080549A (en) * | 1987-05-11 | 1992-01-14 | Epsilon Technology, Inc. | Wafer handling system with Bernoulli pick-up |
DE3715644A1 (de) | 1987-05-11 | 1988-12-01 | Fraunhofer Ges Forschung | Molekularstrahlepitaxieanlage |
US4828224A (en) * | 1987-10-15 | 1989-05-09 | Epsilon Technology, Inc. | Chemical vapor deposition system |
JPS6411320A (en) * | 1987-07-06 | 1989-01-13 | Toshiba Corp | Photo-cvd device |
US5166092A (en) * | 1988-01-28 | 1992-11-24 | Fujitsu Limited | Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy |
US5071460A (en) * | 1988-03-04 | 1991-12-10 | Nippon Telegraph And Telephone Corporation | Process for the preparation of fluoride glass and process for the preparation of optical fiber preform using the fluoride glass |
JPH01266715A (ja) * | 1988-04-18 | 1989-10-24 | Hitachi Ltd | 薄膜成長装置 |
US4949783A (en) * | 1988-05-18 | 1990-08-21 | Veeco Instruments, Inc. | Substrate transport and cooling apparatus and method for same |
EP0343530B1 (de) * | 1988-05-24 | 2001-11-14 | Unaxis Balzers Aktiengesellschaft | Vakuumanlage |
US4889609A (en) * | 1988-09-06 | 1989-12-26 | Ovonic Imaging Systems, Inc. | Continuous dry etching system |
US4907534A (en) * | 1988-12-09 | 1990-03-13 | Siemens Aktiengesellschaft | Gas distributor for OMVPE Growth |
WO1990010092A1 (en) | 1989-02-24 | 1990-09-07 | Massachusetts Institute Of Technology | A modified stagnation flow apparatus for chemical vapor deposition providing excellent control of the deposition |
US5186718A (en) * | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
KR0155158B1 (ko) * | 1989-07-25 | 1998-12-01 | 카자마 젠쥬 | 종형 처리 장치 및 처리방법 |
JPH0364465A (ja) * | 1989-08-02 | 1991-03-19 | Fujitsu Ltd | 有機金属気相成長法 |
JPH03166719A (ja) * | 1989-11-27 | 1991-07-18 | Mitsubishi Electric Corp | 気相結晶成長装置 |
DE4006489A1 (de) * | 1990-03-02 | 1991-09-05 | Hoechst Ag | Vorrichtung zum herstellen duenner schichten aus metallmischoxiden aus organischen metallverbindungen auf einem substrat |
CA2016970A1 (en) * | 1990-05-16 | 1991-11-16 | Prasad N. Gadgil | Inverted diffusion stagnation point flow reactor for vapor deposition of thin films |
JPH04145621A (ja) * | 1990-10-08 | 1992-05-19 | Furukawa Electric Co Ltd:The | 半導体薄膜気相成長装置 |
US5286296A (en) * | 1991-01-10 | 1994-02-15 | Sony Corporation | Multi-chamber wafer process equipment having plural, physically communicating transfer means |
JPH04308090A (ja) * | 1991-04-05 | 1992-10-30 | M B K Maikurotetsuku:Kk | 気相化学反応生成装置のロードロック機構 |
US5199483A (en) * | 1991-05-15 | 1993-04-06 | Applied Materials, Inc. | Method and apparatus for cooling wafers |
US5192371A (en) * | 1991-05-21 | 1993-03-09 | Asm Japan K.K. | Substrate supporting apparatus for a CVD apparatus |
US5223001A (en) * | 1991-11-21 | 1993-06-29 | Tokyo Electron Kabushiki Kaisha | Vacuum processing apparatus |
US5248253A (en) * | 1992-01-28 | 1993-09-28 | Digital Equipment Corporation | Thermal processing furnace with improved plug flow |
JPH05218176A (ja) * | 1992-02-07 | 1993-08-27 | Tokyo Electron Tohoku Kk | 熱処理方法及び被処理体の移載方法 |
US5229615A (en) * | 1992-03-05 | 1993-07-20 | Eaton Corporation | End station for a parallel beam ion implanter |
GB2264957B (en) * | 1992-03-12 | 1995-09-20 | Bell Communications Res | Deflected flow in a chemical vapor deposition cell |
JP3183575B2 (ja) * | 1992-09-03 | 2001-07-09 | 東京エレクトロン株式会社 | 処理装置および処理方法 |
JP3405466B2 (ja) * | 1992-09-17 | 2003-05-12 | 富士通株式会社 | 流体切替弁および半導体装置の製造装置 |
JP3186262B2 (ja) * | 1992-10-14 | 2001-07-11 | ソニー株式会社 | 半導体装置の製造方法 |
KR100302012B1 (ko) * | 1992-11-06 | 2001-11-30 | 조셉 제이. 스위니 | 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크 |
JP2548062B2 (ja) * | 1992-11-13 | 1996-10-30 | 日本エー・エス・エム株式会社 | 縦型熱処理装置用ロードロックチャンバー |
US5516732A (en) * | 1992-12-04 | 1996-05-14 | Sony Corporation | Wafer processing machine vacuum front end method and apparatus |
JP3292540B2 (ja) * | 1993-03-03 | 2002-06-17 | 東京エレクトロン株式会社 | 熱処理装置 |
JP3218488B2 (ja) * | 1993-03-16 | 2001-10-15 | 東京エレクトロン株式会社 | 処理装置 |
JPH07122500A (ja) * | 1993-10-28 | 1995-05-12 | Fujitsu Ltd | ガス機器及びこれを利用したガス供給装置 |
US5538390A (en) * | 1993-10-29 | 1996-07-23 | Applied Materials, Inc. | Enclosure for load lock interface |
JP3042335B2 (ja) * | 1994-10-25 | 2000-05-15 | 信越半導体株式会社 | 気相成長方法及びその装置 |
US5586585A (en) * | 1995-02-27 | 1996-12-24 | Asyst Technologies, Inc. | Direct loadlock interface |
JP3380091B2 (ja) * | 1995-06-09 | 2003-02-24 | 株式会社荏原製作所 | 反応ガス噴射ヘッド及び薄膜気相成長装置 |
US5609459A (en) * | 1995-07-06 | 1997-03-11 | Brooks Automation, Inc. | Door drive mechanisms for substrate carrier and load lock |
JP3258885B2 (ja) | 1995-12-27 | 2002-02-18 | 東京エレクトロン株式会社 | 成膜処理装置 |
US5661093A (en) * | 1996-09-12 | 1997-08-26 | Applied Materials, Inc. | Method for the stabilization of halogen-doped films through the use of multiple sealing layers |
US5789027A (en) * | 1996-11-12 | 1998-08-04 | University Of Massachusetts | Method of chemically depositing material onto a substrate |
US6432479B2 (en) * | 1997-12-02 | 2002-08-13 | Applied Materials, Inc. | Method for in-situ, post deposition surface passivation of a chemical vapor deposited film |
KR100538130B1 (ko) * | 1998-03-05 | 2005-12-22 | 더 스와겔로크 컴퍼니 | 모듈형 표면 실장 매니폴드 |
US6079353A (en) * | 1998-03-28 | 2000-06-27 | Quester Technology, Inc. | Chamber for reducing contamination during chemical vapor deposition |
JP2000172343A (ja) * | 1998-12-02 | 2000-06-23 | Hitachi Ltd | ガス供給装置と成膜装置 |
WO2001029282A2 (en) * | 1999-10-20 | 2001-04-26 | Cvd Systems, Inc. | Fluid processing system |
DE10060002B4 (de) * | 1999-12-07 | 2016-01-28 | Komatsu Ltd. | Vorrichtung zur Oberflächenbehandlung |
ATE249532T1 (de) * | 2000-02-04 | 2003-09-15 | Aixtron Ag | Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat |
US6303501B1 (en) * | 2000-04-17 | 2001-10-16 | Applied Materials, Inc. | Gas mixing apparatus and method |
KR100444149B1 (ko) * | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | Ald 박막증착설비용 클리닝방법 |
US20020072164A1 (en) * | 2000-09-13 | 2002-06-13 | Applied Materials, Inc. | Processing chamber with multi-layer brazed lid |
US6527855B2 (en) * | 2000-10-10 | 2003-03-04 | Rensselaer Polytechnic Institute | Atomic layer deposition of cobalt from cobalt metallorganic compounds |
US6905547B1 (en) * | 2000-12-21 | 2005-06-14 | Genus, Inc. | Method and apparatus for flexible atomic layer deposition |
US20080102203A1 (en) * | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
US7780789B2 (en) * | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Vortex chamber lids for atomic layer deposition |
US6916398B2 (en) * | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
US20080102208A1 (en) * | 2001-10-26 | 2008-05-01 | Dien-Yeh Wu | Vortex chamber lids for atomic layer deposition |
AU2003238853A1 (en) * | 2002-01-25 | 2003-09-02 | Applied Materials, Inc. | Apparatus for cyclical deposition of thin films |
US6899507B2 (en) * | 2002-02-08 | 2005-05-31 | Asm Japan K.K. | Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
EP1420080A3 (en) * | 2002-11-14 | 2005-11-09 | Applied Materials, Inc. | Apparatus and method for hybrid chemical deposition processes |
US8152922B2 (en) * | 2003-08-29 | 2012-04-10 | Asm America, Inc. | Gas mixer and manifold assembly for ALD reactor |
US7408225B2 (en) * | 2003-10-09 | 2008-08-05 | Asm Japan K.K. | Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms |
US20070119371A1 (en) * | 2005-11-04 | 2007-05-31 | Paul Ma | Apparatus and process for plasma-enhanced atomic layer deposition |
WO2007084493A2 (en) * | 2006-01-19 | 2007-07-26 | Asm America, Inc. | High temperature ald inlet manifold |
US20070194470A1 (en) * | 2006-02-17 | 2007-08-23 | Aviza Technology, Inc. | Direct liquid injector device |
-
2007
- 2007-01-17 WO PCT/US2007/001114 patent/WO2007084493A2/en active Application Filing
- 2007-01-17 JP JP2008551324A patent/JP5280861B2/ja active Active
- 2007-01-17 KR KR1020147014131A patent/KR101522725B1/ko active IP Right Grant
- 2007-01-17 CN CN2007800027939A patent/CN101370963B/zh active Active
- 2007-01-17 US US11/654,372 patent/US7918938B2/en active Active
- 2007-01-19 TW TW96102030A patent/TWI424084B/zh active
-
2008
- 2008-08-18 KR KR1020087020164A patent/KR101474879B1/ko active IP Right Grant
-
2011
- 2011-03-21 US US13/053,014 patent/US8372201B2/en active Active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW432119B (en) * | 1998-08-07 | 2001-05-01 | Samsung Electronics Co Ltd | Method for manufacturing thin film using atomic layer deposition |
TW511135B (en) * | 2000-12-06 | 2002-11-21 | Angstron Systems Inc | Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber |
Also Published As
Publication number | Publication date |
---|---|
CN101370963A (zh) | 2009-02-18 |
KR101522725B1 (ko) | 2015-05-26 |
JP5280861B2 (ja) | 2013-09-04 |
KR20140081895A (ko) | 2014-07-01 |
TW200732501A (en) | 2007-09-01 |
US20080202416A1 (en) | 2008-08-28 |
CN101370963B (zh) | 2012-03-28 |
KR101474879B1 (ko) | 2014-12-19 |
KR20080106520A (ko) | 2008-12-08 |
US7918938B2 (en) | 2011-04-05 |
US20110162580A1 (en) | 2011-07-07 |
US8372201B2 (en) | 2013-02-12 |
JP2009524244A (ja) | 2009-06-25 |
WO2007084493A2 (en) | 2007-07-26 |
WO2007084493A3 (en) | 2007-10-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI424084B (zh) | 高溫原子層沈積注入岐管 | |
TWI490366B (zh) | Cvd腔室之流體控制特徵結構 | |
US12000047B2 (en) | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition | |
US11053587B2 (en) | Radical source design for remote plasma atomic layer deposition | |
TWI689615B (zh) | 供應製程氣體及處理半導體晶圓的設備 | |
JP6360849B2 (ja) | 多レベルシャワーヘッド設計 | |
US8465801B2 (en) | Gas mixer and manifold assembly for ALD reactor | |
US6148761A (en) | Dual channel gas distribution plate | |
KR20180070971A (ko) | 기판 처리 장치 | |
US6616766B2 (en) | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes | |
WO2014198134A1 (zh) | 一种用于金属有机化学气相沉积反应器的管道冷却式气体分布装置 | |
KR20090031338A (ko) | 샤워헤드를 구비한 반도체 소자 제조 장비 | |
US20060112877A1 (en) | Nozzle and plasma apparatus incorporating the nozzle | |
CN117051376A (zh) | 用于薄膜沉积的系统、设备和方法 | |
KR100972802B1 (ko) | 샤워헤드를 구비한 반도체 소자 제조 장비 | |
US20070045239A1 (en) | Apparatus and method for processing a microfeature workpiece using a plasma | |
CN116368260A (zh) | 具有一体式转向流动路径的喷头 |