JP6360849B2 - 多レベルシャワーヘッド設計 - Google Patents

多レベルシャワーヘッド設計 Download PDF

Info

Publication number
JP6360849B2
JP6360849B2 JP2016069200A JP2016069200A JP6360849B2 JP 6360849 B2 JP6360849 B2 JP 6360849B2 JP 2016069200 A JP2016069200 A JP 2016069200A JP 2016069200 A JP2016069200 A JP 2016069200A JP 6360849 B2 JP6360849 B2 JP 6360849B2
Authority
JP
Japan
Prior art keywords
plate
gas
gas passages
channels
temperature control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016069200A
Other languages
English (en)
Other versions
JP2016164994A (ja
Inventor
ドナルド ジェー. ケー. オルガド,
ドナルド ジェー. ケー. オルガド,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016164994A publication Critical patent/JP2016164994A/ja
Application granted granted Critical
Publication of JP6360849B2 publication Critical patent/JP6360849B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Description

本発明の実施形態は、一般に、基板への化学気相堆積(CVD)のための装置および方法に関し、特に、シャワーヘッドを出る前に混合せずに多数の前駆体を送出するための、まとめて固定された多数のプレートで構成されたシャワーヘッドアセンブリに関する。
III−V族膜は、短波長発光ダイオード(LED)、レーザダイオード(LD)、ならびに高電力、高周波、高温トランジスタおよび集積回路を含む電子デバイスなどの様々な半導体デバイスの開発および製造において重要性を増しつつある。例えば、短波長(例えば、青/緑から紫外)LEDはIII族窒化物半導体材料の窒化ガリウム(GaN)を使用して製造される。GaNを使用して製造された短波長LEDは、II−VI族材料などの非窒化物半導体材料を使用して製造された短波長LEDよりも著しく大きい効率と長い動作寿命とを備えることができることが観察されている。
GaNなどのIII族窒化物を堆積させるために使用されている1つの方法は有機金属化学気相堆積(MOCVD)である。この化学気相堆積法は、一般に、ガリウム(Ga)などのIII族からの少なくとも1つの元素を含む第1の前駆体ガスの安定性を保証するために温度制御された環境を有するリアクタで実施される。アンモニア(NH)などの第2の前駆体ガスは、III族窒化物を形成するのに必要とされる窒素を供給する。2つの前駆体ガスはリアクタ内の処理ゾーンに注入され、処理ゾーン中で混合して加熱基板の方に移動する。キャリアガスを使用して、前駆体ガスを基板の方に移送するのを支援することができる。前駆体は加熱基板の表面で反応して、基板表面にGaNなどのIII族窒化物層を形成する。膜の品質は一部は堆積均一性によって決まり、そしてまた、その堆積均一性は、基板の端から端まで均一な温度で基板の端から端まで前駆体を均一に混合することによって決まる。
多数の基板を基板キャリアに配列することができ、各基板は50mmから100mm以上に及ぶ直径を有することができる。より大きい基板および/またはより多くの基板およびより大きい堆積区域の上で前駆体を均一に混合することが、歩留およびスループットを向上させるために望ましい。これらの要因は、電子デバイスを生成するコスト、したがって、市場におけるデバイス製造業者の競争力に直接影響を与えるので重要である。
LEDまたはLD形成リアクタの処理ゾーンでしばしば見いだされる高温ハードウェア構成要素と前駆体ガスとの相互作用により、一般に、前駆体は分解して高温表面上に堆積する。一般に、高温リアクタ表面は、基板を加熱するために使用される熱源からの放射によって形成される。高温表面での前駆体材料の堆積は、その堆積がガス分配デバイスなどの前駆体分配構成要素内または上で生じる場合、特に問題となることがある。前駆体分配構成要素への堆積は、時間とともに流量分布均一性に影響を与える。したがって、ガス分配デバイスを堆積プロセスの間冷却し、それにより、MOCVD前駆体またはHVPE前駆体が分解させられてガス分配デバイスの性能に影響を与える温度まで加熱される可能性を低減することができる。
所望の堆積区域が増大するにつれて、多数の処理ガスを基板に送出するように構成された従来のガス分配デバイスのサイズおよび複雑度が増加し、それにより、生産コストおよび搬送コストの著しい増加がもたらされる。例えば、多数の前駆体ガスの分配デバイスでは、複数のマニホルドおよびガス通路がいくつかの大きいプレートに形成され、次に、プレートは、積み重ねられ恒久的に取り付けられて多数の前駆体ガスの分配デバイスを形成することができる。ガス分配デバイスが1m以上の堆積区域を有効範囲に含むように増大し、ガス分配通路の数が総計で5000を超えるとき、このデバイスを生産する複雑度およびコストは劇的に増加する。したがって、ガス分配デバイスの複雑度および生産コストを低減しながらより大きい基板およびより大きい堆積区域の上に引き続き堆積される膜の均一性を改善するために改善されたガス分配デバイスの必要性がある。
本発明の1つの実施形態では、シャワーヘッドアセンブリは、第1のプレートと、ガスマニホルドを形成するために第1のプレートに結合される第2のプレートと、第2のプレートに結合され、その中に配置された1つまたは複数の温度制御チャネルを有する第3のプレートと、第2のプレートと第3のプレートとの間に配置された第4のプレートとを含む。第3のプレートは、それを貫通して形成された第1の複数のガス通路および第2の複数のガス通路を有する。第4のプレートは、その中に形成され、第3のプレートの第2の複数のガス通路に流体的に結合される、複数のチャネルを有し、第4のプレートは、それを貫通して形成され、ガスマニホルドを第3のプレートの第1の複数のガス通路に流体的に結合させる複数のガス通路を有する。
別の実施形態では、シャワーヘッドアセンブリは、第1のプレートと、複数のガスマニホルドを形成するために第1のプレートに結合される第2のプレートと、第2のプレートに結合されて、その中に配置された1つまたは複数の温度制御チャネルを有する第3のプレートと、第2のプレートと第3のプレートとの間に配置された第4のプレートとを含む。第3のプレートは、それを貫通して形成された第1の複数のガス通路および第2の複数のガス通路を有する。第4のプレートは、その中に形成され、第3のプレートの第2の複数のガス通路に流体的に結合される、複数のチャネルを有する。第4のプレートは、それを貫通して形成され、複数のガスマニホルドを第3のプレートの第1の複数のガス通路に流体的に結合させる複数のガス通路を有する。
さらなる別の実施形態では、シャワーヘッドアセンブリは、第1のプレートと、第1のガスマニホルドおよび第2のガスマニホルドを形成するために第1のプレートに結合される第2のプレートと、第2のプレートに結合され、その中に配置された1つまたは複数の温度制御チャネルを有する第3のプレートと、第2のプレートと第3のプレートとの間に配置された第4のプレートとを含む。第3のプレートは、それを貫通して形成された第1の複数のガス通路および第2の複数のガス通路を有する。第4のプレートは、その中に形成され、第3のプレートの第2の複数のガス通路に流体的に結合される、複数のチャネルを有する。第4のプレートは、それを貫通して形成され、第3のプレートの第1の複数のガス通路に流体的に結合される複数のガス通路を有する。第4のプレートは、複数のチャネルおよび複数のガス通路を第1の領域および第2の領域に分離する1つまたは複数の壁を有する。第1の領域の複数のガス通路は第1のガスマニホルドに流体的に結合され、第2の領域の複数のガス通路は第2のガスマニホルドに流体的に結合される。
本発明の上記の特徴が詳細に理解されるように、上述で簡単に要約した本発明のより詳細な説明を、実施形態を参照することによって行い、実施形態の一部を添付図面に示す。しかし、添付図面は本発明の典型的な実施形態のみを示すものであり、したがって、本発明は他の均等に有効な実施形態を許容することができるので本発明の範囲を限定するものと考えるべきではないことに留意されたい。
本明細書で説明する実施形態による、窒化物化合物半導体デバイスを製造するための処理システムを示す概略平面図である。 1つの実施形態による処理チャンバの概略断面図である。 1つの実施形態によるシャワーヘッドの概略断面図である。 図3Aに示した中間プレートの概略上面図である。 図3Aに示したダイバータプレートの概略上面図である。 別の実施形態によるシャワーヘッドの概略断面図である。 図4Aに示した中間プレートの概略上面図である。 図4Aに示した底部プレートの概略上面図である。 図4Aに示したダイバータプレートの概略上面図である。 図3A〜3Cに示したシャワーヘッドの分解直交図である。 図4A〜4Dに示したシャワーヘッドの分解直交図である。
本発明の実施形態は、一般に、多数の前駆体ガスを処理チャンバの処理領域に別々に供給することによって膜を堆積するのに利用することができる方法および装置を提供する。一般に、この装置はまとめて固定された多数のプレートで製作されたシャワーヘッドアセンブリである。シャワーヘッドアセンブリは、最上部プレートおよび中間プレートによって境界をつけられたガスマニホルドと、中間プレートおよび底部熱交換プレートによって境界をつけられたダイバータプレートとを含む。第1の処理ガスはガスマニホルドに入り、ダイバータプレートによってそらされて底部プレートを通る。第2のガスはガスマニホルドに入り、第1および第2のガスがシャワーヘッドを出る前に混合しないようにダイバータプレートによってそらされて底部プレートを通る。1つの実施形態では、第1の処理ガスは、シャワーヘッドの中央領域および外側領域を通して異なる流量および/または圧力で分配される。その上、第2の処理ガスは、シャワーヘッドの中央領域および外側領域を通して異なる流量および/または圧力で分配することができる。
図1は、本明細書で説明する実施形態による、半導体デバイスを製造するための1つまたは複数の処理チャンバ102を含む処理システム100の1つの実施形態を示す概略平面図である。処理システム100は、移送チャンバ106、移送チャンバ106に結合された処理チャンバ102、移送チャンバ106に結合されたロードロックチャンバ108、移送チャンバ106に結合された、基板を格納するためのバッチロードロックチャンバ109、およびロードロックチャンバ108に結合された、基板をロードするためのロードステーション110を含む。移送チャンバ106は、ロードロックチャンバ108と処理チャンバ102との間で基板を取り上げて移送するように動作可能なロボットアセンブリ(図示せず)を収容する。ロボットアセンブリは、さらに、処理チャンバ102またはロードロックチャンバ108のいずれかから処理システム100の真空環境で基板を格納するためのバッチロードロックチャンバに基板を移送するように動作可能である。単一の処理チャンバ102が示されているが、1つを超える処理チャンバ102を移送チャンバ106に結合させることもできる。処理チャンバ102はMOCVDチャンバまたはHVPEチャンバとすることができる。その上、クラスタツールが示されているが、本明細書で説明する実施形態は直線的トラックシステムを使用して実施することができる。
処理システム100において、ロボットアセンブリ(図示せず)は基板を装填した基板キャリアプレート112を処理チャンバ102に移送して、堆積が行われる。一部またはすべての堆積ステップが完了した後、基板キャリアプレート112は移送ロボットを介して処理チャンバ102からロードロックチャンバ108に移送して戻される。次に、基板キャリアプレート112をロードステーション110に移送することができる。基板キャリアプレート112は、MOCVDチャンバ102でさらに処理する前に、ロードロックチャンバ108またはバッチロードロックチャンバ109のいずれかに格納することができる。
各処理チャンバ102は、一般に処理チャンバ本体150を含み、この処理チャンバ本体150は、基板が処理を受けるために位置決めされる処理領域を囲む。各処理チャンバ102は、ガス前駆体をチャンバ本体150に送出する化学デリバリモジュール152と、処理チャンバ102ごとの電気システムを含む電気モジュール154とをさらに含む。
システムコントローラ160は、処理システム100の作業と動作パラメータとを制御する。システムコントローラ160は、コンピュータプロセッサと、プロセッサに結合されたコンピュータ可読メモリとを含む。プロセッサは、メモリに記憶されたコンピュータプログラムなどのシステム制御ソフトウェアを実行する。
図2は、1つの実施形態による処理チャンバ102の概略断面図である。処理チャンバ102は、シャワーヘッドアセンブリ201がチャンバ102の上端部に配置されたチャンバ本体202と、チャンバ102の下端部に配置された下部ドーム219とを含む。シャワーヘッドアセンブリ201の内部詳細が、図3A〜Cおよび4A〜Dに関して図示および説明される。
基板支持体214がチャンバ本体202内に配置され、その結果、処理容積部208が、基板支持体214、シャワーヘッドアセンブリ201、およびチャンバ本体202の壁の間に画定される。前駆体ガス、キャリアガス、洗浄ガス、および/またはパージガスを処理容積部208に送出するために、化学デリバリモジュール203がシャワーヘッドアセンブリ201に結合される。その上、熱交換システム270が、シャワーヘッドアセンブリ201の温度を調整するのに役立つように熱交換流体をシャワーヘッドアセンブリ201を通して流すためにシャワーヘッドアセンブリ201に結合される。
遠隔プラズマ源226は、化学デリバリモジュール203とシャワーヘッドアセンブリ201との間に結合することができる。洗浄導管204は、洗浄ガスを処理容積部208に送出するためにシャワーヘッドアセンブリ201を貫通して配置することができる。例示の洗浄ガスは、塩素含有ガス、フッ素含有ガス、ヨウ素含有ガス、臭素含有ガス、窒素含有ガス、および/または他の反応性ガスである。真空システム212は、処理容積部208を排気するためにチャンバ本体202に結合される。処理の間、基板キャリアプレート112は、処理容積部208内の基板支持体214に位置決めされる。アクチュエータアセンブリ275は基板支持体214に取り付けられ、処理位置とローディング位置との間で基板支持体214をシャワーヘッドアセンブリ201に対して進退させるように構成される。その上、アクチュエータアセンブリは、処理の間、基板支持体214を中心軸「CA」のまわりに回転させるように構成することができる。処理容積部208に隣接するシャワーヘッドアセンブリ201の表面から基板キャリアプレート112までの距離は、処理の間、好ましくは、約4mmから約41mmの範囲にわたる。いくつかの実施形態では、基板支持体214は、内部に配置されて、基板支持体214、したがって基板支持体214条に位置決めされた基板キャリアプレート112ならびに基板キャリアプレート112に位置決めされた基板240の温度を制御するように構成された加熱要素(例えば、抵抗加熱要素(図示せず))を有する。
下部容積部210は、基板支持体214と下部ドーム219との間に画定される。基板支持体214は、上昇処理位置で基板キャリアプレート112を支持しているように示されているが、例えば、基板キャリアプレート112を、基板240とともに、ロードまたはアンロードすることができる下部位置に移動させることができる。排気リング220は、堆積が下部ドーム219で生じないようにするのに役立ち、さらに、チャンバ102からの排気ガスを排気口209に導くのに役立つように基板キャリアプレート112の周辺のまわりに配置することができる。下部ドーム219は、基板240の放射加熱のために光が通過できるように高純度石英などの透明材料で製作することができる。放射加熱は、下部ドーム219の下方に配置された複数の内側ランプ221Aおよび外側ランプ221Bで行うことができる。リフレクタ266は、内側および外側ランプ221A、221Bによって供給される放射エネルギーにチャンバ102をさらすのを制御するのに役立つように使用することができる。ランプの追加リング(図示せず)を、基板240のより細かい温度制御のために使用することができる。
パージガスは、化学デリバリモジュール203から、チャンバ本体202の底部の近くに配置されたパージガス管283を通して送出することができる。パージガスは、チャンバ102の下部容積部210に入り、基板キャリアプレート112および排気リング220を通り越して上方に流れて、環状排気チャネル205のまわりに配置された多数の排気口209に入る。基板支持体214と排気リング220との間のパージガスの上昇流れは、堆積ガスが下部容積部210に入らないようにし、下部ドーム219の表面への膜堆積を防止するのに役立つ。
上記のように、化学デリバリモジュール203は処理チャンバ102に化学物質を送出する。反応性ガス(例えば、前駆体ガス)、キャリアガス、パージガス、および洗浄ガスは、化学デリバリモジュール203から供給ラインを通してチャンバ102に供給することができる。一般に、ガスの各々の供給ラインは、関連するラインへのガスの流れを自動または手動で遮断するのに使用することができる遮断バルブと、供給ラインを通るガスまたは液体の流量を測定する質量流量コントローラまたは他のタイプのコントローラとを含む。ガスの各々の供給ラインは、前駆体濃度をモニタし、実時間フィードバックを行うための濃度モニタをさらに含むことができる。前駆体ガス濃度を制御するために背圧調節器を含むことができる。迅速で正確なバルブ切換機能のためにバルブ切換制御を使用することができる。ガスラインの湿度センサは水レベルを測定し、システムソフトウェアにフィードバックを行うことができ、その結果、オペレータに警報/警告を与えることができる。ガスラインは、さらに、前駆体および洗浄ガスが供給ライン中で凝縮しないように加熱することができる。
図3Aは、1つの実施形態によるシャワーヘッド201の概略断面図である。図5は、図3Aに示したシャワーヘッド201の概略分解直交図である。シャワーヘッドアセンブリ201は、中間プレート320に結合された最上部プレート310を含む。底部プレート330は中間プレート320の下方に配置される。最上部プレート310は、最上部プレート310と中間プレート320との間に形成されたガスマニホルド325に第1の処理ガスを送出するために最上部プレート310を貫通して形成された1つまたは複数のガス入口304を有するアルミニウムまたはステンレス鋼プレートとすることができる。図3Bは、図3Aに示した中間プレート320の概略上面図である。中間プレート320は、そこに形成された穴322と、それを貫通して形成された複数のガス通路324とを有するアルミニウムまたはステンレス鋼プレートとすることができる。複数のガス通路324は、図示のように複数の列に配列することができる。マニホルド325は、中間プレート320の止り穴314に係合する複数のねじ312または他の好適な締結具を使用して最上部プレート310を中間プレート320に結合させることによって形成することができる。最上部プレート310および中間プレート320の合せ面は、それらが取り付けられたとき、金属−金属シールが最上部プレート310と中間プレート320との間に維持され、その結果、マニホルド325に入る流体がシャワーヘッドアセンブリ201の周辺のまわりで密閉されるように機械加工することができる。代替として、他のシーリング手段、例えば、Oリングなどが流体シールを維持するために使用される。
第1の処理ガスは、化学デリバリモジュール203によって1つまたは複数のガス入口304を通してガスマニホルド325に送出することができる。第1の処理ガスはマニホルド325中で混合し、複数のガス通路324を通ってマニホルド325を出ていく。1つの例では、第1の処理ガスは、好適なガリウム(Ga)前駆体(例えば、トリメチルガリウム(TMG)、トリエチルガリウム(TEG))、好適なアルミニウム前駆体(例えば、トリメチルアルミニウム(TMA))、または好適なインジウム前駆体(例えば、トリメチルインジウム(TMI))などの有機金属前駆体である。
図3Aに示すように、底部プレート330は、内部に穴336が形成されている第2のプレート334に結合された第1のプレート332を含む。第1のプレート332は、それを貫通して形成された複数の孔333を有するアルミニウムまたはステンレス鋼プレートとすることができる。第2のプレート334は、それを貫通して形成されて、各々がそれぞれの孔333と合致する複数の孔335を有するアルミニウムまたはステンレス鋼プレートとすることができる。孔333、335は、図示のように複数の列に配列することができる。複数の導管338は、各対の位置合わせされた孔333、335内に配置され、第1のプレート332および第2のプレート334に、例えば、ろう付けまたは溶接などによって結合される。第1のプレート332および第2のプレート334は、単一チャネル337が、それを通過する導管338を有し、第1のプレート332と第2のプレート334との間に形成され、シャワーヘッドアセンブリ201の周辺のまわりで密閉されるように、例えば、ろう付けまたは溶接などによって互いに結合される。その上、1つまたは複数の流体入口331および流体出口339が底部プレート330に形成され、チャネル337を熱交換システム270の入口および出口に流体的に結合させる。
熱交換流体は、熱交換システム270から1つまたは複数の流体入口331を通してチャネル337に送出することができる。次に、熱交換流体は導管338を囲むチャネル337を通って循環する。次に、熱交換流体は、1つまたは複数の流体出口339を通ってチャネル337を出ていき、熱交換システム270に戻る。好適な熱交換流体には、限定はしないが、水、水ベースエチレングリコール混合物、パーフルオロポリエーテル(例えば、GALDEN(登録商標)流体)、オイルベース熱移送流体、または類似の流体が含まれる。
シャワーヘッド201は、中間プレート320と底部プレート330との間に配置されたダイバータプレート340をさらに含む。ダイバータプレート340は、一般に、シャワーヘッド201を通って流れる2つの別個のガスの間の分離を維持する。図3Cは、図3Aに示したダイバータプレート340の概略上面図である。ダイバータプレート340は、ポリマー材料(例えば、ポリテトラフルオロエチレン(PTFE))、フッ化エチレンプロピレン(FEP)、ポリビニリデン(PFDF)、ポリエチレン(PE))またはアルミニウムもしくはステンレス鋼などの金属で製作することができる。ダイバータプレート340は、それを貫通して配置され、ガス通路324およびいくつかの導管338と位置合わせされた複数の第1のガス通路342を含む。第1のガス通路342は、図示のように中間プレート320のガス通路324の列と一致する複数の列に整列させることができる。第1のガス通路342の列は、さらに、導管338の1つおきの列と位置合わせさせることができる。
追加として、チャネル344が、ダイバータプレート340において第1のガス通路342の隣り合う列間に形成される。複数の第2のガス通路346が、導管338の1つおきの列と位置合わせされたチャネル344の各々の内にダイバータプレート340を貫通して形成される。ダイバータプレート340は、ダイバータプレート340の周辺のまわりに配置され、チャネル344の各々と流体連通する周辺チャネル348をさらに含む。その上、中間プレート320は、化学デリバリモジュール203からの第2の処理ガスを周辺チャネル348に送出するために中間プレート320を貫通して配置された1つまたは複数のガス入口328を含む。
中間プレート320および底部プレート330は、底部プレート330の止り穴316に係合する複数のねじ312または他の好適な締結具を使用して互いに結合される。中間プレート320および底部プレート330の合せ面は、それらが取り付けられたとき、金属−金属シールが中間プレート320と底部プレート330との間に維持され、その結果、周辺チャネル348に入る流体がシャワーヘッドアセンブリ201の周辺のまわりで密閉されるように機械加工することができる。代替として、他のシーリング手段、例えば、Oリングなどが流体シールを維持するために使用される。
第2の処理ガスは、化学デリバリモジュール203によって1つまたは複数のガス入口328を通して周辺チャネル348に送出することができる。第2の処理ガスは周辺チャネル348からチャネル344に分配され、第2の複数のガス通路346を通して送出される。1つの例では、第2の処理ガスは、アンモニア(NH)などの好適な窒素含有処理ガスまたは他のMOCVDもしくはHVPE処理ガスである。
ダイバータプレート340は、中間プレート320と底部プレート330との間に挟まれる。ガスマニホルド325から第1のガス通路342を通して送出される流体が、それぞれの導管338を通して送出される前に、周辺チャネル348に送出されて第2のガス通路346を通る流体と混合されないために、ダイバータプレート340と中間プレート320との間の合せ面ならびにダイバータプレート340と底部プレート330との間の合せ面が表面−表面シールを形成するようにダイバータプレート340を形成することができる。代替として、他のシーリング手段、例えば、Oリングなどが流体シールを維持するために使用される。
図2および3Aを参照すると、有機金属前駆体などの第1の処理ガスは、化学デリバリモジュール203から1つまたは複数のガス入口304を通してガスマニホルド325に送出することができる。次に、第1の処理ガスは、ガスマニホルド325から中間プレートの複数のガス通路324を通し、さらにダイバータプレート340の第1のガス通路342を通して送出される。次に、第1の処理ガスは、第1のガス通路342と位置合わせされた導管338を通し、処理容積部208に送出される。
実質的に同時に、窒素前駆体などの第2の処理ガスを、化学デリバリモジュール203から1つまたは複数のガス入口328を通して周辺チャネル348に送出することができる。第2の処理ガスは、中間プレート320の周辺チャネル348からチャネル344に、さらに第2の複数のガス通路346を通して分配される。次に、第2の処理ガスは、第2のガス通路346と位置合わせされた導管338を通して、処理容積部208に送出される前に第1の処理ガスと混合することなく、処理容積部208に送出される。
同時に、第1および第2の処理ガスをシャワーヘッド201を通して処理容積部208に送出しつつ、熱交換流体を、熱交換システム270から底部プレート330の1つまたは複数の流体入口331を通してチャネル337に送出することができる。熱交換流体はチャネル337を通って循環し、第1および第2の処理ガスならびに処理容積部208に面する底部プレート330の表面を冷却する。次に、熱交換流体は1つまたは複数の流体出口339を通ってチャネル337を出ていき、熱交換システム270に戻る。
図4Aは、別の実施形態によるシャワーヘッド201の概略断面図である。図6は、図4Aに示したシャワーヘッド201の概略分解直交図である。図4Aに示した実施形態のフィーチャの多くは、図3Aに示し、図3Aに関して説明したものと同じであり、ここではさらには説明しない。図4Aに示すように、最上部プレート410は、処理ガスを外側ガスマニホルド425に送出するために最上部プレート410を貫通して形成された1つまたは複数の外側ガス入口404と、同じまたは異なる処理ガスを内側ガスマニホルド426に別々に送出するために最上部プレート410を貫通して形成された1つまたは複数の内側ガス入口405とを含む。1つの実施形態では、同じ処理ガスが、異なる流量および/または圧力で、外側ガスマニホルド425および内側ガスマニホルド426に送出される。
図4Bは、図4Aに示した中間プレート420の概略上面図である。中間プレート420は、その中に形成され、環状壁421によって分離された外側穴422および内側穴423を有する。中間プレート420を貫通して形成されたガス通路424は、複数の列に配列することができる。外側および内側ガスマニホルド425、426は、前に説明したように複数のねじ312または他の好適な締結具を使用して最上部プレート410を中間プレート420に結合させることよって形成される。最上部プレート410および中間プレート420の合せ面は、それらが取り付けられたとき、金属−金属シールが最上部プレート410と中間プレート420との間に維持され、その結果、内側ガスマニホルド426に入る流体が外側ガスガスマニホルド425に入る流体から密閉され、逆の場合も同様であるように機械加工することができる。その上、外側ガスマニホルド425に入る流体は、シャワーヘッドアセンブリ201の周辺のまわりで密閉される。代替として、他のシーリング手段、例えば、Oリングなどが流体シールを維持するために使用される。
第1の処理ガスは、化学デリバリモジュール203によって1つまたは複数のガス入口404を通して外側ガスマニホルド425に第1の流量および/または圧力で送出することができる。同じまたは異なる第1の処理ガスは、化学デリバリモジュール203によって1つまたは複数のガス入口405を通して内側ガスマニホルド426に第1の流量および/または圧力と異なる第2の流量および/または圧力で送出することができる。
図4Aに示すように、底部プレート430は、単一のアルミニウムまたはステンレス鋼プレートから生産される。図4Cは、図4Aに示した底部プレート430の概略上面図である。図4Aおよび4Cに示した底部プレート430はそれを貫通して形成された複数のガス通路433を有し、複数のガス通路433は図示のように複数の列に配列することができる。底部プレート430は、ガス通路433の列間に形成された複数のチャネル435をさらに有する。底部プレート430は、図4Cに示すように、チャネル435の方向に実質的に垂直な方向のガス通路433間に形成され、チャネル435と流体連通する複数の交差チャネル436をさらに有することができる。その上、1つまたは複数の供給チャネル432および1つまたは複数の戻りチャネル437が、底部プレート430に形成され、チャネル435および/または交差チャネル436と流体連通する。チャネル435、交差チャネル436、供給チャネル432、および戻りチャネル437は、所定の位置にろう付けまたはレーザ溶接されるアルミニウムまたはステンレス鋼キャップ438(図4Cに図示せず)により別々に密閉される。加えて、1つまたは複数の流体入口431および流体出口439が、底部プレート430に形成され、チャネル435および/または交差チャネル436を供給チャネル432および戻りチャネル437を通して熱交換システム270に流体的に結合させる。
熱交換流体は、熱交換システム270から1つまたは複数の流体入口431を通して1つまたは複数の供給チャネル432に送出することができる。次に、熱交換流体は、チャネル435および/または交差チャネル436を通って1つまたは複数の戻りチャネル437に循環する。次に、熱交換流体は1つまたは複数の流体出口439を通ってシャワーヘッド201を出ていき、熱交換システム270に戻る。
ダイバータプレート440は、図3Aに示し、図3Aに関して説明したものと同様に、中間プレート420と底部プレート430との間に配置される。図4Dは、図4Aに示したダイバータプレート440の概略上面図である。ダイバータプレート440は、それを貫通して配置され、ガス通路424といくつかのガス通路433とに位置合わせされた複数の第1のガス通路442を含む。第1のガス通路442は、中間プレート420のガス通路424の列と一致する複数の列に整列させることができる。第1のガス通路442の列は、さらに、底部プレート430のガス通路433の1つおきの列と位置合わせさせることができる。
チャネル444が、ダイバータプレート440において第1のガス通路442の隣り合う列間に形成される。複数の第2のガス通路446が、底部プレート430のガス通路424の1つおきの列と位置合わせされたチャネル444の各々の内にダイバータプレート440を貫通して形成される。チャネル444は、環状壁465によって内側領域462と外側領域464とに分割される(図4D)。環状壁465はダイバータプレート440に形成された環状チャネル467を囲むことができ、環状チャネル467は内側領域462のチャネル444と流体連通する。中間プレート420に形成され、化学デリバリモジュール203に結合された1つまたは複数の第1のガス入口429から第2の処理ガスを供給するために、ダイバータプレート440はダイバータプレート440に形成された1つまたは複数の供給チャネル468をさらに有する。ダイバータプレート440の周辺のまわりに配置され、ダイバータプレート440の外側領域464のチャネル444と流体連通する周辺チャネル448を、ダイバータプレート440はさらに含む。その上、中間プレート420は、化学デリバリモジュール203からの同じまたは異なる第2の処理ガスを周辺チャネル448に送出するために中間プレート420を貫通して配置された1つまたは複数の第2流体入口428を含む。
中間プレート420および底部プレート430は、底部プレート430の止り穴316に係合する複数のねじ312または他の好適な締結具を使用して互いに結合させることができる。中間プレート420および底部プレート430の合せ面は、それらが取り付けられたとき、金属−金属シールが中間プレート420と底部プレート430との間に維持され、その結果、周辺チャネル448に入る流体がシャワーヘッドアセンブリ201の周辺のまわりで密閉されるように機械加工することができる。代替として、他のシーリング手段、例えば、Oリングなどが流体シールを維持するために使用される。
第2の処理ガスは、化学デリバリモジュール203によって1つまたは複数の第2の流体入口428を通して周辺チャネル448に第1の流量および/または圧力で送出することができる。第2の処理ガスは、周辺チャネル448から外側領域464のチャネル444に分配され、外側領域464の第2の複数のガス通路446を通して送出される。同時に、同じまたは異なる処理ガスを、化学デリバリモジュール203によって1つまたは複数の第1のガス入口429を通して1つまたは複数の供給チャネル468に送出することができる。第2の処理ガスは、供給チャネル468から環状チャネル467に、さらに内側領域462のチャネル444に分配される。次に、第2の処理ガスは、内側領域462の第2の複数のガス通路446を通して送出される。外側領域464および内側領域462に送出される第2の処理ガスは、第1の流量および/または圧力と異なる第2の流量および/または圧力の同じまたは異なる処理ガスとすることができる。
ダイバータプレート440は、中間プレート420と底部プレート430との間に挟まれる。外側および内側ガスマニホルド425、426から第1のガス通路442を通して送出される流体が、底部プレート430のそれぞれのガス通路433に送出される前に、外側および内側領域464、462に送出されて第2のガス通路446を通る流体と混合されないために、ダイバータプレート440と中間プレート420との間の合せ面ならびにダイバータプレート440と底部プレート430との間の合せ面が表面−表面シールを形成するようにダイバータプレート440を形成することができる。代替として、他のシーリング手段、例えば、Oリングなどが流体シールを維持するために使用される。
図2および4Aを参照すると、有機金属前駆体などの第1の処理ガスは、化学デリバリモジュール203から外側ガスマニホルド425に第1の流量および/または圧力で送出することができる。次に、第1の処理ガスは、外側ガスマニホルド425から中間プレートの複数のガス通路424を通し、さらにダイバータプレート440の位置合わせされた第1のガス通路442を通して送出される。次に、第1の処理ガスは、第1のガス通路442と位置合わせされた底部プレート430のガス通路433を通して処理容積部208に送出される。
同時に、第1の処理ガスは、化学デリバリモジュール203から内側ガスマニホルド426に、外側ガスマニホルド425に送出される第1の処理ガスの第1の流量および/または圧力と異なる第2の流量および/または圧力で送出することができる。次に、第1の処理ガスは、内側ガスマニホルド426から中間プレートの複数のガス通路424を通し、さらにダイバータプレート440の位置合わせされた第1のガス通路442を通して送出される。次に、第1の処理ガスは、第1のガス通路442と位置合わせされた底部プレート430のガス通路433を通し、処理容積部208に送出される。このように、第1の処理ガスは、基板処理に対してより細かい制御を行うために処理体積部208の内側および外側領域に異なる流量および/または圧力で送出することができる。
実質的に同時に、窒素前駆体などの第2の処理ガスを、化学デリバリモジュール203から1つまたは複数のガス入口428を通して周辺チャネル448に第1の流量および/または圧力で送出することができる。第2の処理ガスは、周辺チャネル448からダイバータプレート440の外側領域464のチャネル444に、さらにダイバータプレート440の外側領域464の第2の複数のガス通路446を通して分配される。次に、第2の処理ガスは、外側領域464の第2のガス通路446と位置合わせされたガス通路433を通して、処理容積部208に送出される前に第1の処理ガスと混合することなく、処理容積部208に送出される。
同時に、第2の処理ガスは、化学デリバリモジュール203によって、1つまたは複数の第1のガス入口429を通して1つまたは複数の供給チャネル468に、周辺チャネル448に送出される第2の処理ガスの第1の流量および/または圧力と異なる第2の流量および/または圧力で送出することができる。次に、第2の処理ガスは、供給チャネル468から環状チャネル467に、さらに内側領域462のチャネル444に、さらにダイバータプレート440の内側領域462の第2の複数のガス通路446を通して分配される。次に、第2の処理ガスは、内側領域462の第2のガス通路446と位置合わせされたガス通路433を通して、第1の処理ガスまたは外側領域464を通して送出される第2の処理ガスと混合することなく、処理容積部208に送出される。このように、第2の処理ガスは、基板処理に対してより細かい制御を行うために処理体積部208の内側および外側領域に異なる流量および/または圧力で送出することができる。
同時に、第1および第2の処理ガスをシャワーヘッド201を通して処理容積部208に送出しつつ、熱交換流体を、熱交換システム270から底部プレート430の1つまたは複数の供給チャネル432に送出することができる。次に、熱交換流体は、チャネル435および/または交差チャネル436通って循環し、第1および第2の処理ガスならびに処理容積部208に面する底部プレート430の表面を冷却する。次に、熱交換流体は1つまたは複数の戻りチャネル437を通って底部プレート430を出ていき、熱交換システム270に戻る。
したがって、本発明の実施形態は、シャワーヘッドを出る前にガスを混合せずに多数の処理ガスを導入するためのシャワーヘッドを提供する。シャワーヘッドは、ろう付けまたは溶接されるのではなく好適な締結具を使用してまとめて固定された多数のプレートを有する。ろう付けではなく多数のプレートを固定することによってシャワーヘッドを生産すると、より簡単でより費用効率の高い生産プロセスが可能になる。その上、1つまたは複数の表面処置または被覆を生産中にプレートの各々に施すことができ、ろう付けまたは溶接されたシャワーヘッドと比較して、洗浄/改修プロセスの間シャワーヘッドを容易に分解して、被覆を剥離し、洗浄し、かつ/または再塗布することができる。例示の表面処置は、ビードブラスティング、グリットブラスティングなどを含むことができる。例示の被覆は、アルミナ、酸化ジルコニウムイットリウム、酸化イットリウム、酸化クロム、炭化ケイ素などを含むことができる。
特定のシャワーヘッド構成が図3A〜3Cおよび4A〜4Dに示されているが、各構成のいくつかの態様は他のものに同等に適用可能とすることができる。例えば、図3A〜Cに関して図示および説明した構成は、処理体積部の内側および外側領域に前駆体ガスの別個の送出を行うために図4A〜4Dの多数のマニホルド構成を含むことができる。その上、図3Aに示した構成は底部プレート330の代わりに底部プレート430を有することができ、または図4Aに示した構成は底部プレート430の代わりに底部プレート330を有することができる。
加えて、本明細書の実施形態は、一般に、MOCVDおよびHVPEのプロセスに関して説明されているが、説明した装置および方法は、多数の処理ガスが、シャワーヘッドを出る前にそれらのガスを混合することなく処理体積部に導入される他のプロセスに同等に適用可能である。
前述は本発明の実施形態に関するが、本発明の基本範囲から逸脱することなく本発明の他のおよびさらなる実施形態を考案することができ、本発明の範囲は添付の特許請求の範囲によって決定される。
また、本願は以下に記載する態様を含む。
(態様1)
第1のプレートと、
ガスマニホルドを形成するために前記第1のプレートに結合される第2のプレートと、
前記第2のプレートに結合され、その中に配置された1つまたは複数の温度制御チャネルを有する第3のプレートであり、前記第3のプレートが、それを貫通して形成された第1の複数のガス通路および第2の複数のガス通路を有する、前記第3のプレートと、
前記第2のプレートと前記第3のプレートとの間に配置された第4のプレートであり、前記第4のプレートが、その中に形成され、前記第3のプレートの前記第2の複数のガス通路に流体的に結合される複数のチャネルを有し、前記第4のプレートが、その中を貫通して形成され、前記ガスマニホルドを前記第3のプレートの前記第1の複数のガス通路に流体的に結合させる複数のガス通路を有する、前記第4のプレートと
を含むシャワーヘッドアセンブリ。
(態様2)
前記第1、第2、および第3のプレートが複数の機械的締結具を使用して互いに結合されている、態様1に記載のアセンブリ。
(態様3)
前記第4のプレートの前記ガス通路が前記第4のプレートの前記チャネルから隔離されている、態様1に記載のアセンブリ。
(態様4)
前記第3のプレートが第6のプレートに結合された第5のプレートを含み、第5のプレートと第6のプレートの間に単一の温度制御チャネルが配置されており、前記第1および第2のガス通路が、前記第5および第6のプレートに結合された複数の流体導管を含んでいる、態様1に記載のアセンブリ。
(態様5)
前記第3のプレートが、前記第1の複数のガス通路と前記第2の複数のガス通路との間に配置された複数の温度制御チャネルを有している、態様1に記載のアセンブリ。
(態様6)
第1のプレートと、
複数のガスマニホルドを形成するために前記第1のプレートに結合される第2のプレートと、
前記第2のプレートに結合され、その中に配置された1つまたは複数の温度制御チャネルを有する第3のプレートであり、前記第3のプレートが、それを貫通して形成された第1の複数のガス通路および第2の複数のガス通路を有する、前記第3のプレートと、
前記第2のプレートと前記第3のプレートとの間に配置された第4のプレートであり、前記第4のプレートが、その中に形成され、前記第3のプレートの前記第2の複数のガス通路に流体的に結合される複数のチャネルを有し、前記第4のプレートが、その中を貫通して形成され、前記複数のガスマニホルドを前記第3のプレートの前記第1の複数のガス通路に流体的に結合させる複数のガス通路を有する、前記第4のプレートと
を含むシャワーヘッドアセンブリ。
(態様7)
前記第4のプレートが、前記複数のチャネルおよび前記複数のガス通路を2つ以上の隔離された領域に分離する1つまたは複数の壁を有している、態様6に記載のアセンブリ。
(態様8)
前記2つ以上の隔離された領域が、外側領域の内部に配置された内側領域を含んでいる、態様7に記載のアセンブリ。
(態様9)
前記第1、第2、および第3のプレートが複数の機械的締結具を使用して互いに結合されている、態様6に記載のアセンブリ。
(態様10)
前記第3のプレートが第6のプレートに結合された第5のプレートを含み、第5のプレートと第6のプレートとの間に単一の温度制御チャネルが配置されている、態様6に記載のアセンブリ。
(態様11)
前記第1および第2のガス通路が、前記第5および第6のプレートに結合された複数の流体導管を含んでいる、態様10に記載のアセンブリ。
(態様12)
前記複数の流体導管が温度制御チャネルを貫通して配置されている、態様10に記載のアセンブリ。
(態様13)
前記第3のプレートが、前記第1の複数のガス通路と前記第2の複数のガス通路との間に配置された複数の温度制御チャネルを有している、態様6に記載のアセンブリ。
(態様14)
第1のプレートと、
第1のガスマニホルドおよび第2のガスマニホルドを形成するために前記第1のプレートに結合される第2のプレートと、
前記第2のプレートに結合され、その中に配置された1つまたは複数の温度制御チャネルを有する第3のプレートであり、前記第3のプレートが、それを貫通して形成された第1の複数のガス通路および第2の複数のガス通路を有する、前記第3のプレートと、
前記第2のプレートと前記第3のプレートとの間に配置された第4のプレートであり、前記第4のプレートが、その中に形成され、前記第3のプレートの前記第2の複数のガス通路に流体的に結合される複数のチャネルを有し、前記第4のプレートが、その中を貫通して形成され、前記第3のプレートの前記第1の複数のガス通路に流体的に結合される複数のガス通路を有し、前記第4のプレートが、前記複数のチャネルおよび前記複数のガス通路を第1の領域および第2の領域に分離する1つまたは複数の壁を有し、前記第1の領域の前記複数のガス通路が、前記第1のガスマニホルドに流体的に結合され、前記第2の領域の前記複数のガス通路が、前記第2のガスマニホルドに流体的に結合されている、前記第4のプレートと
を含むシャワーヘッドアセンブリ。
(態様15)
前記第3のプレートが、前記第1の複数のガス通路と前記第2の複数のガス通路との間に配置された複数の温度制御チャネルを有している、態様14に記載のアセンブリ。

Claims (15)

  1. 第1のプレートと、
    ガスマニホルドを形成するために前記第1のプレートに結合される第2のプレートと、
    前記第2のプレートに密閉されるように結合される第3のプレートであって、その中に配置された少なくとも1つの温度制御チャネルを有するとともに、第3のプレートを貫通する第2の複数のガス通路を囲むようにして第3のプレートを貫通する第1の複数のガス通路を有する、第3のプレートと、
    前記第2のプレートと前記第3のプレートとの間に配置された第4のプレートであって、該第4のプレートを貫通する複数のガス通路及び該第4のプレートの中の複数のチャネルを有する第4のプレートとを備え、
    前記複数のガス通路は、前記第4のプレートの前記複数のチャネルから隔離され、各温度制御チャネルは、前記第1、第2の複数のガス通路及び前記第4のプレートの前記複数のガス通路から隔離されている、シャワーヘッドアセンブリ。
  2. 前記第1、第2、および第3のプレートが複数の機械的締結具を使用して互いに結合されている、請求項1に記載のシャワーヘッドアセンブリ。
  3. 前記第3のプレートの前記第1の複数のガス通路と前記第2の複数のガス通路は、前記少なくとも1つの温度制御チャネルに囲まれている、請求項1に記載のシャワーヘッドアセンブリ。
  4. 前記第4のプレートはその中に形成された複数のチャンネルを有し、前記複数のチャネルは前記第3のプレートの前記第2の複数のガス通路に流体連通している、請求項1に記載のシャワーヘッドアセンブリ。
  5. 前記第4のプレートの前記の複数のガス通路は、前記ガスマニホルドを前記第3のプレートの前記第1の複数のガス通路に流体連通する、請求項1に記載のシャワーヘッドアセンブリ。
  6. 前記第4のプレートはポリマー材料を含む、請求項1に記載のシャワーヘッドアセンブリ。
  7. 第1のプレートと、
    ガスマニホルドを形成するために前記第1のプレートに結合される第2のプレートと、
    前記第2のプレートに密閉されるように結合される第3のプレートであって、その中に配置された少なくとも1つの温度制御チャネルを有するとともに、第3のプレートを貫通する第2の複数のガス通路を囲むようにして第3のプレートを貫通する第1の複数のガス通路を有し、前記少なくとも1つの温度制御チャネルは、処理容積から隔離されていて第3のプレートに形成された入口から出口に熱交換流体を循環させるように構成される、第3のプレートと、
    前記第2のプレートと前記第3のプレートとの間に配置された第4のプレートであって、該第4のプレートを貫通する複数のガス通路及び該第4のプレートの中の複数のチャネルを有する第4のプレートとを備え、
    前記複数のガス通路は、前記第4のプレートの前記複数のチャネルから隔離され、各温度制御チャネルは、前記第1、第2の複数のガス通路および前記第4のプレートの前記複数のガス通路から隔離されている、シャワーヘッドアセンブリ。
  8. 前記第3のプレートの前記第1の複数のガス通路と前記第2の複数のガス通路は、前記少なくとも1つの温度制御チャネルに囲まれている、請求項7に記載のシャワーヘッドアセンブリ。
  9. 前記第4のプレートの前記複数のガス通路は、前記ガスマニホルドを前記第3のプレートの前記第1の複数のガス通路に流体連通する、請求項7に記載のシャワーヘッドアセンブリ。
  10. 前記第3のプレートは第6のプレートに結合された第5のプレートを含み、前記少なくとも1つの温度制御チャネルは前記第5のプレートと前記第6のプレートとの間に配置される、請求項7に記載のシャワーヘッドアセンブリ。
  11. 前記第3のプレートの前記第1の複数のガス通路と前記第2の複数のガス通路は、前記第5のプレートと前記第6のプレートに結合された複数の流体導管を含んでいる、請求項10に記載のシャワーヘッドアセンブリ。
  12. 前記第5のプレートと前記第6のプレートとの間に配置される前記少なくとも1つの温度制御チャネルを貫通して前記複数の流体導管が配置される、請求項11に記載のシャワーヘッドアセンブリ。
  13. 第1のプレートと、
    ガスマニホルドを形成するために前記第1のプレートに結合される第2のプレートと、
    前記第2のプレートに密閉されるように結合される第3のプレートであって、その中に配置された少なくとも1つの温度制御チャネルを有するとともに、第3のプレートを貫通する第2の複数のガス通路を囲むようにして第3のプレートを貫通する第1の複数のガス通路を有し、前記少なくとも1つの温度制御チャネルは、処理容積から隔離されていて第3のプレートに形成された入口から出口に熱交換流体を循環させるように構成され、前記第1の複数のガス通路と前記第2の複数のガス通路が前記少なくとも1つの温度制御チャネルに囲まれている、第3のプレートと、
    前記第2のプレートと前記第3のプレートとの間に配置された第4のプレートであって、該第4のプレートを貫通する複数のガス通路、該第4のプレートの中の複数のチャネル、及び前記処理容積に露出している底面を備えた第4のプレートとを備え、
    前記第4のプレートの前記複数のガス通路は、前記第4のプレートの前記複数のチャネルから隔離され、各温度制御チャネルは、前記ガス通路から隔離されている、シャワーヘッドアセンブリ。
  14. 前記第4のプレートには、前記第4のプレートの前記複数のガス通路を内部領域と外部領域とに分離する1つまたは複数の壁が備えられ、前記内部領域は前記外部領域の内部に同心的に配置される、請求項13に記載のシャワーヘッドアセンブリ。
  15. 前記第4のプレートはポリマー材料を含む、請求項13に記載のシャワーヘッドアセンブリ。
JP2016069200A 2011-03-18 2016-03-30 多レベルシャワーヘッド設計 Active JP6360849B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161454067P 2011-03-18 2011-03-18
US61/454,067 2011-03-18

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013558006A Division JP5912140B2 (ja) 2011-03-18 2011-10-28 多レベルシャワーヘッド設計

Publications (2)

Publication Number Publication Date
JP2016164994A JP2016164994A (ja) 2016-09-08
JP6360849B2 true JP6360849B2 (ja) 2018-07-18

Family

ID=46827689

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2013558006A Active JP5912140B2 (ja) 2011-03-18 2011-10-28 多レベルシャワーヘッド設計
JP2016069200A Active JP6360849B2 (ja) 2011-03-18 2016-03-30 多レベルシャワーヘッド設計

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2013558006A Active JP5912140B2 (ja) 2011-03-18 2011-10-28 多レベルシャワーヘッド設計

Country Status (6)

Country Link
US (1) US9057128B2 (ja)
JP (2) JP5912140B2 (ja)
KR (1) KR101903950B1 (ja)
CN (1) CN103443903B (ja)
TW (1) TWI534291B (ja)
WO (1) WO2012128789A1 (ja)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103789747B (zh) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 一种气体喷淋头及制作该气体喷淋头的方法
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN104889111B (zh) * 2014-03-05 2018-05-25 北京北方华创微电子装备有限公司 一种腔室
CN110724938B (zh) * 2014-05-16 2022-02-22 应用材料公司 喷头设计
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
WO2016052333A1 (ja) * 2014-09-30 2016-04-07 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN105695952A (zh) * 2014-11-26 2016-06-22 广东昭信半导体装备制造有限公司 热壁式金属有机物化学气相沉积喷淋装置及工艺方法
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN104498905B (zh) * 2015-01-05 2017-03-01 中国科学院半导体研究所 用于金属有机化合物化学气相沉积设备反应室的进气顶盘
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10648080B2 (en) * 2016-05-06 2020-05-12 Applied Materials, Inc. Full-area counter-flow heat exchange substrate support
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6756853B2 (ja) * 2016-06-03 2020-09-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated チャンバ内部の流れを拡散させることによる低い粒子数及びより良好なウエハ品質のための効果的で新しい設計
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
TWI609720B (zh) * 2016-09-30 2018-01-01 漢民科技股份有限公司 應用於半導體設備之氣體噴射裝置
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP6852040B2 (ja) * 2018-11-16 2021-03-31 大陽日酸株式会社 半導体製造装置部品の洗浄装置、半導体製造装置部品の洗浄方法、及び半導体製造装置部品の洗浄システム
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
SG11202112203VA (en) * 2019-05-15 2021-12-30 Applied Materials Inc Dynamic multi zone flow control for a processing system
US11555244B2 (en) * 2019-11-05 2023-01-17 Applied Materials, Inc. High temperature dual chamber showerhead
JP2023500726A (ja) * 2019-11-08 2023-01-10 アプライド マテリアルズ インコーポレイテッド ガス伝達調節のためのチャンバ部品
WO2021146099A1 (en) * 2020-01-13 2021-07-22 Lam Research Corporation Multizone gas distribution plate for trench profile optimization
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US20220010431A1 (en) * 2020-07-08 2022-01-13 Applied Materials, Inc. Multiple-channel showerhead design and methods in manufacturing
US11694908B2 (en) * 2020-10-22 2023-07-04 Applied Materials, Inc. Gasbox for semiconductor processing chamber
WO2023177950A1 (en) * 2022-03-17 2023-09-21 Lam Research Corporation Dual plenum showerhead with center to edge tunability
JP2024021368A (ja) * 2022-08-03 2024-02-16 日本発條株式会社 成膜用反応性ガスを射出するためのヘッドとその製造方法

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851295A (en) 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
DD271776A1 (de) 1988-05-06 1989-09-13 Elektromat Veb Vorrichtung zur gaszufuehrung und -ableitung fuer die gasphasenbearbeitung von werkstuecken
JPH02234419A (ja) 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
USD329839S (en) 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
WO1992022084A1 (en) 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
WO1995027570A1 (en) 1994-04-08 1995-10-19 Ray Mark A Selective plasma deposition
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
WO1999066565A1 (en) 1998-06-18 1999-12-23 University Of Florida Method and apparatus for producing group-iii nitrides
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6373114B1 (en) 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
US6413839B1 (en) 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
KR100304664B1 (ko) 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP3384795B2 (ja) 1999-05-26 2003-03-10 忠弘 大見 プラズマプロセス装置
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6897119B1 (en) 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
DE50100603D1 (de) * 2000-02-04 2003-10-16 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
JP4849705B2 (ja) 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
US6451713B1 (en) 2000-04-17 2002-09-17 Mattson Technology, Inc. UV pretreatment process for ultra-thin oxynitride formation
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6616870B1 (en) 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
DE10048759A1 (de) 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
DE10057134A1 (de) 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
KR101004199B1 (ko) * 2001-02-09 2010-12-24 도쿄엘렉트론가부시키가이샤 성막 장치
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6706119B2 (en) 2001-03-30 2004-03-16 Technologies And Devices International, Inc. Apparatus for epitaxially growing semiconductor device structures with submicron group III nitride layer utilizing HVPE
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
DE10124609B4 (de) 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
JP4559070B2 (ja) 2001-06-29 2010-10-06 東京エレクトロン株式会社 製造システムを動作させる方法および基板処理のための製造システム
JP2003124125A (ja) 2001-10-12 2003-04-25 Applied Materials Inc 半導体製造装置
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
JP2006512748A (ja) 2001-12-21 2006-04-13 アイクストロン、アーゲー Iii−v半導体皮膜を非iii−v基板に沈積する方法
DE10163394A1 (de) 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
KR100568701B1 (ko) 2002-06-19 2006-04-07 니폰덴신뎅와 가부시키가이샤 반도체 발광 소자
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP4352783B2 (ja) 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (ja) 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
KR20060011887A (ko) 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
DE102004009130A1 (de) 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
WO2006020424A2 (en) * 2004-08-02 2006-02-23 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR100578089B1 (ko) 2004-12-22 2006-05-10 주식회사 시스넥스 수소화물기상증착 반응기
KR101145755B1 (ko) 2005-03-10 2012-05-16 재팬 사이언스 앤드 테크놀로지 에이젼시 평면의 반극성 갈륨 질화물의 성장을 위한 기술
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
JP4803578B2 (ja) 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US7364991B2 (en) 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
JP2008066490A (ja) 2006-09-06 2008-03-21 Nippon Emc Ltd 気相成長装置
JP2008243938A (ja) * 2007-03-26 2008-10-09 Nuflare Technology Inc 熱cvd方法および熱cvd装置
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US20090149008A1 (en) 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
KR101019953B1 (ko) 2008-05-22 2011-03-09 주식회사 테스 가스 공급 장치
KR100997104B1 (ko) 2008-07-04 2010-11-29 주식회사 테스 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
JP4576466B2 (ja) * 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
JP2010245135A (ja) * 2009-04-02 2010-10-28 Sharp Corp 気相成長装置
JP4699545B2 (ja) * 2009-07-06 2011-06-15 シャープ株式会社 気相成長装置及び気相成長方法
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead

Also Published As

Publication number Publication date
KR101903950B1 (ko) 2018-10-04
CN103443903A (zh) 2013-12-11
US20120234945A1 (en) 2012-09-20
KR20140023934A (ko) 2014-02-27
JP2016164994A (ja) 2016-09-08
US9057128B2 (en) 2015-06-16
WO2012128789A1 (en) 2012-09-27
TW201239132A (en) 2012-10-01
TWI534291B (zh) 2016-05-21
JP2014512458A (ja) 2014-05-22
CN103443903B (zh) 2016-05-04
JP5912140B2 (ja) 2016-04-27

Similar Documents

Publication Publication Date Title
JP6360849B2 (ja) 多レベルシャワーヘッド設計
US10130958B2 (en) Showerhead assembly with gas injection distribution devices
KR101906355B1 (ko) 가스 주입 분배 장치들을 갖는 샤워헤드 조립체
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US9644267B2 (en) Multi-gas straight channel showerhead
US20090095222A1 (en) Multi-gas spiral channel showerhead
US20090095221A1 (en) Multi-gas concentric injection showerhead
US20120000490A1 (en) Methods for enhanced processing chamber cleaning
KR20080113316A (ko) Hvpe 샤우어헤드
JP5478723B2 (ja) 成膜装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20170317

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170328

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170626

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180508

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180529

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180625

R150 Certificate of patent or registration of utility model

Ref document number: 6360849

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250