KR101903950B1 - 다수 레벨의 샤워헤드 디자인 - Google Patents

다수 레벨의 샤워헤드 디자인 Download PDF

Info

Publication number
KR101903950B1
KR101903950B1 KR1020137027400A KR20137027400A KR101903950B1 KR 101903950 B1 KR101903950 B1 KR 101903950B1 KR 1020137027400 A KR1020137027400 A KR 1020137027400A KR 20137027400 A KR20137027400 A KR 20137027400A KR 101903950 B1 KR101903950 B1 KR 101903950B1
Authority
KR
South Korea
Prior art keywords
plate
gas
gas passages
coupled
processing
Prior art date
Application number
KR1020137027400A
Other languages
English (en)
Other versions
KR20140023934A (ko
Inventor
도날드 제이.케이. 올가도
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140023934A publication Critical patent/KR20140023934A/ko
Application granted granted Critical
Publication of KR101903950B1 publication Critical patent/KR101903950B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

본원 발명의 실시예들은 함께 체결된 복수의 플레이트들로 제조된 샤워헤드 조립체를 일반적으로 제공한다. 샤워헤드 조립체는 상단부 및 중간 플레이트에 의해서 규정된 가스 매니폴드 그리고 중간 플레이트 및 하단부, 즉 열 교환 플레이트에 의해서 규정되는 전환기 플레이트를 포함한다. 제 1 프로세싱 가스가 가스 매니폴드로 유입되고 그리고 전환기 플레이트에 의해서 하단부 플레이트를 통해서 전환된다. 제 2 가스가 가스 매니폴드로 유입되고 그리고 전환기 플레이트에 의해서 하단부 플레이트를 통해서 전환되고 그에 따라 제 1 및 제 2 가스들이 샤워헤드를 빠져나가기 이전에 혼합되지 않는다. 일 실시예에서, 제 1 프로세싱 가스가 상이한 유량들 및/또는 압력들로 샤워헤드의 중앙 및 외측 영역들을 통해서 분배될 수 있다. 또한, 제 2 프로세싱 가스가 상이한 유량들 및/또는 압력들로 샤워헤드의 중앙 및 외측 영역들을 통해서 분배될 수 있다.

Description

다수 레벨의 샤워헤드 디자인{MULTIPLE LEVEL SHOWERHEAD DESIGN}
본원 발명의 실시예들은 일반적으로 기판 상의 화학기상증착(CVD)을 위한 장치 및 방법들과 관련되고, 그리고 특히, 다수의(multiple) 전구체들을, 샤워헤드를 빠져나가기에 앞서서 혼합되지 않는 상태로, 샤워헤드를 통하여 전달하기 위해서 함께 체결된 다수의 플레이트들로 구성된 샤워헤드 조립체에 관한 것이다.
단파장 발광 다이오드들(LED's), 레이저 다이오드들(LD's), 및 고전력, 고주파수, 고온 트랜지스터들 및 집적 회로들을 포함하는 전자 디바이스들과 같은 다양한 반도체 디바이스들의 개발 및 제조에 있어서 III-V 족 필름들이 더 중요한 것으로 생각된다. 예를 들어, 단파장(예를 들어, 청색/녹색 내지 자외선) LED's가 III 족-질화물 반전도성(semiconducting) 재료 갈륨 질화물(GaN)을 이용하여 제조된다. GaN을 이용하여 제조된 단파장 LED's는, II-VI 족 재료들과 같은 비-질화물 반전도성 재료들을 이용하여 제조된 단파장 LED's보다 상당히 큰 효율들 및 보다 긴 동작 수명들을 제공할 수 있다는 것이 관찰되었다.
GaN과 같은 III 족 질화물을 증착하기 위해서 이용된 하나의 방법은 금속 유기 화학기상증착(MOCVD)이다. 이러한 화학기상증착 방법은 일반적으로 갈륨(Ga)과 같은 III 족으로부터의 적어도 하나의 원소를 포함하는 제 1 전구체 가스의 안정성을 보장하기 위해서 온도 제어된 분위기를 가지는 반응기 내에서 실시된다. 암모니아(NH3)와 같은 제 2 전구체 가스가 III 족-질화물을 형성하는데 필요한 질소를 제공한다. 2개의 전구체 가스들이 반응기 내의 프로세싱 구역 내로 주입되고, 상기 구역 내에서 그 가스들이 혼합되고 그리고 프로세싱 구역 내에서 가열된 기판을 향해서 이동된다. 캐리어 가스를 이용하여 전구체 가스들의 기판을 향한 운송을 도울 수 있을 것이다. 전구체들은 가열된 기판의 표면에서 반응하여, GaN과 같은, III 족-질화물 층을 기판 표면 상에 형성한다. 필름의 품질은 증착 균일성에 부분적으로 의존하고, 그러한 균일성은 다시 기판에 걸친 균일한 온도에서 기판에 걸친 전구체들의 균일한 혼합에 의존한다.
복수의 기판들이 기판 캐리어 상에 배열될 수 있을 것이고 그리고 각각의 기판이 50 mm 내지 100 mm 또는 그 초과의 직경을 가질 수 있을 것이다. 대형 기판들 및/또는 보다 많은 기판들 및 보다 큰 증착 면적들(areas)에 걸친 전구체들의 균일한 혼합은 수득량(yield) 및 처리량(throughput)을 높이기 위해 바람직하다. 이러한 인자들이 중요한데, 이는 그러한 인자들이 전자 디바이스의 생산 비용에 직접적으로 영향을 미치고, 그에 따라 디바이스 제조자들의 시장에서의 경쟁력에 직접적으로 영향을 미치기 때문이다.
LED 또는 LD 형성 반응기의 프로세싱 구역에서 종종 발견되는 고온 하드웨어 성분들과 전구체 가스들의 상호작용은, 일반적으로, 전구체의 파괴 및 이들 고온 표면들에서의 증착을 야기한다. 전형적으로, 고온 반응기 표면들은 기판들을 가열하기 위해서 이용되는 열 공급원들로부터의 복사선에 의해서 형성된다. 고온 표면들 상의 전구체 재료들의 증착은, 그러한 증착이 가스 분배 디바이스와 같은 전구체 분배 성분들 상에서 또는 그 내부에서 발생될 때, 특히 문제가 될 수 있다. 전구체 분배 성분들 상에서의 증착은 시간이 경과함에 따라 유동 분배 균일성에 영향을 미친다. 그에 따라, 가스 분배 디바이스가 증착 프로세스들 중에 냉각될 수 있고, 그러한 냉각은 MOCVD 전구체들, 또는 HVPE 전구체들이 전구체들의 파괴를 유발하고 가스 분배 디바이스의 성능에 영향을 미치는 온도까지 가열될 가능성을 감소시킨다.
희망 증착 면적들이 증가함에 따라, 다수의 프로세싱 가스들을 기판으로 전달하도록 구성되는 통상적인 가스 분배 디바이스들의 크기 및 복잡성이 증가하고, 그러한 증가는 제조 및 운송 비용들을 상당히 증가시키는 결과를 초래한다. 예를 들어, 다수의 전구체 가스 분배 디바이스에서, 복수의 매니폴드들 및 가스 통로들이 많은 수의 대형 플레이트들 내에 형성될 수 있고, 이어서 그러한 플레이트들이 적층되고 영구적으로 부착되어 다수의 전구체 가스 분배 디바이스를 형성한다. 가스 분배 통로들의 수가 5000개를 초과하는 1 m2 및 그 초과의 증착 면적들을 커버하도록 가스 분배 디바이스들이 증대됨에 따라, 이러한 디바이스들의 제조에 있어서의 복잡성 및 비용이 크게 증가된다. 그에 따라, 가스 분배 디바이스의 복잡성 및 제조 비용을 감소시키면서, 보다 큰 기판들 및 보다 큰 증착 면적들에 걸쳐 후속하여 증착되는 필름에서 개선된 균일성을 제공하기 위한 개선된 가스 분배 디바이스가 요구되고 있다.
본원 발명의 일 실시예에서, 샤워헤드 조립체가 제 1 플레이트, 상기 제 1 플레이트에 커플링되어 가스 매니폴드를 형성하는 제 2 플레이트, 상기 제 2 플레이트에 커플링되고 내부에 배치된 하나 또는 둘 이상의 온도 제어 채널들을 가지는 제 3 플레이트, 및 상기 제 2 플레이트와 상기 제 3 플레이트 사이에 배치되는 제 4 플레이트를 포함한다. 상기 제 3 플레이트는 이를 관통하여 형성된 제 1의 복수의 가스 통로들 및 제 2의 복수의 가스 통로들을 구비한다. 제 4 플레이트는 상기 제 3 플레이트 내의 제 2의 복수의 가스 통로들에 유체적으로 커플링된, 내부에 형성된 복수의 채널들을 가지며, 그리고 상기 제 4 플레이트는 상기 가스 매니폴드를 상기 제 3 플레이트 내의 제 1의 복수의 가스 통로들과 유체적으로 커플링시키는, 이를 관통하여 형성된 복수의 가스 통로들을 가진다.
다른 실시예에서, 샤워헤드 조립체가 제 1 플레이트, 상기 제 1 플레이트에 커플링되어 복수의 가스 매니폴드들을 형성하는 제 2 플레이트, 상기 제 2 플레이트에 커플링되고 내부에 배치된 하나 또는 둘 이상의 온도 제어 채널들을 가지는 제 3 플레이트, 및 상기 제 2 플레이트와 상기 제 3 플레이트 사이에 배치되는 제 4 플레이트를 포함한다. 상기 제 3 플레이트는 이를 관통하여 형성된 제 1의 복수의 가스 통로들 및 제 2의 복수의 가스 통로들을 구비한다. 제 4 플레이트는 상기 제 3 플레이트 내의 제 2의 복수의 가스 통로들에 유체적으로 커플링된, 내부에 형성된 복수의 채널들을 가진다. 상기 제 4 플레이트는 상기 복수의 가스 매니폴드들을 상기 제 3 플레이트 내의 제 1의 복수의 가스 통로들과 유체적으로 커플링시키는, 이를 관통하여 형성된 복수의 가스 통로들을 가진다.
또 다른 실시예에서, 샤워헤드 조립체는 제 1 플레이트, 상기 제 1 플레이트에 커플링되어 제 1 가스 매니폴드 및 제 2 가스 매니폴드를 형성하는 제 2 플레이트, 상기 제 2 플레이트에 커플링되고 내부에 배치된 하나 또는 둘 이상의 온도 제어 채널들을 가지는 제 3 플레이트, 및 상기 제 2 플레이트와 상기 제 3 플레이트 사이에 배치되는 제 4 플레이트를 포함한다. 상기 제 3 플레이트는 이를 관통하여 형성된 제 1의 복수의 가스 통로들 및 제 2의 복수의 가스 통로들을 구비한다. 제 4 플레이트는 상기 제 3 플레이트 내의 제 2의 복수의 가스 통로들에 유체적으로 커플링된, 내부에 형성된 복수의 채널들을 가진다. 상기 제 4 플레이트는, 상기 제 3 플레이트 내의 제 1의 복수의 가스 통로들에 유체적으로 커플링된, 이를 관통하여 형성된 복수의 가스 통로들을 가진다. 상기 제 4 플레이트는 상기 복수의 채널들 및 상기 복수의 가스 통로들을 제 1 영역 및 제 2 영역으로 분리하는 하나 또는 둘 이상의 벽들을 구비한다. 상기 제 1 영역 내의 복수의 가스 통로들은 상기 제 1 가스 매니폴드에 유체적으로 커플링되고 그리고 상기 제 2 영역 내의 복수의 가스 통로들은 상기 제 2 가스 매니폴드에 유체적으로 커플링된다.
본원 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서서 간략하게 요약한 본원 발명의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본원 발명의 전형적인 실시예들을 도시하는 것이므로 본원 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본원 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 여기에서 기술된 실시예들에 따른 화합물 질화물 반도체 디바이스들을 제조하기 위한 프로세싱 시스템을 도시한 개략적인 평면도이다.
도 2는 일 실시예에 따른 프로세싱 챔버의 개략적인 횡단면도이다.
도 3a는 일 실시예에 따른 샤워헤드의 개략적인 횡단면도이다.
도 3b는 도 3a에 도시된 중간 플레이트의 개략적인 평면도이다.
도 3c는 도 3a에 도시된 전환기(diverter) 플레이트의 개략적인 평면도이다.
도 4a는 다른 실시예에 따른 샤워헤드의 개략적인 횡단면도이다.
도 4b는 도 4a에 도시된 중간 플레이트의 개략적인 평면도이다.
도 4c는 도 4a에 도시된 하단부 플레이트의 개략적인 평면도이다.
도 4d는 도 4a에 도시된 전환기 플레이트의 개략적인 평면도이다.
도 5는 도 3a-3c에 도시된 샤워헤드의 분해된 직교도(orthogonal view)이다.
도 6은 도 4a-4d에 도시된 샤워헤드의 분해된 직교도이다.
본원 발명의 실시예들은 일반적으로 프로세싱 챔버의 프로세싱 영역 내로 다수의 전구체 가스들을 독립적으로(separately) 제공함으로써 필름들의 증착을 위해서 이용될 수 있는 방법 및 장치를 제공한다. 일반적으로, 그러한 장치는 함께 체결된 다수의 플레이트들로 제조된 샤워헤드 조립체이다. 샤워헤드 조립체는 상단부 및 중간 플레이트에 의해서 경계 지어지는 가스 매니폴드 그리고 중간 플레이트 및 하단부, 즉 열 교환 플레이트에 의해서 경계 지어지는 전환기 플레이트(diverter plate)를 포함한다. 제 1 프로세싱 가스가 가스 매니폴드로 유입되고 그리고 전환기 플레이트에 의해서 하단부 플레이트를 통해서 전환된다. 제 2 가스가 가스 매니폴드로 유입되고 그리고 전환기 플레이트에 의해서 하단부 플레이트를 통해서 전환되고 그에 따라 제 1 및 제 2 가스들이 샤워헤드를 빠져나가기 이전에 혼합되지 않는다. 일 실시예에서, 제 1 프로세싱 가스가 상이한 유량들 및/또는 압력들로 샤워헤드의 중앙 및 외측 영역들을 통해서 분배된다. 또한, 제 2 프로세싱 가스가 상이한 유량들 및/또는 압력들로 샤워헤드의 중앙 및 외측 영역들을 통해서 분배될 수 있다.
도 1은 여기에 설명된 실시예들에 따라 반도체 디바이스들을 제조하기 위해서 하나 또는 둘 이상의 프로세싱 챔버들(102)을 포함하는 프로세싱 시스템(100)의 일 실시예를 도시하는 개략적인 평면도이다. 프로세싱 시스템(100)은 이송 챔버(106), 이송 챔버(106)와 커플링된 프로세싱 챔버(102), 이송 챔버(106)와 커플링된 로드락 챔버(108), 이송 챔버(106)와 커플링되며 기판들을 저장하기 위한 배치(batch) 로드락 챔버(109), 및 로드락 챔버(108)와 커플링되며 기판들을 로딩하기 위한 로드 스테이션(110)을 포함한다. 이송 챔버(106)는 로드락 챔버(108)와 프로세싱 챔버(102) 사이에서 기판들을 픽업하고 이송하도록 동작 가능한 로봇 조립체(미도시)를 수용한다. 또한, 기판들을 프로세싱 시스템(100)의 진공 분위기에서 저장하기 위해서 프로세싱 챔버(102) 또는 로드락 챔버(108)로부터 배치 로드락 챔버로 기판들을 이송하도록 로봇 조립체가 동작될 수 있다. 비록 단일 프로세싱 챔버(102)가 도시되어 있지만, 하나 초과의 프로세싱 챔버(102)가 또한 이송 챔버(106)와 커플링될 수 있을 것이다. 프로세싱 챔버(102)가 MOCVD 챔버 또는 HVPE 챔버일 수 있을 것이다. 또한, 비록 클러스터 툴이 도시되었지만, 여기에서 설명된 실시예들은 선형 트랙 시스템들을 이용하여 실시될 수 있을 것이다.
프로세싱 시스템(100)에서, 로봇 조립체(미도시)는, 증착을 수행하기 위해서, 기판들이 로딩된 기판 캐리어 플레이트(112)를 프로세싱 챔버(102) 내로 이송한다. 일부 또는 모든 증착 단계들이 완료된 이후, 기판 캐리어 플레이트(112)가 이송 로봇을 경유하여 프로세싱 챔버(102)로부터 로드락 챔버(108)로 다시 이송된다. 기판 캐리어 플레이트(112)가 이어서 로드 스테이션(110)으로 이송될 수 있을 것이다. MOCVD 챔버(102) 내에서의 추가적인 프로세싱에 앞서서, 기판 캐리어 플레이트(112)가 로드락 챔버(108) 또는 배치 로드락 챔버(109) 내에 저장될 수 있을 것이다.
일반적으로, 각각의 프로세싱 챔버(102)는, 기판들이 프로세싱을 거치기 위해서 배치되는 프로세싱 영역을 둘러싸는 프로세싱 챔버 본체(150)를 포함한다. 각각의 프로세싱 챔버(102)는 화학물질 전달 모듈(152) 및 전기적 모듈(154)을 더 포함하고, 상기 화학물질 전달 모듈(152)로부터 가스 전구체들이 챔버 본체(150)로 전달되고, 그리고 상기 전기적 모듈(154)은 각각의 프로세싱 챔버(102)를 위한 전기 시스템을 포함한다.
시스템 제어기(160)가 프로세싱 시스템(100)의 활동들 및 동작 매개변수들을 제어한다. 시스템 제어기(160)는 컴퓨터 프로세서 및 상기 프로세서에 커플링된 컴퓨터-판독가능 메모리를 포함한다. 프로세서는 메모리 내에 저장된 컴퓨터 프로그램과 같은 시스템 제어 소프트웨어를 실행한다.
도 2는 일 실시예에 따른 프로세싱 챔버(102)의 개략적인 횡단면도이다. 프로세싱 챔버(102)는 상기 챔버(102)의 상부 단부에 샤워헤드 조립체(201)가 배치된 챔버 본체(202) 및 상기 챔버(102)의 하부 단부에 배치된 하부 돔(219)을 포함한다. 샤워헤드 조립체(201)의 내부의 상세 부분들이 도 3a-c 및 4a-d와 관련하여 도시되고 설명된다.
프로세싱 부피(208)가 기판 지지부(214), 샤워헤드 조립체(201), 및 챔버 본체(202)의 벽들 사이에 규정되도록, 기판 지지부(214)가 챔버 본체(202) 내에 배치된다. 화학물질 전달 모듈(203)이 샤워헤드 조립체(201)에 커플링되어 전구체 가스들, 캐리어 가스들, 세정 가스들, 및/또는 퍼지 가스들을 프로세싱 부피(208)로 전달한다. 또한, 상기 샤워헤드 조립체(201)를 통해서 열 교환 유체를 유동시켜 상기 샤워헤드 조립체(201)의 온도를 조절하는 것을 돕기 위해서, 열 교환 시스템(270)이 샤워헤드 조립체(201)에 커플링된다.
원격 플라즈마 공급원(226)이 화학물질 전달 모듈(203)과 샤워헤드 조립체(201) 사이에 커플링될 수 있을 것이다. 세정 가스를 프로세싱 부피(208)로 전달하기 위해서, 세정 도관(204)이 샤워헤드 조립체(201)를 통해서 배치될 수 있을 것이다. 예시적인 세정 가스들은 염소 함유 가스들, 불소 함유 가스들, 요오드 함유 가스들, 브롬 함유 가스들, 질소 함유 가스들, 및/또는 다른 반응성 가스들이다. 프로세싱 부피(208)를 배기(evacuate)하기 위해서 진공 시스템(212)이 챔버 본체(202)에 커플링된다. 프로세싱 중에, 기판 캐리어 플레이트(112)가 프로세싱 부피(208) 내에서 기판 지지부(214) 상에 배치된다. 액추에이터 조립체(275)가 기판 지지부(214)에 부착되고 그리고 프로세싱 위치와 로딩 위치 사이에서 기판 지지부(214)를 샤워헤드 조립체(201)를 향해서 그리고 샤워헤드 조립체(201)로부터 멀리 이동시키도록 구성된다. 또한, 액추에이터 조립체는 프로세싱 중에 중심 축("CA")을 중심으로 기판 지지부(214)를 회전시키도록 구성될 수 있을 것이다. 프로세싱 중에, 프로세싱 부피(208) 근처의 샤워헤드 조립체(201)의 표면으로부터 기판 캐리어 플레이트(112)까지의 거리는 바람직하게 약 4 mm 내지 약 41 mm의 범위이다. 특정 실시예들에서, 기판 지지부(214)가, 그 내부에 배치되고 그리고 기판 지지부(214)의 그리고, 결과적으로, 상기 기판 지지부(214) 상에 배치된 기판 캐리어 플레이트(112)뿐만 아니라 상기 기판 캐리어 플레이트(112) 상에 배치된 기판(240)의 온도를 제어하도록 구성되는 가열 요소(예를 들어, 저항형 가열 요소(미도시))를 가진다.
하부 부피(210)가 기판 지지부(214)와 하부 돔(219) 사이에 규정된다. 기판 지지부(214)가 상승된 프로세싱 위치에서 기판 캐리어 플레이트(112)를 지지하는 것으로 도시되어 있으나, 예를 들어 기판 캐리어 플레이트(112)가, 기판들(240)과 함께, 로딩되고 언로딩될 수 있는 하부 위치로 이동될 수 있을 것이다. 배기 링(220)이 기판 캐리어 플레이트(112)의 둘레 주위로 배치되어 하부 돔(219) 상에서 증착이 발생되는 것을 방지하는데 도움을 줄 수 있을 것이고 그리고 또한 배기 가스들을 챔버(102)로부터 배기 포트들(209)로 지향시키는데 도움을 줄 수 있을 것이다. 기판들(240)의 복사 가열을 위해서 빛이 통과될 수 있도록 허용하기 위해서, 하부 돔(219)이, 고순도 석영과 같은, 투명한 재료로 제조될 수 있을 것이다. 복사 가열은 하부 돔(219) 아래에 배치된 복수의 내측 램프들(221A) 및 외측 램프들(221B)에 의해서 제공될 수 있을 것이다. 반사부들(266)을 이용하여, 내측 램프들(221A) 및 외측 램프들(221B)에 의해서 제공되는 복사 에너지에 대한 챔버(102)의 노출을 제어하는데 도움을 줄 수 있을 것이다. 기판들(240)의 보다 미세한 온도 제어를 위해서 부가적인 램프들의 링들(미도시)이 또한 이용될 수 있을 것이다.
퍼지 가스가 화학물질 전달 모듈(203)로부터 상기 챔버 본체(202)의 하단부 근처에 배치된 퍼지 가스 튜브들(283)을 통해서 전달될 수 있을 것이다. 퍼지 가스가 챔버(102)의 하부 부피(210)로 유입되고 기판 캐리어 플레이트(112) 및 배기 링(220)을 지나서 위쪽으로 그리고 환형 배기 채널(205) 주위로 배치된 다수의 배기 포트들(209) 내로 유동한다. 기판 지지부(214)와 배기 링(220) 사이의 퍼지 가스의 상향 유동은 증착 가스들이 하부 부피(210)로 유입되는 것을 방지하는데 있어서 그리고 하부 돔(219)의 표면 상에 필름이 증착하는 것을 방지하는데 있어서 도움이 된다.
앞서서 주지한 바와 같이, 화학물질 전달 모듈(203)은 화학물질들을 프로세싱 챔버(102)로 공급한다. 반응성 가스들(예를 들어, 전구체 가스들), 캐리어 가스들, 퍼지 가스들, 및 세정 가스들이 화학물질 전달 모듈(203)로부터 공급 라인들을 통해서 그리고 챔버(102) 내로 공급될 수 있을 것이다. 일반적으로, 가스들의 각각을 위한 공급 라인들은 연관된 라인으로의 가스의 유동을 자동적으로 또는 수동적으로 차단하기 위해서 이용될 수 있는 차단 밸브들 및 공급 라인들을 통한 가스 또는 액체의 유동을 측정하는 질량 유동 제어기들 또는 다른 타입들의 제어기들을 포함한다. 가스들의 각각을 위한 공급 라인들은 또한 전구체 농도들을 모니터링하고 실시간 피드백을 제공하기 위한 농도 모니터들을 포함할 수 있을 것이다. 전구체 가스 농도들을 제어하기 위해서 배압 조절기들이 포함될 수 있을 것이다. 신속하고 정확한 밸브 스위칭 능력을 위해서, 밸브 스위칭 제어를 이용할 수 있을 것이다. 가스 라인들 내의 수분 센서들이 수분 레벨들을 측정하고 그리고 시스템 소프트웨어로 피드백을 제공할 수 있고, 상기 시스템 소프트웨어는 다시 운영자들에게 경고들/경보들을 제공할 수 있다. 전구체들 및 세정 가스들이 공급 라인들 내에서 응축되는 것을 방지하기 위해서, 가스 라인들이 또한 가열될 수 있을 것이다.
도 3a는 일 실시예에 따른 샤워헤드(201)의 개략적인 횡단면도이다. 도 5는 도 3a에 도시된 샤워헤드(201)의 개략적인, 분해된, 직교도이다. 샤워헤드 조립체(201)는 중간 플레이트(320)에 커플링된 상단부 플레이트(310)를 포함한다. 하단부 플레이트(330)가 상기 중간 플레이트(320) 아래에 배치된다. 상단부 플레이트(310)는, 상기 상단부 플레이트(310)와 상기 중간 플레이트(320) 사이에 형성된 가스 매니폴드(325) 내로 제 1 프로세싱 가스를 전달하기 위해서 관통 형성된 하나 또는 둘 이상의 가스 유입구들(304)을 가지는 알루미늄 또는 스테인리스 스틸 플레이트일 수 있을 것이다. 도 3b는 도 3a에 도시된 중간 플레이트(320)의 평면도이다. 중간 플레이트(320)는, 내부에 웰(well)(322)이 형성된 그리고 복수의 가스 통로들(324)이 관통하여 형성된 알루미늄 또는 스테인리스 스틸 플레이트일 수 있을 것이다. 복수의 가스 통로들(324)이 도시된 바와 같이 복수의 행들(rows)로 배열될 수 있을 것이다. 매니폴드(325)는, 중간 플레이트(320) 내의 한쪽이 막힌(blind) 홀들(314)과 결합하는, 복수의 나사들(312) 또는 다른 적합한 체결구들을 이용하여, 상단부 플레이트(310)를 중간 플레이트(320)에 커플링시킴으로써 형성될 수 있을 것이다. 상단부 플레이트(310)와 중간 플레이트(320)가 부착될 때, 상단부 플레이트(310)와 중간 플레이트(320) 사이에 금속-대-금속 밀봉이 유지되어 매니폴드(325)로 유입되는 유체들이 샤워헤드 조립체(201)의 둘레 주위로 밀봉되도록, 상단부 플레이트(310)와 중간 플레이트(320)의 정합하는(mating) 표면들이 기계가공될 수 있을 것이다. 대안적으로, o-링들과 같은 다른 밀봉 수단들이 유체 밀봉을 유지하도록 이용된다.
제 1 프로세싱 가스가 화학물질 전달 모듈(203)에 의해서 하나 또는 둘 이상의 가스 유입구들(304)을 통해서 가스 매니폴드(325) 내로 전달될 수 있을 것이다. 제 1 프로세싱 가스가 매니폴드(325) 내에서 혼합되고 그리고 복수의 가스 통로들(324)을 통해서 매니폴드(325)를 빠져 나간다. 하나의 예에서, 제 1 프로세싱 가스가 적합한 갈륨(Ga) 전구체(예를 들어, 트리메틸 갈륨(TMG), 트리에틸 갈륨(TEG)), 적합한 알루미늄 전구체(예를 들어, 트리메틸 알루미늄(TMA)), 또는 적합한 인듐 전구체(예를 들어, 트리메틸 인듐(TMI))와 같은 금속 유기 전구체이다.
도 3a에 도시된 바와 같이, 하단부 플레이트(330)는 내부에 웰(336)이 형성된 제 2 플레이트(334)에 커플링된 제 1 플레이트(332)를 포함한다. 제 1 플레이트(332)는 복수의 홀들(333)이 관통하여 형성된 알루미늄 또는 스테인리스 스틸 플레이트일 수 있을 것이다. 제 2 플레이트(334)는 복수의 홀들(335)이 관통하여 형성된 알루미늄 또는 스테인리스 스틸 플레이트일 수 있을 것이며, 상기 홀들의 각각이 각각의 홀(333)과 정렬된다. 홀들(333, 335)이 도시된 바와 같이 복수의 행들로 배열될 수 있을 것이다. 복수의 도관들(338)이 정렬된 홀들(333, 335)의 각각의 쌍 내에 배치되고 그리고, 예를 들어, 브레이징 또는 용접에 의해서, 제 1 플레이트(332) 및 제 2 플레이트(334)에 커플링된다. 제 1 플레이트(332) 및 제 2 플레이트(334)가, 예를 들어 브레이징 또는 용접에 의해서, 함께 커플링되고, 그에 따라 도관들(338)이 관통하여 통과하는 단일 채널(337)이 제 1 플레이트(332)와 제 2 플레이트(334) 사이에 형성되고 그리고 샤워헤드 조립체(201)의 둘레 주위에 밀봉된다. 또한, 하나 또는 둘 이상의 유체 유입구들(331) 및 유체 배출구들(339)이 하단부 플레이트(330) 내에 형성되어 채널(337)을 열 교환 시스템(270)의 유입구 및 배출구와 유체적으로 커플링시킨다.
열 교환 유체가 열 교환 시스템(270)으로부터 하나 또는 둘 이상의 유체 유입구들(331)을 통해서 채널(337) 내로 전달될 수 있을 것이다. 이어서, 열 교환 유체가 채널(337)을 통해서 순환되어, 도관들(338)을 둘러싼다. 이어서 열 교환 유체가 하나 또는 둘 이상의 유체 배출구들(339)을 통해서 채널(337)을 빠져 나가고, 그리고 열 교환 시스템(270)으로 복귀된다. 적절한 열 교환 유체들은 물, 수성 에틸렌 글리콜 혼합물들, 퍼플루오로폴리에테르(예를 들어, GALDEN® 유체), 유성 열 전달 유체들, 또는 유사한 유체들을 포함하지만, 이러한 것으로 제한되는 것은 아니다.
샤워헤드(201)는 상기 중간 플레이트(320)와 상기 하단부 플레이트(330) 사이에 배치된 전환부 플레이트(340)를 더 포함한다. 상기 전환부 플레이트(340)는 일반적으로 샤워헤드(201)를 통해서 유동하는 2개의 독립적인 가스들 사이의 절연을 유지한다. 도 3c는 도 3a에 도시된 전환부 플레이트(340)의 평면도이다. 전환부 플레이트(340)는 폴리머 재료(예를 들어, 폴리테트라플루오로에틸렌(PTFE), 불화 에틸렌 프로필렌(fluorinated ethylene propylene; FEP), 폴리비닐리덴(PFDF), 폴리에틸렌(PE)) 또는 알루미늄이나 스테인리스 스틸과 같은 금속으로 제조될 수 있을 것이다. 전환부 플레이트(340)는, 이를 관통하여 배치되고 가스 통로들(324) 및 많은 수의 도관들(338)과 정렬되는 복수의 제 1 가스 통로들(342)을 포함한다. 도시된 바와 같이, 제 1 가스 통로들(342)은 중간 플레이트(320) 내의 가스 통로들(324)의 행들과 합치되는(matching) 복수의 행들로 정렬될 수 있을 것이다. 제 1 가스 통로들(342)의 행들이 도관들(338)의 교번적인 행들과 추가적으로 정렬될 수 있을 것이다.
추가적으로, 채널(344)들이 제 1 가스 통로들(342)의 근처의(adjacent) 행들 사이의 전환부 플레이트(340) 내에 형성된다. 복수의 제 2의 가스 통로들(346)이, 도관들(338)의 교번적인 행들과 정렬되는, 채널들(344)의 각각의 내에서 전환부 플레이트(340)를 통해서 형성된다. 전환부 플레이트(340)는 전환부 플레이트(340)의 둘레 주위에 배치되고 채널들(344)의 각각과 유체 소통하는 둘레 채널(348)을 더 포함한다. 또한, 중간 플레이트(320)는 화학물질 전달 모듈(203)로부터 둘레 채널(348) 내로 제 2 프로세싱 가스를 전달하기 위한, 관통하여 배치된, 하나 또는 둘 이상의 가스 유입구들(328)을 포함한다.
중간 플레이트(320) 및 하단부 플레이트(330)가, 하단부 플레이트(330) 내의 한쪽이 막힌 홀들(316)과 결합하는 복수의 나사들(312) 또는 다른 적합한 체결구들을 이용하여, 함께 커플링된다. 중간 플레이트(320) 및 하단부 플레이트(330)가 부착될 때, 중간 플레이트(320)와 하단부 플레이트(330) 사이에서 금속-대-금속 밀봉이 유지되어 둘레 채널(348)로 유입되는 유체들이 샤워헤드 조립체(201)의 둘레의 주위에서 밀봉되도록, 중간 플레이트(320)와 하단부 플레이트(330)의 정합 표면들이 기계가공될 수 있을 것이다. 대안적으로, 유체 밀봉을 유지하기 위해서, o-링들과 같은 다른 밀봉 수단이 이용된다.
제 2 프로세싱 가스가 화학물질 전달 모듈(203)에 의해서 하나 또는 둘 이상의 가스 유입구들(328)을 통해서 둘레 채널(348) 내로 전달될 수 있을 것이다. 제 2 프로세싱 가스가 둘레 채널(348)로부터 채널들(344)로 분배되고 그리고 제 2의 복수의 가스 통로들(346)을 통해서 전달된다. 하나의 예에서, 제 2 프로세싱 가스가 암모니아(NH3) 또는 다른 MOCVD 또는 HVPE 프로세싱 가스와 같은 적합한 질소-함유 프로세싱 가스이다.
전환부 플레이트(340)가 중간 플레이트(320)와 하단부 플레이트(330) 사이에 샌드위치된다. 전환부 플레이트(340)와 중간 플레이트(320) 사이의 정합하는 표면들뿐만 아니라 전환부 플레이트(340)와 하단부 플레이트(330) 사이의 정합하는 표면들이 표면-대-표면 밀봉을 형성하여, 가스 매니폴드(325)로부터 제 1 가스 통로들(342)을 통해서 전달되는 유체들이 둘레 채널(348)로 그리고 제 2 가스 통로들(346)을 통해서 전달되는 유체들과, 각각의 도관들(338)을 통해서 전달되기 이전에, 혼합되지 않도록, 전환부 플레이트(340)가 형성될 수 있을 것이다. 대안적으로, 유체 밀봉을 유지하기 위해서, o-링과 같은 다른 밀봉 수단이 이용된다.
도 2 및 3a를 참조하면, 금속 유기 전구체와 같은 제 1 프로세싱 가스가 화학물질 전달 모듈(203)로부터 하나 또는 둘 이상의 가스 유입구들(304)을 통해서 가스 매니폴드(325)로 전달될 수 있을 것이다. 이어서, 제 1 프로세싱 가스가 가스 매니폴드(325)로부터 중간 플레이트 내의 복수의 가스 통로들(324)을 통해서, 그리고 전환부 플레이트(340) 내의 제 1 가스 통로들(342)을 통해서 전달된다. 이어서, 제 1 프로세싱 가스가 제 1 가스 통로들(342)과 정렬된 도관들(338)을 통해서 그리고 프로세싱 부피(208) 내로 전달된다.
실질적으로 동시에, 질소 전구체와 같은 제 2 프로세싱 가스가 화학물질 전달 모듈(203)로부터 하나 또는 둘 이상의 가스 유입구들(328)을 통해서 둘레 채널(348) 내로 전달될 수 있을 것이다. 제 2 프로세싱 가스는 둘레 채널(348)로부터 채널들(344)로 그리고 중간 플레이트(320) 내의 제 2의 복수의 가스 통로들(346)을 통해서 분배된다. 이어서, 제 2 프로세싱 가스는 제 2 가스 통로들(346)과 정렬된 도관들(338)을 통해서 그리고 프로세싱 부피(208) 내로 전달되기 이전에 제 1 프로세싱 가스와 혼합되지 않고, 프로세싱 부피(208) 내로 전달된다.
동시에, 제 1 및 제 2 프로세싱 가스들이 샤워헤드(201)를 통해서 그리고 프로세싱 부피(208) 내로 전달되고, 열 교환 유체가 하단부 플레이트(330) 내의 하나 또는 둘 이상의 유체 유입구들(331)을 통해서 열 교환 시스템(270)으로부터 채널(337) 내로 전달될 수 있을 것이다. 열 교환 유체가 채널(337)을 통해서 순환되어, 제 1 및 제 2 프로세싱 가스들뿐만 아니라 프로세싱 부피(208)와 대면하는 하단부 플레이트(330)의 표면을 냉각시킨다. 이어서, 열 교환 유체가 하나 또는 둘 이상의 유체 배출구들(339)을 통해서 채널(337)을 빠져 나가고, 그리고 열 교환 시스템(270)으로 복귀된다.
도 4a는 다른 실시예에 따른 샤워헤드(201)의 개략적인 횡단면도이다. 도 6은 도 4a에 도시된 샤워헤드(201)의 개략적인 분해된 직교도이다. 도 4a에 도시된 실시예의 특징들 중 많은 특징들은 도 3a와 관련하여 도시되고 설명된 특징들과 동일하고 그리고 여기에서 추가적으로 설명하지 않는다. 도 4a에 도시된 바와 같이, 상단부 플레이트(410)가 외측 가스 매니폴드(425) 내로 프로세싱 가스를 전달하기 위해서 하나 또는 둘 이상의 관통 형성된 외측 가스 유입구들(404), 및 내측 가스 매니폴드(426) 내로 동일한 또는 상이한 프로세싱 가스를 독립적으로 전달하기 위해서 하나 또는 둘 이상의 관통 형성된 내측 가스 유입구들(405)을 포함한다. 일 실시예에서, 동일한 프로세싱 가스가 외측 가스 매니폴드(425) 및 내측 가스 매니폴드(426)로 상이한 유량들 및/또는 압력들로 전달된다.
도 4b는 도 4a에 도시된 중간 플레이트(420)의 평면도이다. 중간 플레이트(420)는 내부에 형성되고 환형 벽(421)에 의해서 분리된 외측 웰(422) 및 내측 웰(423)을 가진다. 중간 플레이트(420)를 통해서 형성된 가스 통로들(424)이 복수의 행들로 배열될 수 있을 것이다. 외측 가스 매니폴드(425) 및 내측 가스 매니폴드(426)는, 전술한 바와 같이, 복수의 나사들(312) 또는 다른 적합한 체결구들을 이용하여, 상단부 플레이트(410)를 중간 플레이트(420)에 커플링시킴으로써 형성된다. 상단부 플레이트(410)와 중간 플레이트(420)가 부착될 때, 상단부 플레이트(410)와 중간 플레이트(420) 사이에 금속-대-금속 밀봉이 유지되어, 내측 가스 매니폴드(426)로 유입하는 유체들이 외측 가스 매니폴드(425)로 유입하는 유체들로부터 밀봉되도록 또는 그 반대가 되도록, 상단부 플레이트(410)와 중간 플레이트(420)의 정합하는 표면들이 기계가공될 수 있을 것이다. 또한, 외측 가스 매니폴드(425)로 유입하는 유체들이 샤워헤드 조립체(201)의 둘레 주위에서 밀봉된다. 대안적으로, 유체 밀봉을 유지하기 위해서, o-링들과 같은 다른 밀봉 수단이 이용된다.
제 1 프로세싱 가스가 화학물질 전달 모듈(203)에 의해서 하나 또는 둘 이상의 가스 유입구들(404)을 통해서 외측 가스 매니폴드(425) 내로 제 1 유량 및/또는 압력으로 전달될 수 있을 것이다. 동일한 또는 상이한 프로세싱 가스가 화학물질 전달 모듈(203)에 의해서 하나 또는 둘 이상의 가스 유입구들(405)을 통해서 내측 가스 매니폴드(426) 내로 상기 제 1 유량 및/또는 압력과 상이한 제 2 유량 및/또는 압력으로 전달될 수 있을 것이다.
도 4a에 도시된 바와 같이, 하단부 플레이트(430)가 단일 알루미늄 또는 스테인리스 스틸 플레이트로부터 제조된다. 도 4c는 도 4a에 도시된 하단부 플레이트(430)의 평면도이다. 도 4a 및 4c에 도시된 하단부 플레이트(430)는, 도시된 바와 같이 복수의 행들로 배열될 수 있는, 복수의 관통 형성된 가스 통로들(433)을 가진다. 하단부 플레이트(430)는 가스 통로들(433)의 행들 사이에 형성된 복수의 채널들(435)을 추가적으로 구비한다. 하단부 플레이트(430)는 또한, 도 4c에 도시된 바와 같이, 상기 채널들(435)의 방향에 대해서 실질적으로 수직한 방향으로 상기 가스 통로들(433) 사이에 형성되고 그리고 상기 채널들(435)과 유체 소통하는 복수의 교차(cross)-채널들(436)을 또한 가질 수 있을 것이다. 또한, 채널들(435) 및/또는 교차-채널들(436)과 유체 소통하는, 하나 또는 둘 이상의 공급 채널들(432) 및 하나 또는 둘 이상의 복귀 채널들(437)이 하단부 플레이트(430) 내에 형성된다. 채널들(435), 교차-채널들(436), 공급 채널들(432), 및 복귀 채널들(437)이 제위치에 브레이징되는 또는 레이저 용접되는 알루미늄 또는 스테인리스 스틸 캡들(438)(도 4c에 도시하지 않음)로 독립적으로 밀봉된다. 추가적으로, 하나 또는 둘 이상의 유체 유입구들(431) 및 유체 배출구들(439)이 하단부 플레이트(430) 내에 형성되어 채널들(435) 및/또는 교차-채널들(436)을 공급 채널들(432) 및 복귀 채널들(437)을 통해서 열 교환 시스템(270)에 유체적으로 커플링시킨다.
열 교환 유체가 열 교환 시스템(270)으로부터 하나 또는 둘 이상의 유체 유입구들(431)을 통해서 하나 또는 둘 이상의 공급 채널들(432)로 전달될 수 있을 것이다. 이어서, 열 교환 유체가 채널들(435) 및/또는 교차-채널들(436)을 통해서 그리고 하나 또는 둘 이상의 복귀 채널들(437) 내로 순환된다. 이어서, 열 교환 유체가 하나 또는 둘 이상의 유체 배출구들(439)을 통해서 샤워헤드(201)를 빠져 나가고 그리고 열 교환 시스템(270)으로 복귀된다.
도 3a에서 도시되고 그에 대해서 설명한 것과 유사하게, 전환부 플레이트(440)가 중간 플레이트(420)와 하단부 플레이트(430) 사이에 배치된다. 도 4d는 도 4a에 도시된 전환부 플레이트(440)의 개략적인 평면도이다. 전환부 플레이트(440)는, 이를 관통하여 배치되고 가스 통로들(424) 및 많은 수의 가스 통로들(433)과 정렬된 복수의 제 1 가스 통로들(442)을 포함한다. 제 1 가스 통로들(442)이 중간 플레이트(420) 내의 가스 통로들(424)의 행들과 합치되는 복수의 행들로 정렬될 수 있을 것이다. 제 1 가스 통로들(442)의 행들이 하단부 플레이트(430) 내의 가스 통로들(424)의 교번적인 행들과 추가적으로 정렬될 수 있을 것이다.
채널들(444)이 제 1 가스 통로들(442)의 근처의 행들 사이의 전환부 플레이트(440) 내에 형성된다. 복수의 제 2의 가스 통로들(446)이, 하단부 플레이트(430) 내의 가스 통로들(424)의 교번적인 행들과 정렬되는, 채널들(444)의 각각의 내에서 전환부 플레이트(440)를 통해서 형성된다. 채널들(444)은 환형 벽(465)(도 4d)에 의해서 내측 영역(462) 및 외측 영역(464)으로 분할된다. 환형 벽(465)은, 내측 영역(462) 내의 채널들(444)과 유체 소통하는, 전환부 플레이트(440) 내에 형성된 환형 채널(467)을 둘러쌀 수 있을 것이다. 또한, 전환부 플레이트(440)는, 중간 플레이트(420) 내에 형성되고 화학물질 전달 모듈(203)에 커플링된 하나 또는 둘 이상의 제 1 가스 유입구들(429)로부터 제 2 프로세싱 가스를 공급하기 위해서 내부에 형성된 하나 또는 둘 이상의 공급 채널들(468)을 가진다. 전환부 플레이트(440)는, 전환부 플레이트(440)의 외측 영역(464) 내의 채널들(444)과 유체 소통하는 전환부 플레이트(440)의 둘레 주위에 배치된 둘레 채널(448)을 더 포함한다. 또한, 중간 플레이트(420)는, 화학물질 전달 모듈(203)로부터 둘레 채널(448) 내로 동일한 또는 상이한 제 2 프로세싱 가스를 전달하기 위해서 관통하여 배치된 하나 또는 둘 이상의 제 2 유체 유입구들(428)을 포함한다.
중간 플레이트(420) 및 하단부 플레이트(430)는, 하단부 플레이트(430) 내의 한쪽이 막힌 홀들(316)과 결합하는 복수의 나사들(312) 또는 다른 적합한 체결구들을 이용하여, 함께 커플링될 수 있을 것이다. 중간 플레이트(420) 및 하단부 플레이트(430)가 부착될 때, 중간 플레이트(420)와 하단부 플레이트(430) 사이에서 금속-대-금속 밀봉이 유지되어 둘레 채널(448)로 유입되는 유체들이 샤워헤드 조립체(201)의 둘레 주위에서 밀봉되도록, 중간 플레이트(420)와 하단부 플레이트(430)의 정합 표면들이 기계가공될 수 있을 것이다. 대안적으로, 유체 밀봉을 유지하기 위해서, o-링들과 같은 다른 밀봉 수단이 이용된다.
제 2 프로세싱 가스가 화학물질 전달 모듈(203)에 의해서 하나 또는 둘 이상의 제 2 유체 유입구들(428)을 통해서 둘레 채널(448) 내로 제 1 유량 및/또는 압력으로 전달될 수 있을 것이다. 제 2 프로세싱 가스가 외측 영역(464)에서 둘레 채널(448)로부터 채널들(444)로 분배되고 그리고 외측 영역(464) 내에서 제 2의 복수의 가스 통로들(446)을 통해서 전달된다. 동시에, 동일한 또는 상이한 프로세싱 가스가 화학물질 전달 모듈(203)에 의해서 하나 또는 둘 이상의 제 1 가스 유입구들(429)을 통해서 하나 또는 둘 이상의 공급 채널들(468)로 전달될 수 있을 것이다. 제 2 프로세싱 가스가 공급 채널들(468)로부터 환형 채널(467)로 그리고 내측 영역(462) 내에서 채널들(444) 내로 분배된다. 이어서, 제 2 프로세싱 가스가 내측 영역(462) 내에서 제 2의 복수의 가스 통로들(446)을 통해서 전달된다. 외측 영역(464) 및 내측 영역(462)으로 전달되는 제 2 프로세싱 가스가 상기 제 1 유량 및/또는 압력과 상이한 제 2 유량 및/또는 압력의 동일한 또는 상이한 프로세싱 가스일 수 있을 것이다.
전환부 플레이트(440)가 중간 플레이트(420)와 하단부 플레이트(430) 사이에 샌드위치된다. 전환부 플레이트(440)와 중간 플레이트(420) 사이의 정합하는 표면들뿐만 아니라 전환부 플레이트(440)와 하단부 플레이트(430) 사이의 정합하는 표면이 표면-대-표면 밀봉을 형성하여, 외측 및 내측 가스 매니폴드들(425, 426)로부터 제 1 가스 통로들(442)을 통해서 전달되는 유체들이 외측 및 내측 영역들(464, 462)로 그리고 제 2 가스 통로들(446)을 통해서 전달되는 유체들과, 하단부 플레이트(430) 내의 각각의 가스 통로들(433)로 전달되기 이전에, 혼합되지 않도록, 전환부 플레이트(440)가 형성될 수 있을 것이다. 대안적으로, 유체 밀봉을 유지하기 위해서, o-링과 같은 다른 밀봉 수단이 이용된다.
도 2 및 4a를 참조하면, 금속 유기 전구체와 같은 제 1 프로세싱 가스가 화학물질 전달 모듈(203)로부터 외측 가스 매니폴드(425)로 제 1 유량 및/또는 압력으로 전달될 수 있을 것이다. 이어서, 제 1 프로세싱 가스가 외측 가스 매니폴드(425)로부터 중간 플레이트 내의 복수의 가스 통로들(424)을 통해서, 그리고 전환부 플레이트(440) 내의 정렬된 제 1 가스 통로들(442)을 통해서 전달된다. 이어서, 제 1 프로세싱 가스가 제 1 가스 통로들(442)과 정렬된 하단부 플레이트(430) 내의 가스 통로들(433)을 통해서 그리고 프로세싱 부피(208) 내로 전달된다.
동시에, 제 1 프로세싱 가스가, 상기 외측 가스 매니폴드(425)로 전달되는 상기 제 1 프로세싱 가스의 제 1 유량 및/또는 압력과 상이한 제 2 유량 및/또는 압력으로 화학물질 전달 모듈(203)로부터 내측 가스 매니폴드(426)로 전달될 수 있을 것이다. 이어서, 제 1 프로세싱 가스가 내측 가스 매니폴드(426)로부터 중간 플레이트 내의 복수의 가스 통로들(424)을 통해서, 그리고 전환기 플레이트(440) 내의 정렬된 제 1 가스 통로들(442)을 통해서 전달된다. 이어서, 제 1 프로세싱 가스는, 상기 제 1 가스 통로들(442)과 정렬된 하단부 플레이트(430) 내의 가스 통로들(433)을 통해서 그리고 프로세싱 부피(208) 내로 전달된다. 그에 따라, 제 1 프로세싱 가스가 기판 프로세싱에 걸친 보다 미세한 제어를 제공하기 위해서 상이한 유량들 및/또는 압력들로 프로세싱 부피(208)의 내측 및 외측 영역들로 전달될 수 있을 것이다.
실질적으로 동시에, 질소 전구체와 같은 제 2 프로세싱 가스가 화학물질 전달 모듈(203)로부터 하나 또는 둘 이상의 유체 유입구들(428)을 통해서 둘레 채널(448) 내로 제 1 유량 및/또는 압력으로 전달될 수 있을 것이다. 제 2 프로세싱 가스는 둘레 채널(448)로부터 전환기 플레이트(440)의 외측 영역(464) 내의 채널들(444)로 그리고 전환기 플레이트(440)의 외측 영역(464) 내의 제 2의 복수의 가스 통로들(446)을 통해서 분배된다. 이어서, 제 2 프로세싱 가스는 상기 외측 영역(464) 내의 제 2 가스 통로들(346)과 정렬된 가스 통로들(433)을 통해서 그리고 프로세싱 부피(208) 내로 전달되기 이전에 제 1 프로세싱 가스와 혼합되지 않고, 프로세싱 부피(208) 내로 전달된다.
동시에, 제 2 프로세싱 가스가 상기 둘레 채널(448)로 전달되는 제 2 프로세싱 가스의 제 1 유량 및/또는 압력과 상이한 제 2 유량 및/또는 압력으로, 화학물질 전달 모듈(203)에 의해서 하나 또는 둘 이상의 가스 유입구들(429)을 통해서 하나 또는 둘 이상의 공급 채널들(468)로 전달될 수 있을 것이다. 이어서, 제 2 프로세싱 가스가 공급 채널들(468)로부터 환형 채널(467)로, 내측 영역(462) 내의 채널들(444) 내로, 그리고 전환기 플레이트(440)의 내측 영역(462) 내의 제 2의 복수의 가스 통로들(446)을 통해서 분배된다. 이어서, 제 2 프로세싱 가스가 내측 영역(462) 내의 제 2 가스 통로들(446)과 정렬된 가스 통로들(433)을 통해서 그리고 프로세싱 부피(208) 내로, 상기 외측 영역(464)을 통해서 전달되는 제 1 프로세싱 가스 또는 제 2 프로세싱 가스와 혼합되지 않고, 전달된다. 따라서, 제 2 프로세싱 가스는 기판 프로세싱에 걸친 보다 미세한 제어를 제공하기 위해서 상이한 유량들 및/또는 압력들로 프로세싱 부피(208)의 내측 및 외측 영역들로 전달될 수 있을 것이다.
동시에, 제 1 및 제 2 프로세싱 가스들이 샤워헤드(201)를 통해서 그리고 프로세싱 부피(208) 내로 전달되고, 열 교환 유체가 열 교환 시스템(270)으로부터 하단부 플레이트(430) 내의 하나 또는 둘 이상의 공급 채널들(432)로 전달될 수 있을 것이다. 이어서, 열 교환 유체가 채널들(435) 및/또는 교차-채널들(436)을 통해서 순환되어, 제 1 및 제 2 프로세싱 가스들뿐만 아니라 프로세싱 부피(208)와 대면하는 하단부 플레이트(430)의 표면을 냉각시킨다. 이어서, 열 교환 유체가 하나 또는 둘 이상의 복귀 채널들(437)을 통해서 하단부 플레이트(430)를 빠져 나가고, 그리고 열 교환 시스템(270)으로 복귀된다.
그에 따라, 본원 발명의 실시예들은 샤워헤드를 빠져나가기 이전에 가스들을 혼합시키지 않으면서 다수의 프로세싱 가스들을 도입하기 위한 샤워헤드를 제공한다. 샤워헤드는 브레이징 또는 용접되는 대신에 적합한 체결구들을 이용하여 함께 체결된 다수의 플레이트들을 가진다. 브레이징 대신에 복수의 플레이트들을 체결함으로써 샤워헤드를 제조하는 것에 의해서, 보다 단순하고 보다 비용적으로 효과적인 제조 프로세스가 가능해진다. 또한, 하나 또는 둘 이상의 표면 처리들 또는 코팅들이 제조 중에 플레이트들의 각각에 도포될 수 있을 것이고, 그리고 샤워헤드는 코팅들의 박리(strip), 세정 및/또는 재도포를 위한 세정/개장(refurbishing) 프로세스들 중에, 브레이징된 또는 용접된 샤워헤드에 비교하여, 용이하게 분해될 수 있을 것이다. 예시적인 표면 처리들은 비드 블래스팅, 그릿 블래스팅 등을 포함할 수 있을 것이다. 예시적인 코팅들은 알루미나, 지르코늄 산화물 이트륨, 이트륨 산화물, 크롬 산화물, 실리콘 탄화물, 등을 포함할 수 있을 것이다.
비록 특정 샤워헤드 구성들이 도 3a-3c 및 4a-4d에 도시되어 있지만, 각각의 구성의 특정 양태들이 다른 것에도 균등하게 적용될 수 있을 것이다. 예를 들어, 도 3a-3c에 대해서 도시되고 설명된 구성이 도 4a-4d의 다수의 매니폴드 구성을 포함하여, 프로세싱 부피의 내측 및 외측 영역들로의 전구체 가스들의 독립적인 전달을 제공할 수 있을 것이다. 또한, 도 3a에 도시된 구성은 하단부 플레이트(330)에 대해서 치환된 하단부 플레이트(430)를 가질 수 있을 것이고, 또는 도 4a에 도시된 구성이 하단부 플레이트(430)에 대해서 치환된 하단부 플레이트(330)를 가질 수 있을 것이다.
추가적으로, 비록 여기에서의 실시예들이 일반적으로 MOCVD 및 HVPE 프로세스들에 대해서 설명되었지만, 설명된 장치들 및 방법들은, 다수의 프로세싱 가스들이, 샤워헤드를 빠져나가기 이전에 가스들이 혼합되지 않는 상태로, 프로세싱 부피 내로 도입되는 다른 프로세스들에 대해서도 균등하게 적용될 수 있다.
전술한 내용들이 본원 발명의 실시예들에 관한 것이지만, 본원 발명의 다른 그리고 추가적인 실시예들이 본원 발명의 기본적인 범위로부터 벗어나지 않고도 안출될 수 있을 것이고, 본원 발명의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (16)

  1. 샤워헤드 조립체로서:
    제 1 플레이트;
    가스 매니폴드를 형성하도록 상기 제 1 플레이트에 커플링되는 제 2 플레이트;
    상기 제 2 플레이트에 커플링되고 내부에 배치된 하나 또는 둘 이상의 온도 제어 채널들을 가지는 제 3 플레이트 ― 상기 제 3 플레이트는 이를 관통하여 형성된 복수의 제 1 가스 통로들 및 복수의 제 2 가스 통로들을 가지고, 상기 복수의 제 1 가스 통로들 및 상기 복수의 제 2 가스 통로들은 상기 하나 또는 둘 이상의 온도 제어 채널들에 의해 둘러싸임 ―; 및
    상기 제 2 플레이트와 상기 제 3 플레이트 사이에 배치되는 제 4 플레이트 ― 상기 제 4 플레이트는 상기 제 3 플레이트 내의 상기 복수의 제 2 가스 통로들에 유체적으로 커플링된, 상기 제 4 플레이트의 내부에 형성된 복수의 채널들을 가지고, 상기 제 4 플레이트는 상기 가스 매니폴드를 상기 제 3 플레이트 내의 상기 복수의 제 1 가스 통로들과 유체적으로 커플링시키는, 상기 제 4 플레이트를 관통하여 형성된 복수의 가스 통로들을 가짐 ―;를 포함하는,
    샤워헤드 조립체.
  2. 제 1 항에 있어서,
    상기 제 1, 제 2, 및 제 3 플레이트들은 복수의 기계적 체결구들을 이용하여 함께 커플링되는,
    샤워헤드 조립체.
  3. 제 1 항에 있어서,
    상기 제 4 플레이트 내의 가스 통로들은 상기 제 4 플레이트 내의 채널들로부터 격리되는,
    샤워헤드 조립체.
  4. 제 1 항에 있어서,
    상기 제 3 플레이트는 제 6 플레이트에 커플링된 제 5 플레이트를 포함하고, 상기 제 6 플레이트는 상기 제 5 플레이트와 상기 제 6 플레이트 사이에 배치된 단일 온도 제어 채널을 구비하고, 그리고 상기 제 1 및 제 2 가스 통로들이 상기 제 5 및 제 6 플레이트들에 커플링된 복수의 유체 도관들을 포함하는,
    샤워헤드 조립체.
  5. 제 1 항에 있어서,
    상기 제 3 플레이트는 상기 복수의 제 1 가스 통로와 복수의 제 2 가스 통로들 사이에 배치된 복수의 온도 제어 채널들을 가지는,
    샤워헤드 조립체.
  6. 샤워헤드 조립체로서:
    제 1 플레이트;
    복수의 가스 매니폴드들을 형성하도록 상기 제 1 플레이트에 커플링되는 제 2 플레이트;
    상기 제 2 플레이트에 커플링되고 내부에 배치된 하나 또는 둘 이상의 온도 제어 채널들을 가지는 제 3 플레이트 ― 상기 제 3 플레이트는 이를 관통하여 형성된 복수의 제 1 가스 통로들 및 복수의 제 2 가스 통로들을 가지고, 상기 제 3 플레이트는 제 6 플레이트에 커플링된 제 5 플레이트를 포함하고, 상기 제 6 플레이트는 상기 제 5 플레이트와 상기 제 6 플레이트 사이에 배치된 단일 온도 제어 채널을 구비함 ―; 및
    상기 제 2 플레이트와 상기 제 3 플레이트 사이에 배치되는 제 4 플레이트 ― 상기 제 4 플레이트는 상기 제 3 플레이트 내의 복수의 제 2 가스 통로들에 유체적으로 커플링된, 상기 제 4 플레이트의 내부에 형성된 복수의 채널들을 가지고, 그리고 상기 제 4 플레이트는 상기 복수의 가스 매니폴드들을 상기 제 3 플레이트 내의 상기 복수의 제 1 가스 통로들과 유체적으로 커플링시키는, 상기 제 4 플레이트를 관통하여 형성된 복수의 가스 통로들을 가짐 ―;를 포함하는,
    샤워헤드 조립체.
  7. 제 6 항에 있어서,
    상기 제 4 플레이트는 상기 복수의 채널들 및 상기 복수의 가스 통로들을 둘 또는 셋 이상의 격리된 영역들로 분리하는 하나 또는 둘 이상의 벽들을 가지는,
    샤워헤드 조립체.
  8. 제 7 항에 있어서,
    상기 둘 또는 셋 이상의 격리된 영역들은 외측 영역 내에 배치된 내측 영역을 포함하는,
    샤워헤드 조립체.
  9. 제 6 항에 있어서,
    상기 제 1, 제 2, 및 제 3 플레이트들이 복수의 기계적인 체결구들을 이용하여 함께 커플링된,
    샤워헤드 조립체.
  10. 삭제
  11. 제 6 항에 있어서,
    상기 제 1 및 제 2 가스 통로들이 상기 제 5 및 제 6 플레이트들에 커플링된 복수의 유체 도관들을 포함하는,
    샤워헤드 조립체.
  12. 제 11 항에 있어서,
    상기 복수의 유체 도관들은 상기 온도 제어 채널을 관통해서 배치되는,
    샤워헤드 조립체.
  13. 제 1 항에 있어서,
    상기 제 3 플레이트는 상기 복수의 제 1 및 제 2 가스 통로들 사이에 배치된 복수의 온도 제어 채널들을 가지는,
    샤워헤드 조립체.
  14. 샤워헤드 조립체로서:
    제 1 플레이트;
    제 1 가스 매니폴드 및 제 2 가스 매니폴드를 형성하도록 상기 제 1 플레이트에 커플링되는 제 2 플레이트;
    상기 제 2 플레이트에 커플링되고 내부에 배치된 하나 또는 둘 이상의 온도 제어 채널들을 가지는 제 3 플레이트 ― 상기 하나 또는 둘 이상의 온도 제어 채널들은 프로세싱 용적으로부터 격리되고 상기 제 3 플레이트 내에 형성된 유입구로부터 상기 제 3 플레이트 내에 형성된 배출구로 열 교환 유체를 순환시키도록 구성되고, 상기 제 3 플레이트는 이를 관통하여 형성된 복수의 제 1 가스 통로들 및 복수의 제 2 가스 통로들을 가지고, 상기 복수의 제 1 가스 통로들 및 상기 복수의 제 2 가스 통로들은 상기 하나 또는 둘 이상의 온도 제어 채널들에 의해 둘러싸임 ―; 및
    상기 제 2 플레이트와 상기 제 3 플레이트 사이에 배치되는 제 4 플레이트 ― 상기 제 4 플레이트는 상기 제 3 플레이트 내의 복수의 제 2 가스 통로들에 유체적으로 커플링된, 내부에 형성된 복수의 채널들을 가지고, 상기 제 4 플레이트는 상기 제 3 플레이트 내의 복수의 제 1 가스 통로들에 유체적으로 커플링된, 제 4 플레이트를 관통하여 형성된 복수의 가스 통로들을 가지며, 상기 제 4 플레이트는 상기 복수의 채널들 및 상기 복수의 가스 통로들을 제 1 영역 및 제 2 영역으로 분리하는 하나 또는 둘 이상의 벽들을 가지고, 그리고 상기 제 1 영역 내의 복수의 가스 통로들은 상기 제 1 가스 매니폴드에 유체적으로 커플링되고 그리고 상기 제 2 영역 내의 복수의 가스 통로들은 상기 제 2 가스 매니폴드에 유체적으로 커플링됨 ―;를 포함하는,
    샤워헤드 조립체.
  15. 제 14 항에 있어서,
    상기 제 3 플레이트는 상기 복수의 제 1 가스 통로와 복수의 제 2 가스 통로들 사이에 배치된 복수의 온도 제어 채널들을 가지는,
    샤워헤드 조립체.
  16. 제 1 항 또는 제 14 항에 있어서,
    상기 제 4 플레이트는 노출된 바닥 표면을 가지는,
    샤워헤드 조립체.
KR1020137027400A 2011-03-18 2011-10-28 다수 레벨의 샤워헤드 디자인 KR101903950B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161454067P 2011-03-18 2011-03-18
US61/454,067 2011-03-18
PCT/US2011/058222 WO2012128789A1 (en) 2011-03-18 2011-10-28 Multiple level showerhead design

Publications (2)

Publication Number Publication Date
KR20140023934A KR20140023934A (ko) 2014-02-27
KR101903950B1 true KR101903950B1 (ko) 2018-10-04

Family

ID=46827689

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137027400A KR101903950B1 (ko) 2011-03-18 2011-10-28 다수 레벨의 샤워헤드 디자인

Country Status (6)

Country Link
US (1) US9057128B2 (ko)
JP (2) JP5912140B2 (ko)
KR (1) KR101903950B1 (ko)
CN (1) CN103443903B (ko)
TW (1) TWI534291B (ko)
WO (1) WO2012128789A1 (ko)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103789747B (zh) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 一种气体喷淋头及制作该气体喷淋头的方法
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN104889111B (zh) * 2014-03-05 2018-05-25 北京北方华创微电子装备有限公司 一种腔室
CN106463344B (zh) * 2014-05-16 2019-10-11 应用材料公司 喷头设计
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6224263B2 (ja) * 2014-09-30 2017-11-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN105695952A (zh) * 2014-11-26 2016-06-22 广东昭信半导体装备制造有限公司 热壁式金属有机物化学气相沉积喷淋装置及工艺方法
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN104498905B (zh) * 2015-01-05 2017-03-01 中国科学院半导体研究所 用于金属有机化合物化学气相沉积设备反应室的进气顶盘
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10648080B2 (en) * 2016-05-06 2020-05-12 Applied Materials, Inc. Full-area counter-flow heat exchange substrate support
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
SG11201810824UA (en) * 2016-06-03 2019-01-30 Applied Materials Inc Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
TWI609720B (zh) * 2016-09-30 2018-01-01 漢民科技股份有限公司 應用於半導體設備之氣體噴射裝置
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP6852040B2 (ja) * 2018-11-16 2021-03-31 大陽日酸株式会社 半導体製造装置部品の洗浄装置、半導体製造装置部品の洗浄方法、及び半導体製造装置部品の洗浄システム
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
KR20220024017A (ko) * 2019-05-15 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 시스템을 위한 동적 멀티 존 유동 제어
US11555244B2 (en) * 2019-11-05 2023-01-17 Applied Materials, Inc. High temperature dual chamber showerhead
US11804363B2 (en) * 2019-11-08 2023-10-31 Applied Materials, Inc. Chamber components for gas delivery modulation
WO2021146099A1 (en) * 2020-01-13 2021-07-22 Lam Research Corporation Multizone gas distribution plate for trench profile optimization
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US20220010431A1 (en) * 2020-07-08 2022-01-13 Applied Materials, Inc. Multiple-channel showerhead design and methods in manufacturing
US11694908B2 (en) * 2020-10-22 2023-07-04 Applied Materials, Inc. Gasbox for semiconductor processing chamber
WO2023177950A1 (en) * 2022-03-17 2023-09-21 Lam Research Corporation Dual plenum showerhead with center to edge tunability
JP2024021368A (ja) * 2022-08-03 2024-02-16 日本発條株式会社 成膜用反応性ガスを射出するためのヘッドとその製造方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
WO2009052212A1 (en) 2007-10-16 2009-04-23 Applied Materials, Inc. Multi-gas straight channel showerhead

Family Cites Families (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851295A (en) 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
DD271776A1 (de) 1988-05-06 1989-09-13 Elektromat Veb Vorrichtung zur gaszufuehrung und -ableitung fuer die gasphasenbearbeitung von werkstuecken
JPH02234419A (ja) 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
USD329839S (en) 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
WO1992022084A1 (en) 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
WO1995027570A1 (en) 1994-04-08 1995-10-19 Ray Mark A Selective plasma deposition
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6218280B1 (en) 1998-06-18 2001-04-17 University Of Florida Method and apparatus for producing group-III nitrides
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6413839B1 (en) 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
US6373114B1 (en) 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
KR100304664B1 (ko) 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
TW477009B (en) 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6897119B1 (en) 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
KR100780143B1 (ko) * 2000-02-04 2007-11-27 아익스트론 아게 기재상에 하나 이상의 층을 증착하기 위한 장치와 방법
JP4849705B2 (ja) 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
JP4813737B2 (ja) 2000-04-17 2011-11-09 マットソン テクノロジー インコーポレイテッド 窒化ケイ素フィルムを形成するための超薄オキシニトリドのuv前処理法
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6616870B1 (en) 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
DE10048759A1 (de) 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
DE10057134A1 (de) 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
WO2002080225A2 (en) 2001-03-30 2002-10-10 Technologies And Devices International Inc. Method and apparatus for growing submicron group iii nitride structures utilizing hvpe techniques
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
DE10124609B4 (de) 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
WO2003003414A2 (en) 2001-06-29 2003-01-09 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
JP2003124125A (ja) 2001-10-12 2003-04-25 Applied Materials Inc 半導体製造装置
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
AU2002366856A1 (en) 2001-12-21 2003-07-09 Aixtron Ag Method for depositing iii-v semiconductor layers on a non-iii-v substrate
DE10163394A1 (de) 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
KR100568701B1 (ko) 2002-06-19 2006-04-07 니폰덴신뎅와 가부시키가이샤 반도체 발광 소자
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP4352783B2 (ja) 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (ja) 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
US6921437B1 (en) 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
DE102004009130A1 (de) 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR100578089B1 (ko) 2004-12-22 2006-05-10 주식회사 시스넥스 수소화물기상증착 반응기
JP5706601B2 (ja) 2005-03-10 2015-04-22 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 平坦な半極性窒化ガリウムの成長技術
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
JP4803578B2 (ja) 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US7364991B2 (en) 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
JP2008066490A (ja) 2006-09-06 2008-03-21 Nippon Emc Ltd 気相成長装置
JP2008243938A (ja) * 2007-03-26 2008-10-09 Nuflare Technology Inc 熱cvd方法および熱cvd装置
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US20090149008A1 (en) 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
KR101019953B1 (ko) 2008-05-22 2011-03-09 주식회사 테스 가스 공급 장치
KR100997104B1 (ko) 2008-07-04 2010-11-29 주식회사 테스 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
JP4576466B2 (ja) * 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
JP2010245135A (ja) * 2009-04-02 2010-10-28 Sharp Corp 気相成長装置
JP4699545B2 (ja) * 2009-07-06 2011-06-15 シャープ株式会社 気相成長装置及び気相成長方法
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
WO2009052213A1 (en) 2007-10-16 2009-04-23 Applied Materials, Inc. Multi-gas spiral channel showerhead
WO2009052212A1 (en) 2007-10-16 2009-04-23 Applied Materials, Inc. Multi-gas straight channel showerhead

Also Published As

Publication number Publication date
JP5912140B2 (ja) 2016-04-27
CN103443903A (zh) 2013-12-11
CN103443903B (zh) 2016-05-04
US20120234945A1 (en) 2012-09-20
JP2014512458A (ja) 2014-05-22
JP2016164994A (ja) 2016-09-08
TWI534291B (zh) 2016-05-21
TW201239132A (en) 2012-10-01
KR20140023934A (ko) 2014-02-27
WO2012128789A1 (en) 2012-09-27
US9057128B2 (en) 2015-06-16
JP6360849B2 (ja) 2018-07-18

Similar Documents

Publication Publication Date Title
KR101903950B1 (ko) 다수 레벨의 샤워헤드 디자인
US20120064698A1 (en) Multiple section showerhead assembly
US10130958B2 (en) Showerhead assembly with gas injection distribution devices
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US9644267B2 (en) Multi-gas straight channel showerhead
US20120000490A1 (en) Methods for enhanced processing chamber cleaning
US20130269612A1 (en) Gas Treatment Apparatus with Surrounding Spray Curtains
US20120111272A1 (en) Mocvd single chamber split process for led manufacturing
US20120304930A1 (en) Chamber exhaust in-situ cleaning for processing apparatuses
US20120167824A1 (en) Cvd apparatus
US20130276703A1 (en) Gas Treatment Apparatus with Surrounding Spray Curtains
TWM464459U (zh) 金屬有機化學氣相沉積反應器的氣體分佈裝置及反應器

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant