CN103443903B - 多级喷淋头设计 - Google Patents

多级喷淋头设计 Download PDF

Info

Publication number
CN103443903B
CN103443903B CN201180069329.8A CN201180069329A CN103443903B CN 103443903 B CN103443903 B CN 103443903B CN 201180069329 A CN201180069329 A CN 201180069329A CN 103443903 B CN103443903 B CN 103443903B
Authority
CN
China
Prior art keywords
plate
gas
control channel
temperature control
spray head
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180069329.8A
Other languages
English (en)
Other versions
CN103443903A (zh
Inventor
唐纳德·J·K·奥尔加多
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103443903A publication Critical patent/CN103443903A/zh
Application granted granted Critical
Publication of CN103443903B publication Critical patent/CN103443903B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05BSPRAYING APPARATUS; ATOMISING APPARATUS; NOZZLES
    • B05B1/00Nozzles, spray heads or other outlets, with or without auxiliary devices such as valves, heating means
    • B05B1/005Nozzles or other outlets specially adapted for discharging one or more gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

本发明的实施方式大体上提供一种由紧固在一起的多个板所制成的喷淋头组件。所述喷淋头组件包括气体歧管,所述气体歧管由顶板与中间板界定,且所述喷淋头组件还包括分流板,所述分流板由中间板与热交换底板界定。第一处理气体进入气体歧管,并且由分流板分流通过底板。第二处理气体进入气体歧管,并且由分流板分流通过底板,如此使得第一气体与第二气体不会在离开喷淋头之前混合。一个实施方式中,可以于不同的流量和/或压力下分配第一处理气体通过喷淋头的中央区域与外侧区域。此外,可以于不同的流量和/或压力下分配第二处理气体通过喷淋头的中央区域与外侧区域。

Description

多级喷淋头设计
发明背景
技术领域
本发明的实施方式大体上涉及衬底上的化学气相沉积(CVD)所用的设备与方法,且尤其涉及一种喷淋头组件,所述喷淋头组件由紧固在一起的多个板所制成,所述多个板用于递送多个前驱物通过所述板而不至于使多个前驱物在离开所述喷淋头前混合。
背景技术
III-V族膜在开发与制造各种半导体元件上日益重要,这些半导体元件是诸如短波长发光二极管(LED)、激光二极管(LD),以及包括高功率、高频率、高温晶体管及集成电路的电子装置。例如,短波长(例如蓝/绿光至紫外光)的LED是通过使用III族氮化物半导体材料氮化镓(GaN)所制造。已观察到,相较于使用非氮化物半导体材料(诸如II-VI族材料)制造的短波长LED,使用GaN制造的短波长LED可提供显著更高的效能与更长的操作寿命。
已用于沉积III族氮化物(诸如GaN)的一种方法是金属有机化学气相沉积(MOCVD)。此化学气相沉积方法通常是在具有温控环境的反应器中执行,以确保第一前驱物气体的稳定度,所述第一前驱物气体含有至少一种来自III族的元素,诸如镓(Ga)。第二前驱物气体(诸如氨(NH3))提供形成III族氮化物所需的氮。所述两种前驱物气体注入反应器内的处理区,在该处所述两种前驱物气体混合并且朝向处理区中被加热的衬底移动。载气可用于帮助前驱物气体朝衬底输送。前驱物在被加热的衬底的表面处反应而在衬底表面上形成III族氮化物层,诸如GaN。膜的品质部分取决于沉积的均匀度,而沉积的均匀度进而取决于前驱物于遍及衬底上的均匀温度下在整个衬底上的均匀混合。
多个衬底可排列在衬底载体上,且每一个衬底可具有一直径,所述直径范围从50mm至100mm或更大。在更大型衬底和/或更多衬底上以及较大沉积区域上均匀混合前驱物是增加产率及产量所期望的。这些因子是重要的,因为这些因子直接影响生产电子元件的成本,因而影响设备制造商在市场上的竞争力。
前驱物气体与热硬体部件的交互作用经常可见于LED或LD形成反应器的处理区中,所述交互作用通常引发前驱物裂解并且沉积在这些热表面上。一般而言,热反应器表面是由来自用于加热衬底的热源的辐射所形成。前驱物材料在热表面上的沉积在发生于前驱物分配部件(诸如气体分配装置)中或所述部件上时会特别有问题。前驱物分配部件上的沉积随时间影响流动分配的均匀性。因此,气体分配装置可在沉积工艺期间冷却,从而减少MOCVD前驱物或HVPE前驱物被加热到一温度的可能性,所述温度引发这些前驱物裂解且影响气体分配装置性能。
当期望的沉积面积增加,传统的气体分配装置(配置成递送多种处理气体给衬底)的尺寸与复杂度增加,造成制造与输送成本显著增加。例如,在多个前驱物气体分配装置中,多个歧管与气体通路可形成于许多大型板中,这些大型板随后堆迭并且永久地附接以形成多个前驱物气体分配装置。当气体分配装置增加至覆盖1平方米以上的沉积区域且气体分配通路数在数量上超过5000个时,制造这些装置的复杂度与成本急剧增加。因此需要一种改良的气体分配装置,以提供后续沉积于更大型衬底与更大的沉积区域上的膜的改良的均匀度,同时减少气体分配装置的复杂度与制造成本。
发明内容
本发明的一个实施方式中,一种喷淋头组件包含:第一板;第二板,耦接所述第一板以形成气体歧管;第三板,耦接所述第二板并且具有一或多个温度控制通道,所述一或多个温度控制通道配置在所述第三板中;以及第四板,配置在所述第二板与所述第三板之间。所述第三板具有多个第一气体通路以及多个第二气体通路,所述气体通路被形成为穿过所述第三板。所述第四板具有多个通道,所述通道形成于所述第四板中并且流体连通式耦接所述第三板中的所述多个第二气体通路,且所述第四板具有多个气体通路,所述气体通路形成为穿过所述第四板并且将所述气体歧管流体连通式耦接所述第三板中的所述多个第一气体通路。
另一实施方式中,一种喷淋头组件包含:第一板;第二板,耦接所述第一板以形成多个气体歧管;第三板,耦接所述第二板并且具有一或多个温度控制通道,所述一或多个温度控制通道配置在所述第三板中;以及第四板,配置在所述第二板与所述第三板之间。所述第三板具有多个第一气体通路以及多个第二气体通路,所述气体通路形成为穿过所述第三板。所述第四板具有多个通道,所述通道形成于所述第四板中并且流体连通式耦接所述第三板中的所述多个第二气体通路。所述第四板具有多个气体通路,所述气体通路形成为穿过所述第四板并且将所述多个气体歧管流体连通式耦接所述第三板中的所述多个第一气体通路。
又一实施方式中,一种喷淋头组件包含:第一板;第二板,耦接所述第一板以形成第一气体歧管与第二气体歧管;第三板,耦接所述第二板并且具有配置在所述第三板中的一或多个温度控制通道;以及第四板,配置在所述第二板与所述第三板之间。所述第三板具有多个第一气体通路以及多个第二气体通路,所述气体通路形成为穿过所述第三板。所述第四板具有多个通道,所述通道形成于所述第四板中并且流体连通式耦接所述第三板中的所述多个第二气体通路。所述第四板具有多个气体通路,所述气体通路形成为穿过所述第四板并且流体连通式耦接所述第三板中的所述多个第一气体通路。所述第四板具有一或多个壁,所述一或多个壁将所述多个通道与所述多个气体通路分隔成第一区域与第二区域。所述第一区域中的所述多个气体通路流体连通式耦接所述第一气体歧管,且所述第二区域中的所述多个气体通路流体连通式耦接所述第二气体歧管。
附图说明
通过参考实施方式(一些实施方式于附图中说明),可获得上述简要总结的本发明之更特定的描述,而能够详细地了解本发明的上述特征。然而应注意,附图仅说明本发明的典型实施方式,而不应将附图视为限制本发明的范围,因为本发明可允许其他等效实施方式。
图1是一示意平面图,所述平面图图示根据这里所述实施方式的处理系统,所述处理系统用于制造复合式氮化物半导体元件。
图2是根据一个实施方式的处理腔室之示意剖面图。
图3A是根据一个实施方式的喷淋头的示意剖面图。
图3B是图3A中所示的中间板的示意顶视图。
图3C是图3A中所示的分流板的示意顶视图。
图4A是根据另一实施方式的喷淋头的示意剖面图。
图4B是图4A中所示的中间板的示意顶视图。
图4C是图4A中所示的底板的示意顶视图。
图4D是图4A中所示的分流板的示意顶视图。
图5是图3A至3C中所绘的喷淋头的正交分解图。
图6是图4A至4D中所绘的喷淋头的正交分解图。
具体实施方式
本发明的实施方式大体上提供一种方法与设备,所述方法与设备可用于通过分别提供多个前驱物气体进入处理腔室的处理区域进行膜沉积。所述设备通常是由多个紧固在一起的板所制成的喷淋头组件。所述喷淋头组件包括气体歧管与分流板,所述气体歧管由顶板与中间板结合,而所述分流板由中间板与热交换底板结合。第一处理气体进入气体歧管,并且第一处理气体被分流板分流通过底板。第二气体进入气体歧管,并且第二气体被分流板分流通过底板,使得所述第一气体与第二气体不会在离开喷淋头前混合。一个实施方式中,第一处理气体在不同的流量(flowrate)及/或压力下被分配通过喷淋头的中央区域与外侧区域。此外,第二处理气体可在不同的流量及/或压力下被分配通过喷淋头的中央区域与外侧区域。
图1是一示意平面图,所述平面图图示根据这里所述实施方式的处理系统100,所述处理系统100包括用于制造半导体元件的一或多个处理腔室102。处理系统100包含传输腔室106、与传输腔室106耦接的处理腔室102、与传输腔室106耦接的装载锁定腔室108、用于储存衬底且与传输腔室106耦接的批次装载锁定腔室109,以及用于储存衬底且与装载锁定腔室108耦接的装载站110。传输腔室106容纳机械手组件(未图示),可操作所述机械手组件在装载锁定腔室108与处理腔室102之间拾起与传输衬底。也可操作机械手组件以从处理腔室102或装载锁定腔室108传输衬底到批次装载锁定腔室,以将衬底储存于处理系统100的真空环境中。尽管图中图示单一处理腔室102,也可将多于一个处理腔室102与传输腔室106耦接。处理腔室102可以是MOCVD腔室或HVPE腔室。此外,尽管图中图示组合工具(clustertool),然而也可使用线性轨道系统执行这里所述的实施方式。
在处理系统100中,机械手组件(未图示)将装有衬底的衬底载板112传输进入处理腔室102以进行沉积。在一些或所有沉积步骤已完成后,衬底载板112从处理腔室102通过机械手传输回到装载锁定腔室108。衬底载板112可随后被传输到装载站110。衬底载板112在进一步于MOCVD腔室102中处理之前,可储存在装载锁定腔室108或批次装载锁定腔室109中。
每一处理腔室102大体上包括处理腔室主体150,所述处理腔室主体150包围衬底上经定位而进行处理的处理区域。每一处理腔室102进一步包括化学物质递送模块152,气体前驱物从所述化学物质递送模块152被递送到腔室主体150,每一处理腔室102还进一步包括电模块154,所述电模块154包括用于各处理腔室102的电系统。
系统控制器160控制处理系统100的活动和操作参数。系统控制器160包括计算机处理器和与处理器耦接的计算机可读存储器。处理器执行系统控制软件,诸如储存在存储器中的计算机程序。
图2是根据一个实施方式的处理腔室102之示意剖面图。处理腔室102包括腔室主体202,所述腔室主体202具有喷淋头组件201以及下圆顶(dome)219,所述喷淋头组件210配置在腔室102的上端,而所述下圆顶219配置在腔室102的下端。喷淋头组件201的内部细节显示于图3A-3C与图4A-4D中,并且针对这些图来讨论所述内部细节。
衬底支撑件214配置在腔室主体202内,使得处理空间208界定在衬底支撑件214、喷淋头组件201,以及腔室主体202的壁之间。化学物质递送模块203耦接喷淋头组件201以递送前驱物气体、载气、清洁气体和/或净化气体至处理空间208。此外,热交换系统270耦接喷淋头组件201用于使热交换流体流过喷淋头组件201以助于调节喷淋头组件201的温度。
远程等离子体源226可耦接在化学物质递送模块203与喷淋头组件201之间。可将清洁导管204配置成穿过喷淋头组件201,以递送清洁气体至处理空间208。示范性的清洁气体是含氯气体、含氟气体、含碘气体、含溴气体、含氮气体,和/或其他反应性气体。真空系统212耦接腔室主体202,用以排空处理空间208。处理期间,衬底载板112在处理空间208内定位于衬底支撑件214上。致动器组件275附接衬底支撑件214,并且所述致动器组件275配置成将衬底支撑件214在处理位置与装载位置之间朝向喷淋头组件201或远离喷淋头组件201移动。此外,致动器组件可配置成在处理期间绕中央轴线“CA”旋转衬底支撑件214。在处理期间,从喷淋头组件201与处理空间208相邻的表面至衬底载板112的距离优选为从约4mm至约41mm的范围。某些实施方式中,衬底支撑件214具有加热组件(例如电阻式加热组件,未图示),所述加热组件配置在所述衬底支撑件214中并且配置成控制衬底支撑件214的温度,于是也控制定位在衬底支撑件214上的衬底载板112以及定位在衬底载板112上的衬底240的温度。
下部空间210界定在衬底支撑件214与下圆顶219之间。图中显示衬底支撑件214在升高的处理位置处支撑衬底载板112,但衬底支撑件214可以移动到下方的位置装载与卸载例如衬底载板112以及衬底240。排气环220可配置在衬底载板112的周边周围,以助于防止沉积发生在下圆顶219上,并且也帮助直接从腔室102排放气体至排气通口209。下圆顶219可由透明材料制成(诸如高纯度石英),以使光得以通过而用于辐射加热衬底240。辐射加热可由多盏内侧灯221A与外侧灯221B提供,所述灯配置在下圆顶219下方。反射器266可用于帮助控制腔室102对辐射能量(由内侧灯221A与外侧灯221B提供)的暴露。也可使用额外的灯环(未图示)对衬底240进行更细微的温度控制。
可从化学物质递送模块203通过净化气体管283递送净化气体,所述净化气体管283配置在腔室主体202的底部附近。净化气体进入腔室102的下部空间210,并且净化气体向上流动经过衬底载板112以及排气环220进入多个排气通口209,所述排气通口209配置在环状排气通道205周围。净化气体在衬底支撑件214与排气环220之间的向上流动有助于防止沉积气体进入下部空间210并且防止膜沉积在下圆顶219的表面上。
如前文所记载,化学物质递送模块203供应化学物质至处理腔室102。可通过供应线路从化学物质递送模块203递送反应性气体(例如前驱物气体)、载气、净化气体与清洁气体且进入腔室102。大体上,用于每一气体的供应线路包括关闭阀,所述关闭阀可用于自动或手动关闭进入所述阀的联结线路的气流,所述供应线路还包括质量流量控制器或其他类型的控制器,所述控制器测量通过供应线路的气体或液体的流量。用于每一气体的供应线路也包括浓度监视器,所述浓度监视器用于监视前驱物浓度并且提供实时的反馈。可包含背压调节器(backpressureregulator)以控制前驱物气体浓度。阀切换控制件可用在快速且准确的阀切换能力上。气体线路中的湿度传感器测量水位并且所述湿度传感器可提供反馈至系统软件,进而可提供警告/警报给操作者。气体线路也可被加热以防止前驱物与清洁气体冷凝在供应线路中。
图3A是根据一个实施方式的喷淋头201的示意剖面图。图5是第3A图中所绘的喷淋头201的示意正交分解图。喷淋头组件201包括耦接中间板320的顶板310。底板330配置在中间板320下方。顶板310可以是铝或不锈钢板,所述板具有穿过所述板形成的一或多个气体入口304,以递送第一处理气体进入气体歧管325,所述气体歧管325形成在顶板310与中间板320之间。图3B是图3A中所示的中间板320的顶视图。中间板320可以是铝或不锈钢板,所述板具有形成于所述板中的井322以及穿过所述板形成的多个气体通路324。如图所示,多个气体通路324可排列成多个列。可通过将顶板310耦接中间板320而形成歧管325,所述耦接通过使用多个螺钉312或其他适合的紧固件接合中间板320中的盲孔314而完成。顶板310与中间板320的啮合表面可经切削以使得当所述顶板310与中间板320附接时,在顶板310与中间板320之间维持金属对金属的密封,如此以使得进入歧管325的流体绕着喷淋头组件201的周边受到密封。或者,使用其他的密封手段维持流体密封,诸如使用O形环。
可由化学物质递送模块203将第一处理气体通过一或多个气体入口304递送进入气体歧管325。第一处理气体在歧管325中混合,并且第一处理气体通过多个气体通路324离开歧管325。一个范例中,第一处理气体是金属有机前驱物,诸如适合的镓(Ga)前驱物、适合的铝前驱物,或适合的铟(In)前驱物,所述镓(Ga)前驱物例如是三甲基镓(TMG)、三乙基镓(TEG),所述铝前驱物例如是三甲基铝(TMA),而所述铟(In)前驱物例如是三甲基铟(TMI)。
如图3A所绘,底板330包括耦接第二板334的第一板332,所述第二板334具有形成在所述第二板中的井336。第一板332可以是铝或不锈钢板,所述板具有多个穿过所述板形成的孔333。第二板334可以是铝或不锈钢板,所述板具有多个穿过所述板形成的孔335,每一孔335对齐相应的孔333。如图所示,孔333、335可排列成多个列。多个导管338配置在每对对齐的孔333、335内,并且多个导管338耦接第一板332与第二板334,所述耦接例如通过铜焊(brazing)或焊接(welding)完成。第一板332与第二板334通过例如铜焊或焊接而耦接在一起,使得单一通道337(有导管338通过所述单一通道337)形成于第一板332与第二板334之间,并且绕喷淋头组件201的周边受到密封。此外,一或多个流体入口331与流体出口339形成于底板330中,所述入口与出口以流体连通方式将通道337耦接热交换系统270的入口与出口。
热交换流体可从热交换系统270通过一或多个流体入口331被递送进入通道337。热交换流体随后通过环绕导管338的通道337循环。热交换流体随后通过一或多个流体出口339离开通道337,并且回到热交换系统270。适合的热交换流体包括水、以水为基础的乙二醇混合物、全氟聚醚(perfluoropolyether,例如流体)、以油为基础的热交换流体,或类似流体,但不以此为限。
喷淋头201进一步包括分流板340,所述分流板340配置在中间板320与底板330之间。分流板340大体上维持流过喷淋头201的两个单独的气体之间的隔离。图3C是图3A中所示的分流板340的顶视图。分流板340可以由聚合物材料或金属制成,所述聚合物材料例如为聚四氟乙烯(PTFE)、氟化乙丙烯橡胶(FEP)、聚偏二氟乙烯(PVDF)、聚乙烯(PE),所述金属诸如为铝或不锈钢。分流板340包括多个第一气体通路342以及许多导管338,所述多个第一气体通路342配置成穿过所述分流板340并且对齐气体通路324。如图所示,第一气体通路342可对齐成多个列而与中间板320中的所述多个列的气体通路324匹配。所述多个列的第一气体通路342可进一步与每隔一列(alternaterow)的导管338对齐。
另外,通道344形成于分流板340中介于相邻列的第一气体通路342之间。多个第二气体通路346形成于每一通道344内且穿过分流板340,所述第二气体通路346与每隔一列的导管338对齐。分流板340进一步包括周边通道348,所述周边通道348绕分流板340的周边配置并且所述周边通道348与每一通道344流体连通。此外,中间板320包括配置成穿过所述板的一或多个气体入口328,以用于从化学物质递送模块203递送第二处理气体进入周边通道348。
通过使用多个螺钉312或其他适合的紧固件将中间板320与底板330耦接在一起,所述螺钉312或其他适合的紧固件接合底板330中的盲孔316。中间板320与底板330的啮合表面可经切削以使得当所述中间板320与底板330附接时,在中间板320与底板330之间维持金属对金属的密封,如此以使得进入周边通道348的流体是绕着喷淋头组件201的周边受到密封。或者,使用其他的密封手段维持流体密封,诸如使用O形环。
可通过一或多个气体入口328通过化学物质递送模块203将第二处理气体递送进入周边通道348。第二处理气体从周边通道348分配到通道344并且通过多个第二气体通路346递送。一个实例中,第二处理气体是合适的含氮处理气体,诸如氨(NH3)或其他MOCVD或HVPE处理气体。
分流板340被夹在中间板320与底板330之间。可将分流板340形成为使得分流板340与中间板320之间的啮合表面以及分流板340与底板330之间的啮合表面形成表面对表面的密封,使得从气体歧管325通过第一气体通路342递送的流体不会与递送到周边通道348并且通过第二气体通路346的流体在通过各个导管338递送之前混合。或者,使用其他密封手段维持流体密封,诸如使用O形环。
参看图2与图3A,第一处理气体(诸如金属有机前驱物)可从化学物质递送模块203通过一或多个气体入口304被递送到气体歧管325。第一处理气体随后从气体歧管325被递送通过中间板中的多个气体通路324,以及通过分流板340中的第一气体通路342。第一处理气体随后被递送通过导管338并且进入处理空间208,所述导管338与第一气体通路342对齐。
第二处理气体(诸如氮前驱物)可实质上同时从化学物质递送模块203通过一或多个气体入口328被递送进入周边通道348。第二处理气体从周边通道348分配到通道344并且通过中间板320中的多个第二气体通路346。第二处理气体随后被递送通过导管338并且进入处理空间208,而不在递送进入处理空间208之前与第一处理气体混合,所述导管338与第二气体通路346对齐。
在第一与第二处理气体被递送通过喷淋头201并且进入处理空间208的同时,热交换流体可从热交换系统270通过底板330中的一或多个流体入口331被递送进入通道337。热交换流体通过通道337循环,而冷却第一与第二处理气体以及底板330面向处理空间208的表面。热交换流体随后通过一或多个流体出口339离开通道337,并且回到热交换系统270。
图4A是根据另一实施方式的喷淋头201的示意剖面图。图6是图4A中所描绘的喷淋头201的示意正交分解图。图4A中显示的实施方式的许多特征与参照图3A所述(及显示于所述图中)的特征相同,而不在此进一步描述这些特征。如图4A所示,顶板410包括一或多个穿过所述板形成的外侧气体入口404与一或多个穿过所述板形成的内侧气体入口405,所述外侧气体入口404递送处理气体进入外侧气体歧管425,而所述内侧气体入口405分别递送相同或不同的处理气体进入内侧气体歧管426。一个实施方式中,在不同的流量及/或压力下将相同的处理气体递送到外侧气体歧管425与内侧气体歧管426。
图4B是图4A中所示的中间板420的顶视图。中间板420具有外侧井422与内侧井423,所述井形成于所述中间板420中并且被环状壁421分隔。穿过所述中间板420形成的气体通路424可排列成多个列。外侧与内侧气体歧管425、426通过将顶板410耦接中间板420而形成,所述耦接是如前文所述般通过使用多个螺钉312或其他适合的紧固件所完成。顶板410与中间板420的啮合表面可经切削以使得当所述顶板410与中间板420附接时,在中间板420与顶板410之间维持金属对金属的密封,如此以使得进入内侧气体歧管426的流体被密封而隔绝进入外侧气体歧管425的流体,反之亦然。此外,进入外侧气体歧管425的流体绕喷淋头组件201的周边受到密封。或者,使用其他的密封手段维持流体密封,诸如使用O形环。
可通过化学物质递送模块203将第一处理气体以第一流量和/或压力递送通过一或多个气体入口404并进入外侧气体歧管425。可由化学物质递送模块203将相同或不同的处理气体以第二流量和/或压力(不同于第一流量和/或压力)递送通过一或多个气体入口405并进入内侧气体歧管426。
如图4A中所绘,底板430是由单一的铝或不锈钢板制造。图4C是图4A中所示的底板430的顶视图。显示于图4A与图4C中的底板430具有穿过所述板形成的多个气体通路433,如图所示,所述气体通路433排列成多个列。底板430进一步具有多个通道435,所述通道435形成于所述多列气体通路433之间。底板430也可具有形成于气体通路433之间的多个交叉通道436,所述交叉通道436呈现的方向为实质上垂直于通道435的方向,并且所述交叉通道436流体连通图4C所示的通道435。此外,一或多个供应通道432与一或多个返回通道437形成于底板430中,所述通道432、437与通道435和/或交叉通道436流体连通。通道435、交叉通道436、供应通道432与返回通道437分别以铝或不锈钢帽438(未在图4C中示出)密封,所述帽438被铜焊或激光焊接至适当位置。另外,一或多个流体入口431与流体出口439形成在底板430中而通过供应通道432及返回通道437将通道435和/或交叉通道436流体连通式耦接热交换系统270。
热交换流体可从热交换系统270通过一或多个流体入口431递送到一或多个供应通道432。热交换流体随后通过通道435和/或交叉通道436循环而进入一或多个返回通道437。热交换流体随后通过一或多个流体出口439离开喷淋头201并且返回热交换系统270。
分流板440配置在中间板420与底板430之间,类似于参照图3A所描述且显示在所述图中的所述分流板。图4D是图4A中所示的分流板440的示意顶视图。分流板440包括多个第一气体通路442以及许多气体通路433,所述多个第一气体通路442配置成穿过所述分流板440并且与气体通路424对齐。第一气体通路442可对齐成多个列而与中间板420的所述多列气体通路424匹配。所述多列第一气体通路442可进一步与底板430中的每隔一列的气体通路433对齐。
通道444形成于分流板440中且位于相邻列的第一气体通路442之间。多个第二气体通路446形成于每一通道444内且穿过分流板440,所述第二气体通路446与底板430中的每隔一列的气体通路433对齐。通道444被环状壁465分隔成内侧区域462与外侧区域464(图4D)。环状壁465可环绕形成于分流板440中的环状通道467,所述环状通道467与内侧区域462中的通道444流体连通。分流板440也具有一或多个形成在所述板中的供应通道468,所述通道468用于从一或多个第一气体入口429(形成在中间板420中并且耦接化学物质递送模块203)供应第二处理气体。分流板440进一步包括周边通道448,所述周边通道448绕分流板440的周边配置并且所述周边通道448与分流板440的外侧区域464中的通道444流体连通。此外,中间板420包括配置成穿过所述板的一或多个第二流体入口428,以用于从化学物质递送模块203递送相同或不同的第二处理气体进入周边通道448。
通过使用多个螺钉312或其他适合的紧固件可将中间板420与底板430耦接在一起,所述螺钉312或其他适合的紧固件接合底板430中的盲孔316。中间板420与底板430的啮合表面可经切削以使得当所述中间板420与底板430附接时,在中间板420与底板430之间维持金属对金属的密封,如此以使得进入周边通道448的流体绕着喷淋头组件201的周边受到密封。或者,使用其他的密封手段维持流体密封,诸如使用O形环。
可通过化学物质递送模块203以第一流量和/或压力将第二处理气体递送通过一或多个第二流体入口428并进入周边通道448。第二处理气体从周边通道448分配到外侧区域464中的通道444并且第二处理气体被递送通过外侧区域464中的多个第二气体通路446。同时,可由化学物质递送模块203将相同或不同的处理气体通过一或多个第一气体入口429递送到一或多个供应通道468。第二处理气体从供应通道468分配到环状通道467并且进入内侧区域462中的通道444。第二处理气体随后通过内侧区域462中的多个第二气体通路446递送。递送到内侧区域462与外侧区域464的第二处理气体可以是处于第二流量和/或压力(不同于第一流量和/或压力)下的相同或不同的处理气体。
分流板440被夹在中间板420与底板430之间。可将分流板440形成为使得分流板440与中间板420之间的啮合表面以及分流板440与底板430之间的啮合表面形成表面对表面的密封,以使得从外侧气体歧管425与内侧气体歧管426通过第一气体通路442递送的流体不会与递送到外侧区域464及内侧区域462并且通过第二气体通路446的流体在递送到底板430中的各个气体通路433之前混合。或者,使用其他密封手段维持流体密封,诸如使用O形环。
参看图2与图4A,可从化学物质递送模块203以第一流量和/或压力将第一处理气体(诸如金属有机前驱物)递送到外侧气体歧管425。第一处理气体随后从外侧气体歧管425被递送通过中间板中的多个气体通路424,并且被递送通过在分流板440中的对齐的第一气体通路442。第一处理气体随后被递送通过底板430中的气体通路433并且进入处理空间208,所述气体通路433与第一气体通路442对齐。
同时,可从化学物质递送模块203以第二流量和/或压力递送第一处理气体至内侧气体歧管426,所述第二流量和/或压力不同于被递送到外侧气体歧管425的第一处理气体的第一流量和/或压力。第一处理气体随后从内侧气体歧管426被递送通过中间板中的多个气体通路424,并且被递送通过分流板440中的对齐的第一气体通路442。第一处理气体随后被递送通过底板430中的气体通路433并且进入处理空间208,所述气体通路433对齐第一气体通路442。因此,第一处理气体可在不同的流量和/或压力下被递送到处理空间208的外侧与内侧区域,以提供在衬底处理期间更细微的控制。
第二处理气体(诸如氮前驱物)可实质上同时从化学物质递送模块203以第一流量和/或压力通过一或多个流体入口428被递送进入周边通道448。第二处理气体从周边通道448分配到分流板440的外侧区域464中的通道444并且通过分流板440的外侧区域464中的多个第二气体通路446。第二处理气体随后被递送通过与外侧区域464中的第二气体通路446对齐的气体通路433并且进入处理空间208,而不在递送进入处理空间208之前与第一处理气体混合。
同时,第二处理气体可由化学物质递送模块203以第二流量和/或压力通过一或多个第一气体入口429被递送至一或多个供应通道468,所述第二流量及/或压力不同于被递送到周边通道448的第二处理气体的第一流量和/或压力。第二处理气体随后从供应通道468分配到环状通道467、进入内侧区域462中的通道444,并且通过分流板440的内侧区域462中的多个第二气体通路446。第二处理气体随后被递送通过与内侧区域462中的第二气体通路446对齐的气体通路433并且进入处理空间208,而不与被递送通过外侧区域464的第一处理气体或第二处理气体混合。因此,第二处理气体可在不同的流量和/或压力下被递送到处理空间208的内侧与外侧区域,以提供在衬底处理期间更细微的控制。
在第一与第二处理气体被递送通过喷淋头201且进入处理空间208的同时,热交换流体可从热交换系统270被递送到底板430中的一或多个供应通道432。热交换流体随后通过通道435和/或交叉通道436循环,而冷却第一与第二处理气体以及底板430面向处理空间208的表面。热交换流体随后通过一或多个返回通道437离开底板430,并且回到热交换系统270。
因此,本发明的实施方式提供一种喷淋头,所述喷淋头导入多种处理气体而不使所述气体在离开喷淋头前混合。所述喷淋头具有多个板,所述板是使用适合的紧固件紧固在一起而非使用铜焊或焊接。通过紧固所述多个板而不是铜焊所述板来制造喷淋头使得制造工艺更简单且更节省成本。此外,可于制造期间对所述板中的每一个施加一或多个表面处理或涂层,且所述喷淋头相较于铜焊或焊接的喷淋头而言,在清洁/翻新工艺期间可易于拆卸而剥去、清洁和/或再施加涂层。示范性的表面处理可包括喷珠(beadblasting)、喷砂(gritblasting)与类似处理。示范性的涂层可包括氧化铝、氧化锆钇、氧化钇、氧化铬、碳化硅与类似材料。
尽管图3A至3C与图4A至4D中显示特定的喷淋头配置方式,每一配置方式的某些方面可同样地应用到另一个。例如,参照图3A至3C所显示及描述的配置方式可包括图4A至4D的多个歧管配置方式,以供分别将前驱物气体递送至处理空间的内侧与外侧区域。此外,显示于图3A的配置方式可具有底板430以取代底板330,或显示于图4A的配置方式可具有底板330以取代底板430。
此外,尽管此述的实施方式大体上是针对MOCVD与HVPE工艺所描述,然而所述的设备与方法可同样地应用到将多种处理气体导入处理腔室而不在所述气体离开喷淋头前混合所述气体的其他工艺。
虽然前述内容是涉及本发明的实施方式,但是可设计本发明的其他与进一步的实施方式而不应脱离本发明的基本范围,并且本发明的范围由所附的权利要求决定。

Claims (15)

1.一种喷淋头组件,所述喷淋头组件包含:
第一板;
第二板,耦接所述第一板以形成气体歧管;
第三板,耦接所述第二板并且具有一或多个温度控制通道,所述一或多个温度控制通道配置在所述第三板中,所述一或多个温度控制通道与处理空间隔离,并且所述一或多个温度控制通道配置成使热交换流体从形成在所述第三板中的入口循环流动到形成在所述第三板中的出口,其中所述第三板具有多个第一气体通路以及多个第二气体通路,所述多个第一气体通路以及所述多个第二气体通路被形成为穿过所述第三板,所述多个第一气体通路以及所述多个第二气体通路被所述一或多个温度控制通道环绕;以及
第四板,配置在所述第二板与所述第三板之间,其中所述第四板具有多个通道,所述通道形成于所述第四板中并且流体连通式耦接所述第三板中的所述多个第二气体通路,且其中所述第四板具有多个气体通路,所述气体通路形成为穿过所述第四板并且将所述气体歧管流体连通式耦接所述第三板中的所述多个第一气体通路,所述第四板具有暴露到所述处理空间的底面。
2.如权利要求1所述的组件,其中所述第一板、第二板与第三板使用多个机械式紧固件耦接在一起。
3.如权利要求1所述的组件,其中所述第四板中的所述气体通路与所述第四板中的所述通道隔离。
4.如权利要求1所述的组件,其中所述第三板包含第五板,所述第五板耦接第六板,所述第六板具有单一的温度控制通道,所述单一的温度控制通道配置在所述第五板与所述第六板之间,并且其中所述第一气体通路与所述第二气体通路包含多个流体导管,所述流体导管耦接所述第五板与所述第六板。
5.如权利要求1所述的组件,其中所述第三板具有多个温度控制通道,所述温度控制通道配置在所述多个第一气体通路与所述多个第二气体通路之间。
6.一种喷淋头组件,所述喷淋头组件包含:
第一板;
第二板,耦接所述第一板以形成多个气体歧管;
第三板,耦接所述第二板并且具有一或多个温度控制通道,所述一或多个温度控制通道配置在所述第三板中,所述一或多个温度控制通道与处理空间隔离,并且所述一或多个温度控制通道配置成使热交换流体从形成在所述第三板中的入口循环流动到形成在所述第三板中的出口,其中所述第三板具有多个第一气体通路以及多个第二气体通路,所述多个第一气体通路以及所述多个第二气体通路形成为穿过所述第三板,所述多个第一气体通路以及所述多个第二气体通路被所述一或多个温度控制通道环绕;以及
第四板,配置在所述第二板与所述第三板之间,其中所述第四板具有多个通道,所述通道形成于所述第四板中并且流体连通式耦接所述第三板中的所述多个第二气体通路,且其中所述第四板具有多个气体通路,所述气体通路形成为穿过所述第四板并且将所述多个气体歧管流体连通式耦接所述第三板中的所述多个第一气体通路,所述第四板具有暴露到所述处理空间的底面。
7.如权利要求6所述的组件,其中所述第四板具有一或多个壁,所述一或多个壁将所述多个通道与所述多个气体通路分隔成两个或更多个隔离区域。
8.如权利要求7所述的组件,其中所述两个或更多个隔离区域包括内侧区域,所述内侧区域配置在外侧区域中。
9.如权利要求6所述的组件,其中所述第一板、第二板与第三板使用多个机械紧固件耦接在一起。
10.如权利要求6所述的组件,其中所述第三板包含第五板,所述第五板耦接第六板,所述第六板具有单一的温度控制通道,所述单一的温度控制通道配置在所述第五板与所述第六板之间。
11.如权利要求10所述的组件,其中所述第一气体通路与所述第二气体通路包含多个流体导管,所述流体导管耦接所述第五板与所述第六板。
12.如权利要求11所述的组件,其中所述多个流体导管配置成穿过所述温度控制通道。
13.如权利要求6所述的组件,其中所述第三板具有多个温度控制通道,所述温度控制通道配置在所述多个第一气体通路与所述多个第二气体通路之间。
14.一种喷淋头组件,所述喷淋头组件包含:
第一板;
第二板,耦接所述第一板以形成第一气体歧管与第二气体歧管;
第三板,耦接所述第二板并且具有一或多个温度控制通道,所述一或多个温度控制通道配置在所述第三板中,其中所述第三板具有多个第一气体通路以及多个第二气体通路,所述多个第一气体通路以及所述多个第二气体通路形成为穿过所述第三板;以及
第四板,配置在所述第二板与所述第三板之间,其中所述第四板具有多个通道,所述通道形成于所述第四板中并且流体连通式耦接所述第三板中的所述多个第二气体通路,其中所述第四板具有多个气体通路,所述气体通路形成为穿过所述第四板并且流体连通式耦接所述第三板中的所述多个第一气体通路,其中所述第四板具有一或多个壁,所述一或多个壁将所述多个通道与所述多个气体通路分隔成一第一区域与一第二区域,且其中所述第一区域中的所述多个气体通路流体连通式耦接所述第一气体歧管,且所述第二区域中的所述多个气体通路流体连通式耦接所述第二气体歧管。
15.如权利要求14所述的组件,其中所述第三板具有多个温度控制通道,所述温度控制通道配置在所述多个第一气体通路与所述多个第二气体通路之间。
CN201180069329.8A 2011-03-18 2011-10-28 多级喷淋头设计 Active CN103443903B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161454067P 2011-03-18 2011-03-18
US61/454,067 2011-03-18
PCT/US2011/058222 WO2012128789A1 (en) 2011-03-18 2011-10-28 Multiple level showerhead design

Publications (2)

Publication Number Publication Date
CN103443903A CN103443903A (zh) 2013-12-11
CN103443903B true CN103443903B (zh) 2016-05-04

Family

ID=46827689

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180069329.8A Active CN103443903B (zh) 2011-03-18 2011-10-28 多级喷淋头设计

Country Status (6)

Country Link
US (1) US9057128B2 (zh)
JP (2) JP5912140B2 (zh)
KR (1) KR101903950B1 (zh)
CN (1) CN103443903B (zh)
TW (1) TWI534291B (zh)
WO (1) WO2012128789A1 (zh)

Families Citing this family (106)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
DE102011056589A1 (de) * 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
CN103789747B (zh) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 一种气体喷淋头及制作该气体喷淋头的方法
US9982343B2 (en) * 2012-12-14 2018-05-29 Applied Materials, Inc. Apparatus for providing plasma to a process chamber
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN104889111B (zh) * 2014-03-05 2018-05-25 北京北方华创微电子装备有限公司 一种腔室
CN106463344B (zh) * 2014-05-16 2019-10-11 应用材料公司 喷头设计
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6224263B2 (ja) * 2014-09-30 2017-11-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN105695952A (zh) * 2014-11-26 2016-06-22 广东昭信半导体装备制造有限公司 热壁式金属有机物化学气相沉积喷淋装置及工艺方法
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN104498905B (zh) * 2015-01-05 2017-03-01 中国科学院半导体研究所 用于金属有机化合物化学气相沉积设备反应室的进气顶盘
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US10648080B2 (en) * 2016-05-06 2020-05-12 Applied Materials, Inc. Full-area counter-flow heat exchange substrate support
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
SG11201810824UA (en) * 2016-06-03 2019-01-30 Applied Materials Inc Effective and novel design for lower particle count and better wafer quality by diffusing the flow inside the chamber
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
TWI609720B (zh) * 2016-09-30 2018-01-01 漢民科技股份有限公司 應用於半導體設備之氣體噴射裝置
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP6852040B2 (ja) * 2018-11-16 2021-03-31 大陽日酸株式会社 半導体製造装置部品の洗浄装置、半導体製造装置部品の洗浄方法、及び半導体製造装置部品の洗浄システム
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
KR20220024017A (ko) * 2019-05-15 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 프로세싱 시스템을 위한 동적 멀티 존 유동 제어
US11555244B2 (en) * 2019-11-05 2023-01-17 Applied Materials, Inc. High temperature dual chamber showerhead
US11804363B2 (en) * 2019-11-08 2023-10-31 Applied Materials, Inc. Chamber components for gas delivery modulation
WO2021146099A1 (en) * 2020-01-13 2021-07-22 Lam Research Corporation Multizone gas distribution plate for trench profile optimization
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
US20220010431A1 (en) * 2020-07-08 2022-01-13 Applied Materials, Inc. Multiple-channel showerhead design and methods in manufacturing
US11694908B2 (en) * 2020-10-22 2023-07-04 Applied Materials, Inc. Gasbox for semiconductor processing chamber
WO2023177950A1 (en) * 2022-03-17 2023-09-21 Lam Research Corporation Dual plenum showerhead with center to edge tunability
JP2024021368A (ja) * 2022-08-03 2024-02-16 日本発條株式会社 成膜用反応性ガスを射出するためのヘッドとその製造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101423936A (zh) * 2007-10-16 2009-05-06 应用材料股份有限公司 多个气体螺旋通路的喷头

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4851295A (en) 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
DD271776A1 (de) 1988-05-06 1989-09-13 Elektromat Veb Vorrichtung zur gaszufuehrung und -ableitung fuer die gasphasenbearbeitung von werkstuecken
JPH02234419A (ja) 1989-03-07 1990-09-17 Koujiyundo Kagaku Kenkyusho:Kk プラズマ電極
USD329839S (en) 1990-01-31 1992-09-29 Hohner Automation Societe Anonyme Incremental coder
WO1992022084A1 (en) 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
WO1995027570A1 (en) 1994-04-08 1995-10-19 Ray Mark A Selective plasma deposition
GB9411911D0 (en) * 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5715361A (en) 1995-04-13 1998-02-03 Cvc Products, Inc. Rapid thermal processing high-performance multizone illuminator for wafer backside heating
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US5855675A (en) 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6270569B1 (en) 1997-06-11 2001-08-07 Hitachi Cable Ltd. Method of fabricating nitride crystal, mixture, liquid phase growth method, nitride crystal, nitride crystal powders, and vapor phase growth method
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6086673A (en) 1998-04-02 2000-07-11 Massachusetts Institute Of Technology Process for producing high-quality III-V nitride substrates
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6218280B1 (en) 1998-06-18 2001-04-17 University Of Florida Method and apparatus for producing group-III nitrides
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6413839B1 (en) 1998-10-23 2002-07-02 Emcore Corporation Semiconductor device separation using a patterned laser projection
US6373114B1 (en) 1998-10-23 2002-04-16 Micron Technology, Inc. Barrier in gate stack for improved gate dielectric integrity
KR100304664B1 (ko) 1999-02-05 2001-09-26 윤종용 GaN막 제조 방법
US6309465B1 (en) 1999-02-18 2001-10-30 Aixtron Ag. CVD reactor
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
TW477009B (en) 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6569765B1 (en) 1999-08-26 2003-05-27 Cbl Technologies, Inc Hybrid deposition system and methods
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6897119B1 (en) 1999-12-22 2005-05-24 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6551399B1 (en) 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
KR100780143B1 (ko) * 2000-02-04 2007-11-27 아익스트론 아게 기재상에 하나 이상의 층을 증착하기 위한 장치와 방법
JP4849705B2 (ja) 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
JP4813737B2 (ja) 2000-04-17 2011-11-09 マットソン テクノロジー インコーポレイテッド 窒化ケイ素フィルムを形成するための超薄オキシニトリドのuv前処理法
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
US6616870B1 (en) 2000-08-07 2003-09-09 Shipley Company, L.L.C. Method of producing high aspect ratio domes by vapor deposition
DE10043601A1 (de) 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
DE10048759A1 (de) 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
DE10056029A1 (de) 2000-11-11 2002-05-16 Aixtron Ag Verfahren und Vorrichtung zur Temperatursteuerung der Oberflächentemperaturen von Substraten in einem CVD-Reaktor
DE10057134A1 (de) 2000-11-17 2002-05-23 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten sowie Vorrichtung zur Durchführung des Verfahrens
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
JP4260404B2 (ja) * 2001-02-09 2009-04-30 東京エレクトロン株式会社 成膜装置
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
WO2002080225A2 (en) 2001-03-30 2002-10-10 Technologies And Devices International Inc. Method and apparatus for growing submicron group iii nitride structures utilizing hvpe techniques
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
DE10124609B4 (de) 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
WO2003003414A2 (en) 2001-06-29 2003-01-09 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
JP2003124125A (ja) 2001-10-12 2003-04-25 Applied Materials Inc 半導体製造装置
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
AU2002366856A1 (en) 2001-12-21 2003-07-09 Aixtron Ag Method for depositing iii-v semiconductor layers on a non-iii-v substrate
DE10163394A1 (de) 2001-12-21 2003-07-03 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden kristalliner Schichten und auf kristallinen Substraten
KR100568701B1 (ko) 2002-06-19 2006-04-07 니폰덴신뎅와 가부시키가이샤 반도체 발광 소자
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
JP4352783B2 (ja) 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
US7115896B2 (en) 2002-12-04 2006-10-03 Emcore Corporation Semiconductor structures for gallium nitride-based devices
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4026529B2 (ja) 2003-04-10 2007-12-26 東京エレクトロン株式会社 シャワーヘッド構造及び処理装置
US6921437B1 (en) 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
DE102004009130A1 (de) 2004-02-25 2005-09-15 Aixtron Ag Einlasssystem für einen MOCVD-Reaktor
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
US7368368B2 (en) 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
DE102004058521A1 (de) 2004-12-04 2006-06-14 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von dicken Gallium-Nitrit-Schichten auf einem Saphirsubstrat und zugehörigen Substrathalter
KR100578089B1 (ko) 2004-12-22 2006-05-10 주식회사 시스넥스 수소화물기상증착 반응기
JP5706601B2 (ja) 2005-03-10 2015-04-22 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニア 平坦な半極性窒化ガリウムの成長技術
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
JP4803578B2 (ja) 2005-12-08 2011-10-26 東京エレクトロン株式会社 成膜方法
JP4911984B2 (ja) * 2006-02-08 2012-04-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法及びシャワーヘッド
US7364991B2 (en) 2006-04-27 2008-04-29 Applied Materials, Inc. Buffer-layer treatment of MOCVD-grown nitride structures
US7585769B2 (en) 2006-05-05 2009-09-08 Applied Materials, Inc. Parasitic particle suppression in growth of III-V nitride films using MOCVD and HVPE
US20080050889A1 (en) 2006-08-24 2008-02-28 Applied Materials, Inc. Hotwall reactor and method for reducing particle formation in GaN MOCVD
JP2008066490A (ja) 2006-09-06 2008-03-21 Nippon Emc Ltd 気相成長装置
JP2008243938A (ja) * 2007-03-26 2008-10-09 Nuflare Technology Inc 熱cvd方法および熱cvd装置
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
US20090149008A1 (en) 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090194026A1 (en) 2008-01-31 2009-08-06 Burrows Brian H Processing system for fabricating compound nitride semiconductor devices
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
KR101019953B1 (ko) 2008-05-22 2011-03-09 주식회사 테스 가스 공급 장치
KR100997104B1 (ko) 2008-07-04 2010-11-29 주식회사 테스 반도체 제조용 샤워헤드 및 이 샤워헤드를 구비한 반도체제조장치
JP4576466B2 (ja) * 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
JP2010245135A (ja) * 2009-04-02 2010-10-28 Sharp Corp 気相成長装置
JP4699545B2 (ja) * 2009-07-06 2011-06-15 シャープ株式会社 気相成長装置及び気相成長方法
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101423936A (zh) * 2007-10-16 2009-05-06 应用材料股份有限公司 多个气体螺旋通路的喷头

Also Published As

Publication number Publication date
JP5912140B2 (ja) 2016-04-27
CN103443903A (zh) 2013-12-11
US20120234945A1 (en) 2012-09-20
JP2014512458A (ja) 2014-05-22
KR101903950B1 (ko) 2018-10-04
JP2016164994A (ja) 2016-09-08
TWI534291B (zh) 2016-05-21
TW201239132A (en) 2012-10-01
KR20140023934A (ko) 2014-02-27
WO2012128789A1 (en) 2012-09-27
US9057128B2 (en) 2015-06-16
JP6360849B2 (ja) 2018-07-18

Similar Documents

Publication Publication Date Title
CN103443903B (zh) 多级喷淋头设计
US10130958B2 (en) Showerhead assembly with gas injection distribution devices
KR101906355B1 (ko) 가스 주입 분배 장치들을 갖는 샤워헤드 조립체
CN101328579B (zh) Hvpe喷头设计
TWI478771B (zh) 多氣體同心注入噴頭
US9644267B2 (en) Multi-gas straight channel showerhead
US20090095222A1 (en) Multi-gas spiral channel showerhead
CN102424956B (zh) 用于金属有机化合物化学气相沉积设备的喷淋装置
US20120167824A1 (en) Cvd apparatus
TWM464459U (zh) 金屬有機化學氣相沉積反應器的氣體分佈裝置及反應器

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant