CN101423936A - 多个气体螺旋通路的喷头 - Google Patents
多个气体螺旋通路的喷头 Download PDFInfo
- Publication number
- CN101423936A CN101423936A CNA2008101706026A CN200810170602A CN101423936A CN 101423936 A CN101423936 A CN 101423936A CN A2008101706026 A CNA2008101706026 A CN A2008101706026A CN 200810170602 A CN200810170602 A CN 200810170602A CN 101423936 A CN101423936 A CN 101423936A
- Authority
- CN
- China
- Prior art keywords
- gas
- precursor gases
- precursor
- gas passage
- path
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 239000007789 gas Substances 0.000 claims abstract description 395
- 239000002243 precursor Substances 0.000 claims abstract description 138
- 239000000758 substrate Substances 0.000 claims abstract description 88
- 238000002156 mixing Methods 0.000 claims abstract description 29
- 238000012545 processing Methods 0.000 claims abstract description 22
- 238000002347 injection Methods 0.000 claims description 101
- 239000007924 injection Substances 0.000 claims description 101
- 238000011049 filling Methods 0.000 claims description 18
- 239000011148 porous material Substances 0.000 claims 1
- 238000012797 qualification Methods 0.000 claims 1
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 abstract description 20
- 238000000151 deposition Methods 0.000 abstract description 16
- 229910052751 metal Inorganic materials 0.000 abstract description 12
- 239000002184 metal Substances 0.000 abstract description 12
- 230000008021 deposition Effects 0.000 abstract description 11
- 229910021529 ammonia Inorganic materials 0.000 abstract description 10
- 238000005229 chemical vapour deposition Methods 0.000 abstract description 7
- 238000000034 method Methods 0.000 abstract description 7
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 abstract description 3
- 230000008569 process Effects 0.000 abstract description 2
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 abstract description 2
- XCZXGTMEAKBVPV-UHFFFAOYSA-N trimethylgallium Chemical compound C[Ga](C)C XCZXGTMEAKBVPV-UHFFFAOYSA-N 0.000 abstract description 2
- IBEFSUTVZWZJEL-UHFFFAOYSA-N trimethylindium Chemical compound C[In](C)C IBEFSUTVZWZJEL-UHFFFAOYSA-N 0.000 abstract description 2
- 150000004678 hydrides Chemical class 0.000 abstract 1
- 239000012808 vapor phase Substances 0.000 abstract 1
- 239000012530 fluid Substances 0.000 description 47
- 239000000203 mixture Substances 0.000 description 24
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 22
- 238000004140 cleaning Methods 0.000 description 14
- 150000004767 nitrides Chemical class 0.000 description 11
- 238000005530 etching Methods 0.000 description 10
- 238000010438 heat treatment Methods 0.000 description 10
- 229910052757 nitrogen Inorganic materials 0.000 description 10
- 238000005259 measurement Methods 0.000 description 9
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 8
- 238000002248 hydride vapour-phase epitaxy Methods 0.000 description 8
- 238000009826 distribution Methods 0.000 description 7
- 239000007788 liquid Substances 0.000 description 7
- 238000010926 purge Methods 0.000 description 7
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 6
- 239000001257 hydrogen Substances 0.000 description 6
- 229910052739 hydrogen Inorganic materials 0.000 description 6
- 239000000463 material Substances 0.000 description 6
- 229910052786 argon Inorganic materials 0.000 description 5
- 230000009286 beneficial effect Effects 0.000 description 5
- 239000012159 carrier gas Substances 0.000 description 5
- 230000008859 change Effects 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 239000011777 magnesium Substances 0.000 description 5
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 4
- 230000015572 biosynthetic process Effects 0.000 description 4
- 238000006243 chemical reaction Methods 0.000 description 4
- 239000000460 chlorine Substances 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 229910052733 gallium Inorganic materials 0.000 description 4
- UPWPDUACHOATKO-UHFFFAOYSA-K gallium trichloride Chemical compound Cl[Ga](Cl)Cl UPWPDUACHOATKO-UHFFFAOYSA-K 0.000 description 4
- 150000004820 halides Chemical class 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- 229910052759 nickel Inorganic materials 0.000 description 4
- 238000007514 turning Methods 0.000 description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 3
- LYCAIKOWRPUZTN-UHFFFAOYSA-N Ethylene glycol Chemical compound OCCO LYCAIKOWRPUZTN-UHFFFAOYSA-N 0.000 description 3
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- 239000004411 aluminium Substances 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 229910052801 chlorine Inorganic materials 0.000 description 3
- 238000013461 design Methods 0.000 description 3
- 230000014509 gene expression Effects 0.000 description 3
- 150000002431 hydrogen Chemical class 0.000 description 3
- 230000007246 mechanism Effects 0.000 description 3
- 238000007789 sealing Methods 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- 239000010703 silicon Substances 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 2
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 2
- 229910045601 alloy Inorganic materials 0.000 description 2
- 239000000956 alloy Substances 0.000 description 2
- -1 and in this case Substances 0.000 description 2
- 230000004888 barrier function Effects 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 239000007795 chemical reaction product Substances 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 229910052740 iodine Inorganic materials 0.000 description 2
- 229910052749 magnesium Inorganic materials 0.000 description 2
- 238000012423 maintenance Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 239000012071 phase Substances 0.000 description 2
- 238000007747 plating Methods 0.000 description 2
- 239000010453 quartz Substances 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 229910052594 sapphire Inorganic materials 0.000 description 2
- 239000010980 sapphire Substances 0.000 description 2
- 239000004065 semiconductor Substances 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- 229910010271 silicon carbide Inorganic materials 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 241000894007 species Species 0.000 description 2
- 239000010935 stainless steel Substances 0.000 description 2
- 229910001220 stainless steel Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- 229910002704 AlGaN Inorganic materials 0.000 description 1
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- MHYQBXJRURFKIN-UHFFFAOYSA-N C1(C=CC=C1)[Mg] Chemical compound C1(C=CC=C1)[Mg] MHYQBXJRURFKIN-UHFFFAOYSA-N 0.000 description 1
- 229910000807 Ga alloy Inorganic materials 0.000 description 1
- 241001062009 Indigofera Species 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 230000005856 abnormality Effects 0.000 description 1
- NWAIGJYBQQYSPW-UHFFFAOYSA-N azanylidyneindigane Chemical compound [In]#N NWAIGJYBQQYSPW-UHFFFAOYSA-N 0.000 description 1
- 238000009835 boiling Methods 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- XOYLJNJLGBYDTH-UHFFFAOYSA-M chlorogallium Chemical compound [Ga]Cl XOYLJNJLGBYDTH-UHFFFAOYSA-M 0.000 description 1
- 230000002860 competitive effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000009833 condensation Methods 0.000 description 1
- 230000005494 condensation Effects 0.000 description 1
- 239000007859 condensation product Substances 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000007850 degeneration Effects 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 230000003628 erosive effect Effects 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 239000007792 gaseous phase Substances 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 239000013529 heat transfer fluid Substances 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 239000007791 liquid phase Substances 0.000 description 1
- 229910001338 liquidmetal Inorganic materials 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 239000003921 oil Substances 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 229920000728 polyester Polymers 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 238000004062 sedimentation Methods 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 230000008646 thermal stress Effects 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 239000012780 transparent material Substances 0.000 description 1
- 230000008016 vaporization Effects 0.000 description 1
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
Abstract
本发明涉及一种喷头装置,更具体的是一种多个气体螺旋通路的喷头,本发明还提供了一种用于化学气相沉积和/或混合气相外延(HVPE)沉积的方法和装置。在一个实施方式中,使用金属有机化学气相沉积(MOCVD)工艺在多个基板上沉积III族氮化物膜。III族前体诸如三甲基镓、三甲基铝或三甲基铟以及含氮前体诸如氨被传送到隔离前体气体的多个螺旋通路中。前体气体被注入到混合通路中,这里气体在进入到含有基板的处理容积之前混合。
Description
技术领域
本发明的实施方式一般涉及在基板上化学气相沉积(CVD)的方法和装置,更具体地说,是涉及用在金属有机化学气相沉积和/或混合气相沉积外延(HVPE)中的喷头设计。
背景技术
现已发现III-V族膜在开发和制造各种半导体器件中较为重要,所述的半导体器件可以为,例如短波长发光二极管(LED)、激光二极管(LD)以及包括高功率、高频、高温晶体管以及集成电路的电子器件。例如,在使用III-V族半导体材料氮化镓(GaN)制造短波长(例如蓝/绿光至紫外线)LED中。已经观察到,使用GaN提供的短波长LED能提供明显比使用非氮化物半导体材料诸如II-VI族材料制造的短波长LED更高的效率以及更长的操作寿命。
已经用于沉积III族氮化物诸如GaN的一种方法是金属有机气相沉积(MOCVD)。该化学气相沉积方法一般在具有温度受控环境的反应室中进行,以确保第一前体气体的稳定性,该第一前体气体含有选自III族的至少一种元素诸如镓(Ga)。第二种前体气体诸如氨(NH3)提供形成III族氮化物所需的氮。两种前体气体都被注入到反应器内的处理区域中,它们在处理区域中混合并移向处理区域中的加热基板。载气可用于辅助将前体气体向基板传输。前体在加热基板表面处反应以在基板表面上形成III族氮化物诸如GaN。膜的质量部分取决于沉积均匀度,依次还取决于横跨通过基板的前体的均匀混合。
将多个基板设置在基板支架上,且每个基板都具有从50mm至100mm或更大范围内的直径。希望在较大基板和/或更多基板以及较大沉积面积上均匀混合前体以增加成品率和产量。由于这些因素直接影响到制造电子器件的成本以及由此器件制造商在市面上的竞争力,因此这很重要。
随着对LED、LD、晶体管和集成电路需求的增加,沉积高质量III族氮化物膜的效率更加重要了。因此,需要一种改进的沉积装置以及工艺,其能够在较大基板以及较大沉积面积上方提供均匀前体混合以及一致的膜质量。
发明内容
本发明一般提供了用于使用MOCVD和/或HVPE沉积III族氮化物膜的改进的方法和装置。
一个实施方式提供了在基板上沉积的气体传输装置。该装置一般包括用于第一前体气体的第一螺旋气体通路和用于第二前体气体的第二螺旋气体通路,该第二螺旋气体通路被设置成与第一螺旋形气体通路共面。
另一实施方式提供了一种用于在基板上沉积的气体传输装置。该装置包括用于第一前体气体的第一螺旋气体通路,该第一螺旋气体通路具有用于将第一前体气体注入到前体混合区域中的注入孔,和用于第二前体气体的第二螺旋通路,该第二螺旋通路具有用于将第二前体气体注入到前体气体混合区域中的注入孔。
在另一实施方式中,公开了一种用于在基板上沉积的气体传输装置。该装置一般包括用于第一前体气体的第一螺旋通路,用于第二前体气体的第二螺旋通路,和用于热交换介质的第三螺旋通路。
附图说明
通过参见附图的方式,可以更详细理解本发明的上述特征、其中对于以上述简要说明的方式描述的本发明,可以通过参考实施方式获得对于本发明更具体的描述,附图中示出了本发明的一些实施方式。但是,应当注意所述的附图仅示出了本发明的典型实施方式,且由于本发明可允许其他等效实施方式,因此不应认为这些附图限定了本发明的范围。
图1A是根据本发明第一实施方式的沉积装置的示意图;
图1B是于图1A中示出的喷头组件的详细截面图;
图1C是于图1B中示出的喷头组件的另一实施方式的详细截面图;
图2A是根据本发明一个实施方式于图1B中示出的喷头组件的详细截面图;
图2B是根据本发明一个实施方式的气体通路和热交换通路的截面透视剖面图;
图2C是根据本发明一个实施方式的喷头组件的截面透视剖面图;
图2D是根据本发明一个实施方式的喷头组件的另一个截面透视剖面图;
图2E是根据本发明一个实施方式的喷头组件的截面透视双剖面图;
图2F是根据本发明一个实施方式于图2E中示出的喷头组件的详细截面图;
图3是根据本发明喷头组件的另一实施方式的截面图;
图4A是根据本发明一个实施方式于图1B中示出的喷头组件的示意性底视图;
图4B是根据本发明另一实施方式于图1B中示出的喷头组件的示意性底视图;
图5是根据本发明的喷头组件的又一实施方式的示意性底视图;
图6A和6B是示出了用于气体注入区域的不同实施方式的喷头组件的示意性底视图;
为了便于理解,可能的情况下,已经使用相同参考标记表示图中共用的相同的元件。将预期在一个实施方式中公开的元件可有利地用在其他实施方式中而不需特别说明。
具体实施方式
本发明的实施方式一般提供了一种可用于使用MOCVD和/或HVPE沉积III族氮化物膜的方法和装置。图1A是根据本发明一个实施方式用于实施本发明的沉积装置的示意图。可用于实施本发明的示意性系统和室在2006年4月14日申请的美国专利申请序列号No.11/404,516以及2006年5月5日申请的11/429,022中描述了,在此通过参考将两篇文件整体结合并入本文。
在图1A中示出的装置100包括室102、气体传输系统125、远程等离子体源126和真空系统112。室102包括密封了处理容积108的室主体103。喷头组件104设置在处理容积108的一端,和基板支架114设置在处理容积108的另一端。下部圆顶119设置在下部容积110的一端,和基板支架114设置在下部容积110的另一端。示出基板支架114在处理位置中,但是可向下部位置移动,例如可装载或卸载基板140。排气圈120可设置在基板支架114周围附近以帮助防止沉积发生在下部容积110中而且也帮助从室102直接排出气体至排气端口109。下部圆顶119可由透明材料制成,诸如高纯度石英,以允许光穿过从而用于辐射加热基板140。辐射加热通过设置在下部圆顶119下方的多个内部灯121A和外部灯121B提供,和反射器166用于帮助控制室102暴露到由内部和外部灯121A、121B提供的辐射能量。灯的附加圈也可用于使基板140的温度控制更精细。
基板支架114可包括其中在处理期间设置了一个或多个基板140的一个或多个凹槽116。基板支架114可承载六个或更多个基板140。在一个实施方式中,基板支架114承载八个基板140。可理解,在基板支架114上可承载或多或少的基板140。典型的基板140可包括蓝宝石、碳化硅(SiC)、硅或氮化镓(GaN)。可以理解,可处理其它类型的基板140诸如玻璃基板140。基板140尺寸为直径从50mm-100mm或者更大。基板支架114尺寸为从200mm-750mm。基板支架114可由多种材料形成包括SiC或涂覆了SiC的蓝宝石。可理解,在处理室102内且根据在此描述的处理可处理其它尺寸的基板140。在常规MOCVD室中,如在此所描述的喷头组件104可允许横跨更大数量基板140和/或更大基板140更均匀的沉积,从而增加了产量且降低了每个基板140的处理成本。
在处理期间基板支架114可在轴附近旋转。在一个实施方式中,基板支架114以约2RPM至约100RPM旋转。在另一个实施方式中,基板支架114以约30RPM旋转。旋转基板支架114辅助提供基板140的均匀加热以及处理气体均匀暴露到每个基板140。
多个内部和外部灯121A、121B可设置成同心的圆或区域(未示出),且每一个灯区域可分别供电。在一个实施方式中,可将一个或多个温度传感器诸如高温计(未示出)设置在喷头组件104内以测量基板140和基板支架114的温度,和温度数据被发送到控制器(未示出),其能调整至分离灯区的功率以保持横跨基板支架114的预定温度分布。在另一个实施方式中,可调整至分离灯区的功率以补偿前体流动或前体浓度不均匀性。例如,如果前体浓度在外部灯区附近的基板支架114区域较低,则调整至外部灯区的功率以帮助补偿该区域中的前体损耗。
内部和外部灯121A、121B可加热基板140至约400摄氏度至约1200摄氏度的温度。将理解,本发明不限于使用内部和外部灯121A、121B的阵列。任何合适的加热源都可用于确保将合适的温度适当地施加到室102和其中的基板140。例如,在另一个实施方式中,加热源可包括与基板支架114热接触的电阻加热元件(未示出)。
气体传输系统125可包括多个气体源,或者根据所进行的处理,一些源可以是液态源而不是气体,这种情况下,气体传输系统可包括液体注入系统或者汽化该液体的其他装置(例如起泡器(bubbler))。在传送到室102之前,蒸汽此时与载气混合。不同的气体诸如前体气体、载气、清洗气体、清洁/蚀刻气体等可从气体传输系统125提供至分离的供应线路131、132和133到达喷头组件104。供应线路131、132和133可包括截止阀和质量流量控制器或其他类型控制器以监控和调整或者截止每一条线路中的气流。
管道129可从远程等离子体源126接收清洁/蚀刻气体。远程等离子体源126可从气体传输系统125经由提供线路124接收气体,和阀门130设置在喷头组件104和远程等离子体源126之间。阀门130可打开以允许清洁和/或蚀刻气体或等离子体经由供应线路133流入到喷头组件104中,采用该供应线路133用作等离子体的管道。在另一实施方式中,装置100不包括远程等离子体源126,且清洗和/或蚀刻气体可从气体传输系统125传输到喷头组件104,用于使用替换的供应线路结构的非等离子体清洁和/或蚀刻。
远程等离子体源126可以是用于室102的清洗和/或基板140的蚀刻的射频或者是微波等离子体源。清洁和/或蚀刻气体可经由供应线路124供应到远程等离子体源126以产生经由管道129和供应线路133发送的等离子体种类,用于通过喷头组件104分配到室102中。用于清洁应用的气体可包括氟、氯和其他反应元素。
在另一实施方式中,可适当地采用气体传送系统125和远程等离子体源126以使前体气体被提供到远程等离子体源126中,从而产生经由喷头组件104发送的等离子体种类,从而例如在基板140上沉积CVD层,诸如III-V族膜。
清洗气体(例如氮)可从设置在基板支架114下方且在室主体103底部附近的喷头组件104和/或入口端口或管(未示出)被传送到室102中。清洗气体进入到室102的下部容积110中且向上流过基板支架114和排气圈120并进入到设置在环形排气通路105附近的多个排气端口109中。排气管道106流体连接环形排气通路105至包括真空泵(未示出)的真空系统112。室102压力可使用阀门系统107控制,其控制排气系统从环形排气通路105抽出排出气体的速度。
图1B是图1A中示出的喷头组件的详细截面图。在基板140的处理期间喷头组件104位于基板支架114附近。在一个实施方式中,在处理期间从喷头面153至基板支架114的距离可在从约4mm至约41mm的范围内。在一个实施方式中,喷头面153包括喷头组件104的多个表面,其在处理期间近似共平面且面向基板140。
在基板140处理期间,根据本发明的一个实施方式,处理气体152从喷头组件104流向基板140表面。处理气体152可包括一种或多种前体气体以及与前体气体混合的载气和掺杂气体。抽空环形排气通路105会影响气体流动从而处理气体152基本上与基板140相切地流动且在层流中会横跨基板140的沉积表面均匀地径向分布。处理容积108可保持在约760乇以下至约80乇的压力下。
处理气体152前体在基板140表面处或附近的反应可在基板140上沉积各种金属氮化物层,包括GaN、氮化铝(AlN)和氮化铟(InN)。多种金属也可用于沉积其它化合物膜诸如AlGaN和/或InGaN。此外,掺杂剂诸如硅(Si)或镁(Mg)可添加到膜中。该膜可通过在沉积工艺期间添加少量掺杂剂气体掺杂。对于硅掺杂,例如可使用硅烷(SiH4)或二硅烷(Si2H6)气体,和掺杂剂气体可包括二(环戊二烯基)镁(Cp2Mg或(C5H5)2Mg))用于镁掺杂。
在一个实施方式中,喷头组件104包括第一和第二环形歧管(manifold)170和171、第一气室(plenum)144、第二气室145、气体管道147、第一气体通路142、第二气体通路143、热交换通路141、混合通路150和中心管道148。在一个实施方式中,气体管道147可包括石英或其他材料诸如316L不锈钢、、、无电极电镀了镍的铝、纯镍以及其他抵抗化学侵蚀的金属和合金。
第一和第二环形歧管170和171包围由中间隔板210分隔开的第一和第二气室144、145。第一和第二气体通路142、143每一个都包括连续的螺旋通路,其从喷头组件104的中心向外围位置“旋出”。第一和第二气体通路142、143相互相邻且近似共面并形成了交错的螺旋。多个第一气体注入孔156和第二气体注入孔157设置在第一和第二气体通路142、143中每一个的底部且沿着其长度方向设置。设置在第一和第二气体通路142、143下方的是热交换通路141和混合通路150,其每一个都包括螺旋通路。热交换通路141和混合通路150沿着喷头组件104的径向线路交替。热交换通路141可沿着螺旋通路长度方向被定位在各个位置,以形成用于热交换流体的多于一个流动回路。虽然已经公开了螺旋通路,但是也可使用其它设置诸如同心通路,且也可用于第一和第二气体通路142、143和热交换通路141和混合通路150。
喷头组件104经由供应线路131、132和133接收气体。在一个实施方式中,每一个供应线路131、132都包括连接到喷头组件104且与其流体连通的多条线路。第一前体气体154和第二前体气体155通过供应线路131和132流入与第一和第二气室144和145流体连通的第一和第二环形歧管170、171中。非反应气体151诸如包括氢气(H2)、氮气(N2)、氦气(He)、氩气(Ar)或其他气体和其组合的惰性气体可通过连接到位于喷头组件104中心处或中心附近的中心管道148的供应线路133流动。中心管道148可用作中心惰性气体扩散器,其将非反应气体151流入到处理容积108的中心区域中以帮助防止气体在中心区域中再循环。在另一个实施方式中,中心管道148可承载前体气体。
在再一实施方式中,清洁和/或蚀刻气体或等离子体被通过中心管道148传送到室102中。中心管道148适合于分配室102内部的清洁和/或蚀刻气体或等离子体以提供更加有效的清洁。另一实施方式中,装置100适合于将清洁和/或蚀刻气体或等离子体经由其他路径传送到室102中,其它路径诸如是第一和第二气体注入孔156、157。在一个实施方式中,氟或氯基等离子体用于蚀刻或清洁。在其它实施方式中,可使用卤素气体诸如Cl2、Br、和I2、或卤化物诸如HCl、HBr、和HI用于非等离子蚀刻。
在另一实施方式中,中心管道148可用作度量端口,且度量工具(未示出)连接到中心管道148。计量工具用于测量各种膜特性,诸如厚度、粗糙度、组成或其他特性。在另一实施方式中,中心管道148适合于用作温度传感器诸如高温计或热电偶的端口。
第一和第二前体气体154、155从第一和第二环形歧管170、171流入到第一和第二气室144、145中,第一气室144与第一气体通路142直接流体连通,和气体管道147提供第二气室145和第二气体通路143之间的流体连通。第二气体通路143被密封以防止与第一气体通路142流体连通且由此防止在气体注入到混合通路150之前前体气体发生混合。设置在第一和第二环形歧管170、171的内径处的节流壁172可具有第一和第二气隙173、174(见图2F),从而当气体流入到第一和第二气室144、145中时在方位角方向(azimuthaldirection)上提供更均匀的气体分布。
第一和第二前体气体154、155从第一和第二气体通路142、143流入到第一和第二气体注入孔156、157且之后进入到混合通路150,这里,第一和第二前体气体154、155混合以形成前体气体152,此时该混合气体152流入到处理容积108中。在一个实施方式中,在传送到喷头组件104之前,可包括氮气(N2)或氢气(H2)或惰性气体的载气与第一和第二前体气体154、155混合。
在一个实施方式中,被传送到第一气室的第一前体气体154可包括III族前体,和被传送到第二气室145的第二前体气体155可包括V族前体。在另一实施方式中,前体传送可被转换以使III族前体通往气室145和V族前体通往气室144。就给定的前体而言,选择第一或第二气室144、145可部分地由气室至热交换通路141的距离和每个气室和其中的前体所需保持的温度范围来确定。
III族前体可以是金属有机(MO)前体诸如三甲基镓(“TMG”)、三甲基铝(“TMAl”)和/或三甲基铟(“TMI”),但是也可使用其他合适的MO前体。V族前体可以是氮前体诸如氨(NH3)。在一个实施方式中,单个MO前体诸如TMG可被传送到任一个气室144或145。在另一实施方式中,两个或多个MO前体诸如TMG和TMI可混合且被传送到任一个气室144或145。
设置在第一和第二气体通路142、143下方且与混合通路150相邻的是热交换通路141,热交换流体通过该热交换通路141流动以帮助调节喷头组件104的温度。合适的热交换流体包括水、水基乙二醇混合物、全氟代聚脂(例如流体)、油基传热流体或者类似的流体。热交换流体可以通过热交换器(未示出)循环以根据需要升高或降低热交换流体的温度以保持喷头组件104的温度处于所需温度范围内。在一个实施方式中,热交换流体被保持在约20摄氏度至约120摄氏度的温度内。在另一个实施方式中,热交换流体可保持在约100摄氏度至约350摄氏度的温度范围内。在再一实施方式中,热交换流体可被保持在大于350摄氏度的温度下。热交换流体也可被加热到其沸点以便使用容易获得的热交换流体使得喷头组件104也被保持在较高温度下。而且,热交换流体可以是液体金属诸如镓或镓合金。
也可调整热交换流体的流速以帮助控制喷头组件104的温度。此外,热交换通路141的壁厚度可被设计成利于各喷头表面的温度调节。例如,喷头面153的壁厚度T(见图2A)可被制作得较薄以增加通过该壁的传热速度且由此增加喷头面153的冷却或加热速度。
希望对于各喷头组件104特征诸如混合通路150和喷头面153控制温度以降低或消除在喷头组件140上形成冷凝物,以及减少气相颗粒形成并防止产生不希望的前体反应产物,该不希望的前体反应产物会不利地影响沉积在基板140上的膜的合成。在一个实施方式中,一个或多个热电耦或其他温度传感器设置在喷头面153附近以测量喷头温度。该一个或多个热电耦或其他温度传感器设置在喷头组件104的中心管道148和/或外部周边504附近(见图5)。在另一实施方式中,一个或多个热电耦或其他温度传感器设置在热交换通路141入口和出口附近。在其他实施方式中,温度传感器位于其它喷头组件104特征附近。
通过一个或多个热电耦或者其他温度传感器测量的温度数据可被发送到控制器(未示出),该控制器可调整热交换流体温度和流速以保持喷头温度在预定范围内。在一个实施方式中,喷头温度被保持在约50摄氏度至约350摄氏度。在另一个实施方式中,喷头温度可被保持在大于350摄氏度的温度下。
图1C是于图1B中示出的喷头组件的另一实施方式的详细截面图。中心管道148可用设置在喷头组件104中心处或中心附近的热交换流体管道232代替,且采用供应线路133以流动热交换流体。热交换流体管道232可用作热交换通路141的供应或返回线路。
图2A是根据本发明的一个实施方式于图1B中示出的喷头组件的详细截面图。第一和第二前体气体154、155从第一和第二气体通路142、143流入第一和第二气体注入孔156、157且此时流入到混合通路150中。第一气体注入孔156具有直径D1,和第二气体注入孔157具有直径D2。在一个实施方式中,直径D1和D2是相等的,且其范围从约0.25mm至约1.5mm。在另一个实施方式中,第一和第二气体注入孔157的直径D1和D2不相等。例如,供应氮前体气体诸如氨(NH3)的第二气体注入孔157可具有大于直径D1的直径D2。第一气体注入孔156可供应金属有机前体。可选择孔直径D1和D2以利于层状气体流动,避免气体再循环,且有助于对于通过第一和第二气体注入孔156、157的第一和第二前体气体154、155提供所需气体流速。在一个实施方式,通过第一和第二气体注入孔156、157中每一个的气体流速近似相等。第一和第二气体注入孔156、157具有间隔距离X,可选择该距离X以利于气体混合且最小化气体再循环。
第一和第二前体气体154、155在混合通路150内混合以形成处理气体152。混合通路150允许第一和第二前体气体154、155在进入到处理容积108中之前部分或全部混合,这里,由于处理气体流向基板140,因此会发生附加的前体混合。在处理气体152达到基板140之前第一和第二前体气体154、155在混合通路150内的该“预先混合”可提供更完全且更均匀的前体混合。,从而导致更高的沉积速度以及提高的膜质量。
混合通路150的垂直壁201可通过与混合通路150相邻的热交换通路141的外部壁或外壁形成。在一个实施方式中,混合通路150包括通过基本相互平行的垂直壁201形成的外壁。可测量混合通路150从通路表面202至混合通路150终止的拐角206的高度H。在一个实施方式中,混合通路150的高度H在从约5mm至约15mm的范围内。在另一实施方式中,混合通路150的高度H可以超出15mm。在一个实施方式中,混合通路150的宽度W1在从约1mm至约5mm的范围内,和热交换通路141的宽度W2从约2mm至约8mm。
在另一个实施方式中,拐角206可由倒角、斜面、半圆或其他几何特征代替以在混合通路150的一端处产生离散壁200(由虚线表示),混合通路150具有从沟道表面202到混合通路150终止的角203测量的高度H’。由于处理气体152向下游流动,因此在离散壁200之间的距离可在基板140的方向上增加以使喷头面163的表面积被降低且气流路径加宽。喷头面163表面积的降低会有助于降低气体凝结,且由于处理气体152流过热交换通路141因此离散壁200可有助于降低气体再循环。选择离散角度α以增加或降低喷头面153的表面积并有助于降低气体再循环。在一个实施方式中,角度α是零度。在另一个实施方式中,角度α是45度。在另一实施方式中,热交换通路141可具有在通路一侧上的拐角206和在通路相反侧上的离散壁200。
图2B是根据本发明一个实施方式的气体通路和热交换通路的截面透视剖面图。第一和第二气体通路142、143是螺旋通路,该螺旋通路在具有用于基板140的凹陷116的基板支架114上方且横跨该基板支架114延伸。在第一和第二气体通路142、143中每一个的底部处是多个第一和第二气体注入孔156、157,其提供第一和第二气体通路142、143和混合通路150之间的流体连通。在一个实施方式中,第一和第二气体注入孔156、157可包括设置在第一和第二气体通路142、143拐角附近的钻孔。在一个实施方式中,螺旋混合通路150具有基本呈矩形的截面220。热交换通路141被设置在混合通路150的每一个上以形成垂直壁201。热交换流体可通过热交流通路141流动以帮助控制混合通路150、喷头面153和其他喷头组件104特征的温度。
喷头组件104可被设置成使得其可被拆卸以利于清洁或部件替换。预处理环境一致且用于喷头组件104的材料包括316L不锈钢、、无电极电镀了镍的铝、纯镍、钼、钽或由高温、热应力和化学前体反应导致的退化和变形的其他金属和合金。为了帮助降低组件复杂性和确保流过组件的不同气体和液体之间的隔离,也可使用电成型以制造喷头组件104的各部件。这种电成型部件可降低隔离组件内不同气体和液体所需的部件和密封的数量。此外,电成型也可帮助降低具有复杂几何形状的那些部件的制造成本。
图2C是根据本发明一个实施方式的喷头组件104的截面透视剖面图。喷头组件104可包括连接在一起的底板233、中间隔板210和顶板230。且底板233和进一步包括第一和第二气体通路142、143、混合通路150和热交换通路141。一个或多个O形圈(未示出)和O形环槽241可设置在板的周边附近以提供流体密封并确保第一和第二气室144、145不是流体连通的。一个或多个传感器管301沿着喷头组件104的半径或在其半径附近设置以提供传感器(例如温度传感器)和/或度量工具至处理容积108的测量入口。两个或更多个热交换流体管道232可设置在喷头组件104的各位置处以为热交换通路141提供用于一个或多个流动回路的热交换流体入口和出口。在一个实施方式中,三个流动回路可用于热交换通路141。
一个或多个第一气体管道161可与第一环形歧管170流体连通和每个第一气体管道141可连接到供应线路131且与其流体连通。在一个实施方式中,六个第一气体管道161以约60度相间隔设置在顶板230外周附近。此外,一个或多个第二气体管道162与第二环形歧管171流体连通且每个第二气体管道162可连接到供应线路132且与其流体连通。在一个实施方式中,六个第二气体管道162以约60度间隔设置在顶板230外周附近。
图2D是根据本发明一个实施方式喷头组件的另一截面透视剖面图。底板233包括螺旋通路,该螺旋通路横跨基板支架114且在其上方延伸。第一环形支管170和节流壁172设置在底板233的外周附近。热交换流体管道232连接到热交换通路141且与其流体连通。
第一气体通路142向第一气室144打开且多个气体管道147连接到第二气体管道143和第二气室145且与二者流体连通。第一和第二气体通路142、143每一个都是单个的、连续的通路,其从中心向底板233的外围位置“旋出”,且由此每一个螺旋通路都具有相当大的长度。使用多个气体管道147可沿着第二气体通路143的长度方向提供更均匀的气体分配。在一个实施方式中,沿着第二气体通路143的螺旋设置50至150个气体通路147,以使气体管道147以约51mm至约76mm相间隔设置。
图2E是根据本发明一个实施方式的喷头组件的截面透视双剖面图。第二前体气体115可经由第二气体管道162被传送到第二环形歧管171和第二气室145。第二前体气体155此时流入到设置在中间隔板210中多个孔240中的一个中且流入到气体管道147和第二气体通路143中至混合通路150。每一个气体管道147都设置在孔240内部且合适的密封器件(未示出)被设置在每一个气体管道147的外部直径和每一个孔240的内部直径之间以形成流体密封,从而第一和第二气室144、145不是流体连通的。在一个实施方式中,第二前体气体155可包括氮前体诸如氨。
第一前体气体154可经由第一气体管道161被传送到第一环形歧管170和第一气室144中。第一前体气体154此时可在一些位置处沿着螺旋通路流入到打开的第一气体通路142中,并且流入到混合通路150中。在一个实施方式中,第一前体气体154可包括金属有机前体诸如TMG。
图2F是根据本发明一个实施方式于图2E中示出的喷头组件的详细截面图。第一和第二前体气体154、155流入到第一和第二环形歧管170、171中并且此时流过设置在节流壁172顶部处的第一和第二间隙173、174。当前体气体流入到第一和第二气室144、145中时,第一和第二间隙173、174足够窄以允许填充第一和第二环形歧管170、171并在方位角方向上获得更均匀的气体分布。此外,第一和第二间隙173、174具有第一和第二间隙尺寸G1和G2,其尺寸可控制气体流入到气室中的速度并促进层状气体流动。在一个实施方式中,第一和第二间隙尺寸G1和G2相等且在从约0.5mm至约1.5mm的范围内。在另一实施方式中,第一和第二间隙尺寸G1和G2是不同的。
图3是根据本发明喷头组件另一实施方式的截面图。装置100适合于提供附加气体源和气体供应线路,以启动在此描述的喷头组件104的附加实施方式。图3描述具有第三环形歧管320、第三气室306、第二中间隔板321和第三密封气体通路304的喷头组件104,其中该气体通路304连接到管道307并与其流体连通,以使其他气体被传送到混合通路150。该气体是附加的前体气体或惰性气体(诸如N2、He、Ar)。气体可经由第三气体注入孔305被注入到混合通路150中。在一个实施方式中,第一、第二和第三气体注入孔156、157、305可全部都具有相同直径D1。在其他实施方式中,第一、第二和第三气体注入孔156、157、305具有不同直径。之前已经在此描述了对于气体注入孔直径D1的不同实施方式。
此外,气体可传送到第一、第二和第三气室144、145和306中的任一个以形成多个可能的径向气体注入顺序。例如,第一气体注入孔156可注入MO前体,第二气体注入孔156可注入氮前体诸如NH3,和第三气体注入孔305可注入第三前体气体,气体注入顺序是MO-NH3-(第三前体)-重复,这里“重复”表示横跨喷头组件104的半径重复气体注入顺序。在另一实施方式中,气体可传送到第一、第二和第三气室144、145和306以产生注入顺序NH3-MO-(第三前体)-重复。添加第三气体通路304形成了三个通路顺序142-143-304-重复。将理解,气体被同时注入且术语“气体注入顺序”涉及到空间顺序而非时间顺序。在其他实施方式中,喷头组件104可包括任意数量的气室和气体通路从而以任意所需气体注入顺序向室102传送多种气体。
在另一实施方式中,喷头组件104可不具有混合通路150且热交换通路141可设置在一个或多个气体通路之间以形成用于喷头面153的基本平坦的表面,该喷头面153包括多个第一、第二和第三气体注入孔156、157和305。在再一实施方式中,喷头组件104不具有热交换通路141。此外,一种或多种惰性气体可被传送到气体通路以在前体气体之间产生惰性气体诸如H2、He、Ar或其组合的“屏障”,以在到达基板140之前帮助保持前体气体分离。在一个实施方式中,四个气体通路用于形成气体注入顺序MO-(惰性气体)-NH3-(惰性气体)-重复。
图4A是根据本发明一个实施方式于图1B中示出的喷头组件的示意性底视图。喷头组件104的螺旋沟道几何形状通过第一和第二气体注入孔156和157的螺旋设置体现,该第一和第二气体注入孔156、157设置在横跨喷头面153形成重复径向气体通路顺序142-143-重复的第一和第二气体通路142、143的底部处。螺旋混合通路150从喷头面153凹进并具有垂直壁201。热交换通路141是具有宽度W2的螺旋通路,其被设置成与具有宽度W1的混合通路150相邻。
中心管道148可位于喷头组件104中心处或中心附近,且之前已经在此描述了中心管道148的几个实施方式。在另一实施方式中,中心管道148可用热交换流体管道232代替。一个或多个端口400和401可设置在中心管道148附近,且端口400和401直径根据每个端口400和401的预定功能而相同或不同。在一个实施方式中,端口400和/或401可用于容纳温度传感器诸如高温计或热电偶以测量基板温度和/或其他温度诸如喷头面153的温度。端口400、401可连接到传感器管301且与其流体连通。在另一实施方式中,端口400和401可设置在喷头组件104上以避免与热交换通路141交叉。
在另一实施方式中,端口400和/或401可用作度量端口且可连接到一个或多个度量工具(未示出)。度量工具可用于测量各种膜特性,诸如实时膜生长、厚度、粗糙度、成分或其他特性。一个或多个端口400和401也可倾斜以能够使用度量工具,诸如需要用于所接收激光束的倾斜发射器和接收器的反射系数测量。
每个端口400和401也适合于流动清洗气体(其可以是惰性气体注入氮或氩)以防止端口400和401内器件上的冷凝并能进行精确的原位测量。清洗气体在设置在传感器管301内部且与端口400、401相邻的传感器、探针、或其他器件周围具有环形流路。在另一实施方式中,端口400、401可具有离散的管口或喷嘴设计,从而当气体向下游移向基板140时清洗气体流动路径加宽。离散的管口或喷嘴可以是加宽气体流动路径的锥形扩孔、倒角、径向射线或其他特征。在一个实施方式中,清洗气体可具有约50sccm(标准立方厘米每分钟)至约500sccm的流速。
图4B是根据本发明另一实施方式于图1B中示出的喷头组件的示意性底视图。第一气体注入孔156相对于第二气体注入孔157沿着螺旋混合通路150是交错的。第一和第二气体注入孔156和157的交错设置利于基板140表面上方更均匀的气体分布。
图5是根据本发明喷头组件的另一实施方式的示意性底视图。多个气体注入孔502与螺旋气体通路诸如第一和第二气体通路142、143流体连通。热交换通路141被设置成与气体通路相邻。
在一个实施方式中,如第IV象限中所示出的,横跨喷头面153使用相同尺寸的气体注入孔502。每一个气体通路都供应不同的气体,诸如MO前体、氮前体或惰性气体至与气体通路流体连通的气体注入孔502。可选择气体通路尺寸(诸如长度和宽度)以及用于第二气体通路143的气体管道147的数量和位置以帮助实现成比例的气体流动,从而将随着时间呈近似相同数量的气体传送到每个气体通路,该气体通路传送相同前体(或惰性气体)。可适当设置气体注入孔502的直径尺寸以帮助确保通过每个气体注入孔502沿着流动相同前体的每个气体通路的气体流速都大致相同。质量流量控制器(未示出)可设置在喷头组件104的下游以便调整每种前体至气体通路的流速且由此控制处理气体152的前体化学计量配比。但是,在一定条件下,也希望增加或降低沿着喷头面153的各个位置处的处理气体152流速。
在一个实施方式中,如在象限I中所示的,可在喷头组件104外周504附近使用直径大于气体注入孔502直径的较大气体注入孔503以帮助补偿可能存在于环形排气通路105基板支架114和外部边缘处的气体流动反常。例如,环形排气通路105的真空会耗尽外周504附近的处理气体152且较大的气体注入孔503会帮助补偿气体耗尽。在一个实施方式中,较大气体注入孔503直径与气体注入孔502的直径的比率在从约1:1至约1.4:1的范围内。
象限II示出了在喷头组件104外周504附近使用用于气体注入孔502的较大孔密度(每单位面积的孔数目)的另一实施方式,这有助于在基板140上方提供更均匀的气体分布。节距P是沿着相同气体通路的气体注入孔502之间的最短距离,且间隔距离X是设置在相邻气体通路中的气体注入孔502之间的最短距离。节距P可改变以增加或降低喷头组件104所需区域上方的孔密度。在本实施方式中,节距P降低以增加外周504附近的孔密度同时间隔距离X保持不改变。在其他实施方式中,间隔距离X和/或气体通路尺寸也会改变以增加或降低孔密度。在一个实施方式中,在外周504附近的节距P与远离外周504的垂直节距P的比率在从约1:1至约0.5:1的范围内。
在又一实施方式中,如于象限III中所示出的,较大气体注入孔503用于一种或多种前体和/或惰性气体以帮助实现横跨喷头面153的所需气流、气体分布和/或气体化学计量配比。在其他实施方式中,横跨喷头组件104,气体注入孔502直径和孔密度可根据需要变化。于图5中示出的实施方式以及在此的描述相结合且与在此描述的用于喷头组件104的其他组合一起使用。
之前在此讨论的实施方式中,已经沿着螺旋气体通路长度设置了多个气体注入孔以沿着螺旋混合通路150注入气体,如图2B、2D和4A中所示。气体通路顺序包括两个或多个相邻通路,其承载前体气体和惰性气体以形成径向气体注入顺序,诸如MO-NH3,这沿着喷头组件104的径向重复。每个气体通路的气体注入孔都形成螺旋气体注入区域,其注入由通路运载的前体气体或惰性气体。气体注入区域是螺旋的且径向气体注入顺序涉及到沿着喷头面153的径向重复的气体顺序。在另一实施方式中,气体注入区域可具有其他形状。
图6A和6B是示出用于气体注入区域的不同实施方式的喷头组件的示意性底视图。图6A描述了用于多个第一和第二气体注入孔156、157的楔形气体注入区域,其与用于喷头组件104的第一和第二气体通路142、143流体连通。径向气体通路顺序是142-143-重复。在其他实施方式中,多个螺旋气体通路用于形成每个顺序都包括多于两个通路的径向气体通路顺序。
第一和第二气体注入孔156、157可适当地沿着第一和第二气体通路142、143中的每一个设置以形成具有由虚线612表示的边界的气体注入区域600和601。通过沿着螺旋气体通路适当设置气体注入孔,很多气体注入区域形状都是可以的。而且,气体注入孔可沿着气体通路适当地间隔以对于每个气体注入区域优化气流分布。该实例中,气体注入区域是楔形的且仅示出了用于喷头组件104的一个象限的一部分。
每个气体注入区域600和601都可提供不同气体至处理室102。例如,气体注入区域600仅包括第一气体注入孔156,其仅与第一气体通路142流体连通(例如使用钻孔)和气体注入区域601仅包括第二气体注入孔157,其仅与第二气体通路143流体连通。
在一个实施方式中,第一气体通路142可提供MO前体和第二气体通路143可提供氮前体诸如氨(NH3)以形成方位角(在顺时针方向或逆时针方向上从一个楔形区域到下一个)气体注入顺序MO-NH3-重复其与气体注入区域600-601-重复相对应。在其他实施方式中,任何数量的气体注入顺序和区域都可通过合适选择的气体注入孔位置、用于喷头组件的不同气体通路数量以及所使用的不同气体数量来形成。例如,添加第三气体通路304和第三气室306可提供第三楔形气体注入区域,其提供第三前体以形成方位角气体注入顺序MO-NH3-(第三前体)-重复。在其他实施方式中,一种前体可由例如可用于分离前体的惰性气体替换。可适当选择用于每个楔形区域的角度β以用于所需数量的重复气体注入顺序以及用于喷头组件104的在360度内的所需的区域尺寸。在本实施方式中,气体注入区域600和601是楔形的,但是沿着每个螺旋通路的气体注入孔位置适合于形成很多其他区域形状。
图6B示出了形成为同心环的气体注入区域600和601的另一实施方式。第一和第二气体注入孔156、157沿着第一和第二气体通路142、143中的每一个适当设置,以形成具有通过虚线612表示的边界的同心气体注入区域600和601。气体注入区域600仅包括第一气体注入孔156和气体注入区域601仅包括第二气体注入孔157。可形成与同心气体注入区域600-601对应的径向气体注入顺序MO-NH3-重复(从中心区向外部区域),但是其它气体注入顺序也是可以的。另外,气体注入孔直径和孔密度在每个气体注入区域内根据需要变化。图6A和6B中示出的以及在此描述的实施方式可组合以及与在此描述的用于喷头组件104的其他组合一起使用。
用于MOCVD应用的在此描述的前述喷头组件104的实施方式适合于用在公知的混合气相外延(HVPE)的其它沉积技术中。HVPE工艺在生长一些III-V族膜、特别是GaN方面提供了几个优点,诸如高生长速度、相对简单且成本有效。该技术中,由于高温、氯化镓(GaCl)和氨(NH3)之间的气相反应导致继续进行GaN生长。氨可从标准气体源提供,同时GaCl也通过在加热的液态镓源上方通过含氢气体诸如HCl来制造。这两种气体氨和GaCl被导向至加热的基板,这里其反应以在基板表面上形成外延GaN膜。总之,HVPE工艺可用于通过在III族液态源上方流过含氢气体(诸如HCl、HBr或HI)生长其他的III-V族氮化物膜,以形成III族卤化物气体,且此时混合III族卤化物气体和含氮气体诸如氨以形成III族氮化物膜。
在一个实施方式中,气体传送系统125可包括在室102外部的加热的源舟(未示出)。该加热的源舟可含有金属源(例如Ga),将其加热至液相,且含氢气体(例如HCl)了流过金属源上方以形成III族卤化物气体诸如GaCl。III卤化物气体和含氮气体诸如NH3此时经由供应线路131、132被传送到喷头组件104的第一和第二气室144、145,用于注入到处理容积108中以在基板140上沉积III族氮化物膜诸如GaN。在另一实施方式中,可加热一个或多个供应线路131、132以从外部舟传送前体至室102。在另一实施方式中,惰性气体可以是氢、氮、氦、氩或其组合,其可在第一和第二HVPE前体气体之间流动以帮助保持前体在到达基板140之前是分开的。HVPE前体气体也可包括掺杂剂气体。
除了之前在此提到的III族前体,也可将其他III族前体与喷头组件104一起使用。例如,也可使用具有一般公式MX3的前体(例如GaCl3),其中M是III族元素(例如镓、铝或铟)和X是VII族元素(例如溴、氯、或碘)。气体传送系统125(例如起泡器、供应线路)的部件可适当地用于传送MX3前体至喷头组件104。
虽然前述内容涉及到本发明的实施方式,但是可设计出本发明其他和进一步的实施方式而不超出其基本范围,且其范围通过以下的权利要求限定。
Claims (25)
1.一种喷头装置,包括:
第一气体通路,用于第一前体气体;和
第二气体通路,用于第二前体气体,该第二气体通路被设置成与第一气体通路共面。
2.如权利要求1的装置,其特征在于,所述第一和第二气体通路以交错方式设置。
3.如权利要求2的装置,其特征在于,所述第一和第二气体通路包括螺旋通路。
4.如权利要求2的装置,其特征在于,还进一步包括第一气室,用于提供第一前体气体至第一气体通路,和第二气室,用于提供第二前体气体至第二气体通路,其中第一和第二压力气室设置在第一和第二气体通路上方。
5.如权利要求3的装置,其特征在于,还进一步包括用于热交换介质的第三螺旋通路。
6.如权利要求5的装置,其特征在于,还包括用于气体的第四螺旋通路,该第四螺旋通路被设置成与第一和第二螺旋气体通路共面。
7.如权利要求3的装置,其特征在于,所述第一和第二螺旋气体通路具有被设置成限定多个注入区域的注入孔。
8.如权利要求7的装置,其特征在于,所述注入区域是同心的且交替在用于第一前体气体的注入区域和用于第二前体气体的注入区域之间。
9.如权利要求7的装置,其特征在于,注入区域是楔形的且交替在用于第一前体气体的注入区域和用于第二前体气体的注入区域之间。
10.如权利要求7的装置,其特征在于,还包括第三螺旋气体通路,该第三螺旋气体通路具有被设置成在用于第一和第二前体气体的多个注入区域之间限定惰性气体注入区域的注入孔。
11.一种喷头装置,包括:
用于第一前体气体的第一气体通路,该第一气体通路具有注入孔,第一前体气体通过该注入孔注入到前体混合区域中;和
用于第二前体气体的第二气体通路,该第二气体通路具有注入孔,第二前体气体通过该注入孔注入到前体混合区域中。
12.如权利要求11的装置,其特征在于,所述第一和第二气体通路包括以交错方式设置的螺旋通路。
13.如权利要求11的装置,其特征在于,用于注入所述第一和第二前体气体的注入孔的尺寸具有相同孔直径。
14.如权利要求13的装置,其特征在于,用于注入所述第一和第二前体气体的注入孔的密度不同,其中孔密度在越接近喷头装置外周的区域处越大。
15.如权利要求11的装置,其特征在于,用于注入所述第一和第二前体气体的注入孔的尺寸具有不同的孔直径,其中孔直径在越接近喷头装置外周的孔位置处越大。
16.如权利要求11的装置,其特征在于,用于注入第一前体气体的注入孔的尺寸不同于用于注入第二前体气体的注入孔的尺寸。
17.如权利要求11的装置,其特征在于,前体混合区域被限定在面对基板处理容积的喷头装置的侧面上。
18.如权利要求17的装置,其特征在于,还包括形成在面对基板处理容积的喷头装置侧面上的热交换通路。
19.如权利要求18的装置,其特征在于,所述热交换通路具有向基板处理容积延伸并限定混合区域的多个壁。
20.一种喷头装置,包括:
用于第一前体气体的第一通路;
用于第二前体气体的第二通路;和
用于热交换介质的第三通路。
21.如权利要求20的装置,其特征在于,所述第一和第二通路是共面的且是交错的。
22.如权利要求21的装置,其特征在于,所述第一和第二通路包括螺旋通路。
23.如权利要求22的装置,其特征在于,所述第一和第二通路具有用于将所述第一和第二前体气体注入到由第三通路壁限定的混合区域中的注入孔。
24.如权利要求22的装置,其特征在于,还包括用于惰性气体的第四螺旋通路。
25.如权利要求20的装置,其特征在于,所述第一前体气体包括III族前体气体和所述第二前体气体包括V族前体气体。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/873,141 | 2007-10-16 | ||
US11/873,141 US20090095222A1 (en) | 2007-10-16 | 2007-10-16 | Multi-gas spiral channel showerhead |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2011100377199A Division CN102121097A (zh) | 2007-10-16 | 2008-10-16 | 多个气体螺旋通路的喷头 |
Publications (1)
Publication Number | Publication Date |
---|---|
CN101423936A true CN101423936A (zh) | 2009-05-06 |
Family
ID=40532947
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CNA2008101706026A Pending CN101423936A (zh) | 2007-10-16 | 2008-10-16 | 多个气体螺旋通路的喷头 |
CN2011100377199A Pending CN102121097A (zh) | 2007-10-16 | 2008-10-16 | 多个气体螺旋通路的喷头 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN2011100377199A Pending CN102121097A (zh) | 2007-10-16 | 2008-10-16 | 多个气体螺旋通路的喷头 |
Country Status (4)
Country | Link |
---|---|
US (1) | US20090095222A1 (zh) |
CN (2) | CN101423936A (zh) |
TW (1) | TW200924854A (zh) |
WO (1) | WO2009052213A1 (zh) |
Cited By (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN101914761A (zh) * | 2010-08-16 | 2010-12-15 | 华晟光电设备(香港)有限公司 | 用于mocvd反应腔中反应气体输送与均匀分布控制的装置 |
CN102051600A (zh) * | 2009-10-15 | 2011-05-11 | 奥博泰克Lt太阳能公司 | 用于等离子处理腔的喷头组件 |
CN103993293A (zh) * | 2013-02-15 | 2014-08-20 | 诺发系统公司 | 带温度控制的多室喷头 |
US9287152B2 (en) | 2009-12-10 | 2016-03-15 | Orbotech LT Solar, LLC. | Auto-sequencing multi-directional inline processing method |
CN103443903B (zh) * | 2011-03-18 | 2016-05-04 | 应用材料公司 | 多级喷淋头设计 |
US9447499B2 (en) | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
US9462921B2 (en) | 2011-05-24 | 2016-10-11 | Orbotech LT Solar, LLC. | Broken wafer recovery system |
US9677176B2 (en) | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
CN107365977A (zh) * | 2011-10-19 | 2017-11-21 | 应用材料公司 | 用于提供均匀气流的设备与方法 |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
CN111549375A (zh) * | 2020-05-14 | 2020-08-18 | 华厦半导体(深圳)有限公司 | 一种可量产氮化镓的全立式hpve设备 |
CN112262229A (zh) * | 2018-06-12 | 2021-01-22 | 朗姆研究公司 | 用于均匀气体分配的化学气相沉积喷头 |
US11015247B2 (en) | 2017-12-08 | 2021-05-25 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
Families Citing this family (118)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7789961B2 (en) * | 2007-01-08 | 2010-09-07 | Eastman Kodak Company | Delivery device comprising gas diffuser for thin film deposition |
US20080166880A1 (en) * | 2007-01-08 | 2008-07-10 | Levy David H | Delivery device for deposition |
US11136667B2 (en) * | 2007-01-08 | 2021-10-05 | Eastman Kodak Company | Deposition system and method using a delivery head separated from a substrate by gas pressure |
US8211231B2 (en) * | 2007-09-26 | 2012-07-03 | Eastman Kodak Company | Delivery device for deposition |
US8398770B2 (en) * | 2007-09-26 | 2013-03-19 | Eastman Kodak Company | Deposition system for thin film formation |
US20090095221A1 (en) * | 2007-10-16 | 2009-04-16 | Alexander Tam | Multi-gas concentric injection showerhead |
US7976631B2 (en) | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US8668775B2 (en) * | 2007-10-31 | 2014-03-11 | Toshiba Techno Center Inc. | Machine CVD shower head |
TWI498988B (zh) * | 2008-02-20 | 2015-09-01 | Tokyo Electron Ltd | A gas supply device, a film forming apparatus, and a film forming method |
KR101004927B1 (ko) * | 2008-04-24 | 2010-12-29 | 삼성엘이디 주식회사 | Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치 |
FR2930561B1 (fr) * | 2008-04-28 | 2011-01-14 | Altatech Semiconductor | Dispositif et procede de traitement chimique en phase vapeur. |
WO2010042410A2 (en) | 2008-10-07 | 2010-04-15 | Applied Materials, Inc. | Apparatus for efficient removal of halogen residues from etched substrates |
US20100096569A1 (en) * | 2008-10-21 | 2010-04-22 | Applied Materials, Inc. | Ultraviolet-transmitting microwave reflector comprising a micromesh screen |
TWI437622B (zh) * | 2008-11-26 | 2014-05-11 | Ind Tech Res Inst | 氣體噴灑模組 |
WO2010101369A2 (ko) * | 2009-03-03 | 2010-09-10 | 주성엔지니어링㈜ | 가스 분배 장치 및 이를 구비하는 기판 처리 장치 |
US8272346B2 (en) * | 2009-04-10 | 2012-09-25 | Lam Research Corporation | Gasket with positioning feature for clamped monolithic showerhead electrode |
US20100273291A1 (en) * | 2009-04-28 | 2010-10-28 | Applied Materials, Inc. | Decontamination of mocvd chamber using nh3 purge after in-situ cleaning |
US20110030615A1 (en) * | 2009-08-04 | 2011-02-10 | Applied Materials, Inc. | Method and apparatus for dry cleaning a cooled showerhead |
KR20120090996A (ko) * | 2009-08-27 | 2012-08-17 | 어플라이드 머티어리얼스, 인코포레이티드 | 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법 |
US8216640B2 (en) * | 2009-09-25 | 2012-07-10 | Hermes-Epitek Corporation | Method of making showerhead for semiconductor processing apparatus |
WO2011044451A2 (en) * | 2009-10-09 | 2011-04-14 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
KR20110054840A (ko) * | 2009-11-18 | 2011-05-25 | 주식회사 아토 | 샤워헤드 어셈블리 및 이를 구비한 박막증착장치 |
US20110256692A1 (en) | 2010-04-14 | 2011-10-20 | Applied Materials, Inc. | Multiple precursor concentric delivery showerhead |
WO2011159690A2 (en) * | 2010-06-15 | 2011-12-22 | Applied Materials, Inc. | Multiple precursor showerhead with by-pass ports |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9129778B2 (en) * | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
US20120270384A1 (en) * | 2011-04-22 | 2012-10-25 | Applied Materials, Inc. | Apparatus for deposition of materials on a substrate |
US20120318457A1 (en) * | 2011-06-17 | 2012-12-20 | Son Nguyen | Materials and coatings for a showerhead in a processing system |
US9574268B1 (en) | 2011-10-28 | 2017-02-21 | Asm America, Inc. | Pulsed valve manifold for atomic layer deposition |
US9328419B2 (en) | 2012-04-18 | 2016-05-03 | Hermes-Epitek Corporation | Gas treatment apparatus with surrounding spray curtains |
EP2671630B1 (en) | 2012-06-07 | 2016-08-10 | General Electric Company | Mixing device having a plurality of mixing channels and use thereof |
WO2014012237A1 (en) * | 2012-07-19 | 2014-01-23 | Ideal Energy Equipment (Shanghai) Ltd. | Method and apparatus for growing nitride-based compound semiconductor crystals |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
TWI683382B (zh) * | 2013-03-15 | 2020-01-21 | 應用材料股份有限公司 | 具有光學測量的旋轉氣體分配組件 |
JP6199619B2 (ja) * | 2013-06-13 | 2017-09-20 | 株式会社ニューフレアテクノロジー | 気相成長装置 |
JP6153401B2 (ja) * | 2013-07-02 | 2017-06-28 | 株式会社ニューフレアテクノロジー | 気相成長装置および気相成長方法 |
US9657397B2 (en) * | 2013-12-31 | 2017-05-23 | Lam Research Ag | Apparatus for treating surfaces of wafer-shaped articles |
US9597701B2 (en) * | 2013-12-31 | 2017-03-21 | Lam Research Ag | Apparatus for treating surfaces of wafer-shaped articles |
KR102229761B1 (ko) * | 2014-03-17 | 2021-03-23 | 삼성디스플레이 주식회사 | 원자층 증착 장치 |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US20150361582A1 (en) * | 2014-06-17 | 2015-12-17 | Veeco Instruments, Inc. | Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition |
US9840777B2 (en) | 2014-06-27 | 2017-12-12 | Applied Materials, Inc. | Apparatus for radical-based deposition of dielectric films |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN106676499B (zh) * | 2015-11-06 | 2020-07-03 | 中微半导体设备(上海)股份有限公司 | 一种mocvd气体喷淋头预处理方法 |
KR20180112794A (ko) * | 2016-01-22 | 2018-10-12 | 어플라이드 머티어리얼스, 인코포레이티드 | 전도성 층들이 매립된 세라믹 샤워헤드 |
CN107403717B (zh) * | 2016-04-28 | 2023-07-18 | 应用材料公司 | 一种用于处理腔室的改进侧注入喷嘴设计 |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10662527B2 (en) | 2016-06-01 | 2020-05-26 | Asm Ip Holding B.V. | Manifolds for uniform vapor deposition |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US11380557B2 (en) * | 2017-06-05 | 2022-07-05 | Applied Materials, Inc. | Apparatus and method for gas delivery in semiconductor process chambers |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10876208B2 (en) * | 2018-01-16 | 2020-12-29 | Taiwan Semiconductor Manufacturing Company Ltd. | Apparatus and method for fabricating a semiconductor device |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10472716B1 (en) | 2018-05-17 | 2019-11-12 | Lam Research Corporation | Showerhead with air-gapped plenums and overhead isolation gas distributor |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US11834743B2 (en) * | 2018-09-14 | 2023-12-05 | Applied Materials, Inc. | Segmented showerhead for uniform delivery of multiple precursors |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
TW202020218A (zh) * | 2018-09-14 | 2020-06-01 | 美商應用材料股份有限公司 | 用於多流前驅物配分劑量的裝置 |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11492701B2 (en) | 2019-03-19 | 2022-11-08 | Asm Ip Holding B.V. | Reactor manifolds |
KR20210048408A (ko) | 2019-10-22 | 2021-05-03 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 증착 반응기 매니폴드 |
US11420217B2 (en) * | 2019-12-19 | 2022-08-23 | Applied Materials, Inc. | Showerhead for ALD precursor delivery |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US4792378A (en) * | 1987-12-15 | 1988-12-20 | Texas Instruments Incorporated | Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor |
JP3468859B2 (ja) * | 1994-08-16 | 2003-11-17 | 富士通株式会社 | 気相処理装置及び気相処理方法 |
JP3380091B2 (ja) * | 1995-06-09 | 2003-02-24 | 株式会社荏原製作所 | 反応ガス噴射ヘッド及び薄膜気相成長装置 |
US6090210A (en) * | 1996-07-24 | 2000-07-18 | Applied Materials, Inc. | Multi-zone gas flow control in a process chamber |
KR100492258B1 (ko) * | 1996-10-11 | 2005-09-02 | 가부시키가이샤 에바라 세이사꾸쇼 | 반응가스분출헤드 |
EP0854210B1 (en) * | 1996-12-19 | 2002-03-27 | Toshiba Ceramics Co., Ltd. | Vapor deposition apparatus for forming thin film |
US6050506A (en) * | 1998-02-13 | 2000-04-18 | Applied Materials, Inc. | Pattern of apertures in a showerhead for chemical vapor deposition |
US6148761A (en) * | 1998-06-16 | 2000-11-21 | Applied Materials, Inc. | Dual channel gas distribution plate |
US6289842B1 (en) * | 1998-06-22 | 2001-09-18 | Structured Materials Industries Inc. | Plasma enhanced chemical vapor deposition system |
KR100450068B1 (ko) * | 2001-11-23 | 2004-09-24 | 주성엔지니어링(주) | Cvd 장치의 멀티섹터 평판형 샤워헤드 |
US20040050326A1 (en) * | 2002-09-12 | 2004-03-18 | Thilderkvist Karin Anna Lena | Apparatus and method for automatically controlling gas flow in a substrate processing system |
US7018940B2 (en) * | 2002-12-30 | 2006-03-28 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
US7537662B2 (en) * | 2003-04-29 | 2009-05-26 | Asm International N.V. | Method and apparatus for depositing thin films on a surface |
KR100901892B1 (ko) * | 2003-09-03 | 2009-06-10 | 도쿄엘렉트론가부시키가이샤 | 가스 처리 장치 및 처리 가스 토출 구조체 |
KR100513920B1 (ko) * | 2003-10-31 | 2005-09-08 | 주식회사 시스넥스 | 화학기상증착 반응기 |
US6983892B2 (en) * | 2004-02-05 | 2006-01-10 | Applied Materials, Inc. | Gas distribution showerhead for semiconductor processing |
CN102154628B (zh) * | 2004-08-02 | 2014-05-07 | 维高仪器股份有限公司 | 用于化学气相沉积反应器的多气体分配喷射器 |
JP2006080374A (ja) * | 2004-09-10 | 2006-03-23 | Sharp Corp | 窒化物半導体の製造装置および窒化物半導体レーザ素子 |
US20070240631A1 (en) * | 2006-04-14 | 2007-10-18 | Applied Materials, Inc. | Epitaxial growth of compound nitride semiconductor structures |
-
2007
- 2007-10-16 US US11/873,141 patent/US20090095222A1/en not_active Abandoned
-
2008
- 2008-10-15 TW TW097139608A patent/TW200924854A/zh unknown
- 2008-10-15 WO PCT/US2008/080044 patent/WO2009052213A1/en active Application Filing
- 2008-10-16 CN CNA2008101706026A patent/CN101423936A/zh active Pending
- 2008-10-16 CN CN2011100377199A patent/CN102121097A/zh active Pending
Cited By (26)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102051600A (zh) * | 2009-10-15 | 2011-05-11 | 奥博泰克Lt太阳能公司 | 用于等离子处理腔的喷头组件 |
CN102051600B (zh) * | 2009-10-15 | 2015-07-29 | 奥博泰克Lt太阳能公司 | 用于等离子处理腔的喷头组件 |
US9287152B2 (en) | 2009-12-10 | 2016-03-15 | Orbotech LT Solar, LLC. | Auto-sequencing multi-directional inline processing method |
CN101914761B (zh) * | 2010-08-16 | 2012-04-25 | 江苏中晟半导体设备有限公司 | 用于mocvd反应腔中反应气体输送与均匀分布控制的装置 |
CN101914761A (zh) * | 2010-08-16 | 2010-12-15 | 华晟光电设备(香港)有限公司 | 用于mocvd反应腔中反应气体输送与均匀分布控制的装置 |
CN103443903B (zh) * | 2011-03-18 | 2016-05-04 | 应用材料公司 | 多级喷淋头设计 |
US9462921B2 (en) | 2011-05-24 | 2016-10-11 | Orbotech LT Solar, LLC. | Broken wafer recovery system |
CN108796472A (zh) * | 2011-10-19 | 2018-11-13 | 应用材料公司 | 用于提供均匀气流的设备与方法 |
CN107365977B (zh) * | 2011-10-19 | 2023-02-28 | 应用材料公司 | 用于提供均匀气流的设备与方法 |
CN107365977A (zh) * | 2011-10-19 | 2017-11-21 | 应用材料公司 | 用于提供均匀气流的设备与方法 |
TWI786341B (zh) * | 2011-10-19 | 2022-12-11 | 美商應用材料股份有限公司 | 用於提供均勻氣流之氣體分配設備 |
USRE47440E1 (en) | 2011-10-19 | 2019-06-18 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
USRE48994E1 (en) | 2011-10-19 | 2022-03-29 | Applied Materials, Inc. | Apparatus and method for providing uniform flow of gas |
US9447499B2 (en) | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
US11053587B2 (en) | 2012-12-21 | 2021-07-06 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
CN103993293A (zh) * | 2013-02-15 | 2014-08-20 | 诺发系统公司 | 带温度控制的多室喷头 |
US9677176B2 (en) | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US10494717B2 (en) | 2015-05-26 | 2019-12-03 | Lam Research Corporation | Anti-transient showerhead |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11101164B2 (en) | 2016-12-14 | 2021-08-24 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11608559B2 (en) | 2016-12-14 | 2023-03-21 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11015247B2 (en) | 2017-12-08 | 2021-05-25 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
CN112262229A (zh) * | 2018-06-12 | 2021-01-22 | 朗姆研究公司 | 用于均匀气体分配的化学气相沉积喷头 |
CN111549375A (zh) * | 2020-05-14 | 2020-08-18 | 华厦半导体(深圳)有限公司 | 一种可量产氮化镓的全立式hpve设备 |
Also Published As
Publication number | Publication date |
---|---|
WO2009052213A1 (en) | 2009-04-23 |
US20090095222A1 (en) | 2009-04-16 |
CN102121097A (zh) | 2011-07-13 |
TW200924854A (en) | 2009-06-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN101423936A (zh) | 多个气体螺旋通路的喷头 | |
US8481118B2 (en) | Multi-gas straight channel showerhead | |
CN101423937B (zh) | 多种气体同心注射喷头 | |
US20160136660A1 (en) | Multi-gas centrally cooled showerhead design | |
CN101328579B (zh) | Hvpe喷头设计 | |
CN102560633B (zh) | 用于沉积ⅲ/ⅴ族化合物的方法 | |
EP2084304B1 (en) | Method and apparatus for the epitaxial deposition of monocrystalline group iii-v semiconductor material using gallium trichloride | |
US8361892B2 (en) | Multiple precursor showerhead with by-pass ports | |
US20100263588A1 (en) | Methods and apparatus for epitaxial growth of semiconductor materials | |
US20090136652A1 (en) | Showerhead design with precursor source | |
US8491720B2 (en) | HVPE precursor source hardware | |
US20030015137A1 (en) | Chemical vapor deposition apparatus and chemical vapor deposition method | |
US20130087093A1 (en) | Apparatus and method for hvpe processing using a plasma | |
CN101418465A (zh) | 具有前驱物预先混合的喷头设计 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C02 | Deemed withdrawal of patent application after publication (patent law 2001) | ||
WD01 | Invention patent application deemed withdrawn after publication |
Open date: 20090506 |