USRE47440E1 - Apparatus and method for providing uniform flow of gas - Google Patents

Apparatus and method for providing uniform flow of gas Download PDF

Info

Publication number
USRE47440E1
USRE47440E1 US15/678,883 US201715678883A USRE47440E US RE47440 E1 USRE47440 E1 US RE47440E1 US 201715678883 A US201715678883 A US 201715678883A US RE47440 E USRE47440 E US RE47440E
Authority
US
United States
Prior art keywords
gas distribution
gas
channel
distribution apparatus
outlet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US15/678,883
Inventor
Joseph Yudovsky
Mei Chang
Faruk Gungor
Paul F. Ma
David Chu
Chien-Teh Kao
Hyman Lam
Dien-Yeh Wu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US15/678,883 priority Critical patent/USRE47440E1/en
Priority to US16/432,928 priority patent/USRE48994E1/en
Application granted granted Critical
Publication of USRE47440E1 publication Critical patent/USRE47440E1/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17DPIPE-LINE SYSTEMS; PIPE-LINES
    • F17D3/00Arrangements for supervising or controlling working operations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7781With separate connected fluid reactor surface
    • Y10T137/7833With means for mounting or connecting to system

Definitions

  • Embodiments of the invention generally relate to an apparatus and a method for flowing a gas into a processing chamber. More specifically, embodiments of the invention are directed to linear flow apparatus for directing a flow of gas to a processing chamber such as an atomic layer deposition chamber or chemical vapor deposition chamber.
  • vapor deposition processes have played an important role in depositing materials on substrates.
  • the size and aspect ratio of the features are becoming more aggressive, e.g., feature sizes of 0.07 ⁇ m and aspect ratios of 10 or greater. Accordingly, conformal deposition of materials to form these devices is becoming increasingly important.
  • reactant gases are introduced into a process chamber containing a substrate.
  • a region of a substrate is contacted with a first reactant which is adsorbed onto the substrate surface.
  • the substrate is then contacted with a second reactant which reacts with the first reactant to form a deposited material.
  • a purge gas may be introduced between the delivery of each reactant gas to ensure that the only reactions that occur are on the substrate surface.
  • Gas distribution apparatus sometimes shaped like and referred to as showerheads, distribute processing gases to a substrate (also referred to as a wafer) at close proximity.
  • Gas distribution apparatuses, including showerheads have large volumes which can be very difficult to clean or purge between gases. Any gases remaining in the showerhead may react with subsequent processing gases.
  • separation of gases is important within a gas distribution apparatus, including showerheads, that relies on alternating pulses of gases, for example, an A pulse, a B pulse, an A pulse, and a B pulse type delivery. Therefore, there is an ongoing need in the art for improved gas distribution apparatuses, including showerheads, that are easy to clean/purge and provide a uniform supply of gases to the substrate.
  • One or more embodiments of the invention are directed to gas distribution apparatuses for controlling flow of gas into a process chamber.
  • the apparatus comprises a delivery channel having an inlet end, an outlet end, a length and a plurality of apertures spaced along the length.
  • An inlet on the inlet end of the delivery channel is connectable to a gas source, wherein flow of the gas is controllable by a gas valve in communication with the inlet.
  • An outlet on the outlet end of the delivery channel is connectable to a vacuum source, wherein vacuum pressure through the outlet is controllable by an outlet valve to provide a reduced pressure at the outlet.
  • a controller to regulate the flow of the gas through the delivery channel and into the process chamber by opening and closing the outlet valve during gas delivery and gas purging in the channel to control the flow of gas through the apertures along the length of the channel.
  • a flow of gas through the gas distribution apparatus has a more uniform conductance along an axial length of the gas distribution apparatus than the flow of gas through a similar gas distribution apparatus without the vacuum source connected to the outlet.
  • the gas valve when the gas valve is closed, the gas is purged from the delivery channel faster than a similar gas distribution apparatus without the vacuum source.
  • the delivery channel is a recessed channel in a back side of a gas distribution plate and the plurality of apertures extend through the gas distribution plate to a front side of the gas distribution plate.
  • the gas distribution plate is round and the delivery channel forms a spiral shape with one of the inlet end and outlet end is positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate.
  • the inlet end is positioned at the outer peripheral region of the gas distribution plate and the outlet end is positioned at the central region of the gas distribution plate.
  • the outlet end is positioned at the outer peripheral region of the gas distribution plate and the inlet end is positioned at the central region of the gas distribution plate.
  • each of the delivery channels forms a spiral shape with one of the inlet end and outlet end positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate. In one or more embodiments, the two delivery channels are intertwined along the spiral shape. In certain embodiments, each delivery channel has the inlet end positioned in the outer periphery region of the gas distribution plate and the outlet end positioned in the central region of the gas distribution plate.
  • each delivery channel has the outlet end positioned in the outer periphery region of the gas distribution plate and the inlet end positioned in the central region of the gas distribution plate.
  • the inlet end of one delivery channel is positioned in the outer periphery region of the gas distribution plate and the outlet end of the other delivery channel is positioned in the outer periphery region of the gas distribution plate.
  • the gas distribution apparatus further comprises a back cover on the back side of the gas distribution plate, the back cover covering the recessed channel.
  • the delivery channel is a tubular spiral having a substantially planar configuration.
  • the gas distribution apparatus comprises a plurality of delivery channels, each delivery channel extending substantially straight and substantially parallel to adjacent delivery channels.
  • more than one of the delivery channels are connected to the inlet so that a gas flowing through the inlet flows through each of the delivery channels.
  • each of the delivery channels connected to the inlet merge and are connected to one outlet.
  • each of the delivery channels connected to the inlet has a separate outlet connected to a separate outlet valve.
  • the controller independently adjusts each of the outlet valves to maintain a substantially uniform flow of gas through each of the delivery channels.
  • the plurality of delivery channels are shaped to form one or more of words or logos.
  • the plurality of delivery channels are shaped so that the hole pattern seen by a substrate is uniform across the gas distribution apparatus.
  • the gas distribution apparatus comprises a tubular spiral having a substantially planar configuration, the gas distribution apparatus positioned between a substrate support and a gas distribution plate.
  • Additional embodiments of the invention are directed to gas distribution apparatus, comprising a gas distribution plate, a back cover, an inlet, an outlet and a controller.
  • a gas delivery channel is recessed in a back side of a gas distribution plate.
  • the recessed gas delivery channel has an inlet end, an outlet end, a length and a plurality of apertures spaced along the length extending through the gas distribution plate to a front side of the gas distribution plate so that gas flowing through the gas delivery channel can pass through the apertures exiting the gas distribution plate.
  • the back cover is on the back side of the gas distribution plate covering the recessed channel.
  • the inlet is connected to the inlet end of the gas delivery channel through the back cover.
  • the inlet is connectable to a gas source, wherein a flow of gas is controllable by a gas valve in communication with the inlet.
  • An outlet is connected to the outlet end of the gas delivery channel through the back cover.
  • the outlet is connectable to a vacuum source, wherein vacuum pressure through the outlet is controllable by an outlet valve to provide a reduced pressure at the outlet.
  • the controller regulates the flow of gas through the gas delivery channel and into a process chamber by opening and closing the outlet valve during gas delivery and gas purging to control the flow of gas through the apertures along the length of the channel.
  • the gas distribution plate is round and the delivery channel forms a spiral shape with one of the inlet end and outlet end is positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate.
  • a controller regulates the flow of gas through the gas delivery channel and into a process chamber by opening and closing the outlet valve during gas delivery and gas purging to control the flow of gas through the apertures along the length of the channel.
  • the plurality of apertures of each delivery channel are separated from the plurality of apertures of an adjacent delivery channel by at least one elongate vacuum channel.
  • FIG. 1 shows a view of a gas distribution apparatus in accordance with one or more embodiments of the invention
  • FIG. 2 shows a view of a gas distribution apparatus in accordance with one or more embodiments of the invention
  • FIG. 3 shows a view of a processing chamber including one or more gas distribution apparatus in accordance with one or more embodiments of the invention
  • FIG. 4 shows a top view of a gas distribution apparatus in accordance with one or more embodiments of the invention
  • FIG. 5 shows a cross-section of a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention
  • FIG. 6 shows a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 7 shows a bottom view of a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 8 shows a partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments
  • FIG. 9 shows a top view of a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 10 shows a partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 11 shows a view of an exploded partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments of the invention
  • FIG. 12 shows a cross-section of a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention
  • FIG. 13 shows a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 14 shows a bottom view of a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 15 shows a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 16A shows a partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments of the invention
  • FIG. 16B shows a partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 17 shows a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 18 shows a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 19 shows a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 20 shows a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 21 shows a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 22A shows a portion of a back side of a gas distribution apparatus in accordance with one or more embodiments of the invention.
  • FIG. 22B shows the front side of the gas distribution apparatus of FIG. 22A .
  • Embodiments of the invention are directed to gas distribution apparatus for use in chemical vapor deposition type processes.
  • One or more embodiments of the invention are directed to atomic layer deposition processes and apparatus (also called cyclical deposition) incorporating the gas distribution apparatus described.
  • the gas distribution apparatus described may be referred to as a showerhead or gas distribution plate, but it will be recognized by those skilled in the art that the apparatus does not need to be shaped like a showerhead or plate.
  • the terms “showerhead” and “plate” should not be taken as limiting the scope of the invention.
  • a first embodiment of the invention is directed to an apparatus with a single spiral gas delivery channel. All gases flow sequentially through the same channel.
  • An inlet is on the outer radial edge of the spiral, also referred to as the outer periphery, and may be attached to a gas source.
  • a vacuum attachment is connected to the internal end of the spiral.
  • the inlet and outlet can be reversed, either the gas source can be connected to the inside of the spiral with the outlet valve at the outside end of the spiral.
  • the first embodiment can be used with a sequence as shown in Table 1.
  • a second embodiment has two spiral channels intertwined. Each channel has a gas inlet on the outer radial end of the spiral and an outlet valve on the inner radial end of each spiral. The inlet and outlet can be reversed or mixed. The different channels can be used for different precursors.
  • the channel is a linear gas line.
  • the linear gas line can take any suitable shape, not just linear. There can be multiple linear gas lines for different precursors. Some embodiments have multiple parallel paths for all gases in sequence, where conductance of the gas channels are substantially the same.
  • conductance of the gas through the channel and through the apertures is controlled by modulating or changing the vacuum pressure at the outlet end. Changing the vacuum pressure in turn creates a unique flow dynamic that cannot be achieved in conventional gas distribution apparatus.
  • a more uniform gas flow is provided along the length of each channel and through the apertures spaced along the length of the channel.
  • a uniform gas flow means that there is substantially no dead space that inhibits flow or pumping of gasses through the channel.
  • the vacuum at the end of the gas delivery channel enables the rapid purging of gases from within the channel.
  • a purge gas source can be connected to the inlet of the gas delivery channel and work cooperatively with the vacuum at the outlet of the channel to even more rapidly remove the reactive gases from the channel.
  • vacuum ports can be spaced along the length of the gas delivery channel, not just at the end of the channel.
  • Embodiments of the invention may be capable of increasing the conductance of gas through the holes spaced along the gas delivery channel. Without being bound by any particular theory of operation, it is believed that controlling the vacuum pressure at the outlet end, or in the middle, of the channel changes the flow dynamics relative to a conventional showerhead or gas distribution plate.
  • one or more embodiments are directed to gas distribution apparatus 100 to deliver a gas to a process chamber (not shown).
  • the gas distribution apparatus 100 comprises a delivery channel 102 with an inlet end 104 and an outlet end 106 .
  • the delivery channel 102 has a plurality of apertures 108 spaced along the length of the delivery channel 102 .
  • An inlet 110 is connected to and in fluid communication with the inlet end 104 of the delivery channel 102 .
  • An outlet 112 is connected to and in fluid communication with the outlet end 106 of the delivery channel 102 .
  • the inlet 110 is adapted to be connected to a gas source and may include an inlet valve 114 capable of controlling the flow of gas into (or out of) the delivery channel 102 or completely cut off the flow of gas.
  • the outlet 112 is adapted to be connected to a vacuum source and may include an outlet valve 116 capable of controlling the flow of gas into (or out of) the delivery channel 102 or completely cut off the flow of gas.
  • the outlet 112 is connectable to a vacuum source (not shown) so that vacuum pressure through the outlet 112 is controllable by the outlet valve 116 to provide a reduced pressure at the outlet 112 .
  • a controller 150 regulates the flow of the gas through the delivery channel 102 and into the process chamber.
  • the controller 150 does this by opening or closing (or any point in between fully open and fully closed) the outlet valve during gas delivery and gas purging. This controls the flow of gas through apertures (seen, for example, in FIG. 4 ) spaced along the length of the channel.
  • the cross-sectional shape of the delivery channel 102 can be controlled such that gas flowing through the delivery channel experiences minimal resistance to flow.
  • the delivery channel 102 has a round or oval cross-sectional shape.
  • the delivery channel 102 has a cross-sectional shape sufficient such that bends, turns, twists, etc. provide substantially no dead space.
  • the overall shape (as opposed to the cross-sectional shape) of the delivery channel 102 can be modified as desired.
  • the delivery channel 102 can be shaped to fit within specific areas or to match the shape of a substrate.
  • the delivery channel 102 can be, for example, straight, round, square, oval, rectangular or oblong.
  • the overall shape of the delivery channel can be made up of repeating units, parallel, perpendicular or concentric to each other.
  • the delivery channel has an overall shape in which there is substantially no dead space to inhibit gas flow or purging.
  • substantially no dead space means that the flow of gas, or purging, is inhibited by less than about 10% or by less than about 5% due to dead space.
  • the delivery channel 102 is a tubular spiral having a substantially planar configuration. This particular shape is exemplified by the embodiment shown in FIGS. 1 and 2 .
  • the term “substantially planar configuration” means that the plurality of apertures 108 in the delivery channel 102 are in mostly the same plane.
  • the embodiment shown in FIGS. 1 and 2 has a substantially planar configuration because the apertures are coplanar, even though the inlet end and outlet end, and the portions of the delivery channel near the inlet end and outlet end are not coplanar with the plurality of apertures.
  • the delivery channel 102 can be used for plasma processing.
  • the delivery channel 102 can be polarized relative to another portion of the processing chamber to ignite a plasma within the chamber.
  • the delivery channel 102 can be biased relative to a portion of the chamber, or a portion of the chamber can be biased relative to the delivery channel 102 .
  • the delivery channel 102 can be polarized relative to the pedestal, or the pedestal can be polarized relative to the delivery channel.
  • the frequency of the plasma can be tuned as well.
  • the plasma is at a frequency of about 13.56 MHz.
  • the plasma is at a frequency of about 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz or 120 MHz.
  • any suitable material can be used for the delivery channel, showerhead or gas distribution apparatus. Suitable materials include, but are not limited to stainless steel and inert materials. In some embodiments, the delivery channel, showerhead or gas distribution plate is made of stainless steel.
  • FIG. 3 shows a cross-section of a portion of a processing chamber according to one or more embodiments.
  • a gas distribution apparatus 100 is placed between a substrate support pedestal 302 and a gas distribution plate 306 .
  • the substrate support pedestal 302 is shown supporting a substrate 304 .
  • the substrate support pedestal 302 can be stationary or rotating, or can be stationary for part of the processing and rotating for part of the processing.
  • a rotating support pedestal 302 may allow for more uniform processing of a substrate by minimizing different gas flow patterns that may occur throughout the processing chamber.
  • the support pedestal 302 of some embodiments includes a heater or heating mechanism.
  • the heater can be any suitable type of heater including resistive heaters.
  • the gas distribution apparatus 100 is shown as a tubular spiral with a substantially planar configuration.
  • the substrate 304 can be processed with either, or both, the gas distribution plate 306 and the gas distribution apparatus 100 .
  • the gas distribution apparatus 100 can be shaped so that it does not substantially interfere with gas flowing from the gas distribution plate 306 .
  • the term “substantially interfere” means that the gas distribution apparatus 100 does not interfere with more than about 30% of the gas flowing from the gas distribution plate.
  • the front surface 308 of the gas distribution plate 306 has a plurality of apertures 310 through which gases flow.
  • the gas distribution apparatus 100 can be shaped to avoid blocking the apertures 310 .
  • the delivery channel positioned like that of FIG. 3 can also be used for plasma processing.
  • the apparatus 100 can be polarized relative to a portion of the chamber, or a portion of the chamber can be polarized relative to the apparatus 100 .
  • the delivery channel apparatus 100 can be polarized relative to the pedestal 302 , or the pedestal 302 can be polarized relative to the apparatus 100 .
  • the apparatus 100 is polarized relative to the gas distribution plate 306 .
  • the gas distribution plate 306 is polarized relative to the pedestal 302 and gas flowing from the apparatus 100 forms the plasma.
  • the frequency of the plasma can be tuned as well.
  • the plasma is at a frequency of about 13.56 MHz.
  • the plasma is at a frequency of about 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz or 120 MHz.
  • FIGS. 4 through 7 show another embodiment of a gas distribution apparatus 400 in which the delivery channel 402 is a recessed channel in the back side 401 of a gas distribution plate 403 .
  • the embodiment shown has a large inner section is recessed in the back side 401 of the gas distribution plate 403 with the delivery channel 402 recessed even further.
  • This allows for the addition of a back cover 407 which can be placed in the recessed area in the back side 401 enclosing the delivery channel 402 .
  • the back cover 407 when inserted into the recessed back side 401 of certain embodiments creates a substantially flush back side surface of the gas distribution plate.
  • the back cover 407 does not need to fit within a recessed area of the back side 401 of the gas distribution plate 403 , but can also rest directly on the back side 401 of the gas distribution plate 403 .
  • the back cover 407 may have openings to allow for the passage of inlet and outlet tubes to allow for fluid communication with the delivery channel 402 . This can be seen in FIGS. 5 and 6 .
  • the inlet and outlet tubes can be an integral part of the back cover 407 , or can be separate pieces connected to the back cover 407 in such a manner as to prevent or minimize fluid leakage.
  • a plurality of apertures 408 extend through the gas distribution plate 403 to a front side 405 of the gas distribution plate 403 . These apertures can be seen in FIGS. 4, 5 and 7 .
  • the plurality of apertures 408 can be evenly spaced along the length of the delivery channel, or can have varied spacing along the length of the channel. Variable spacing may help produce a more uniform gas flow from the delivery channel at points along the delivery channel. For example, in gas delivery channel that has an elaborate shape, the spacing of the apertures can varied along the length.
  • the gas distribution plate 403 is round and the delivery channel 402 forms a spiral shape.
  • the inlet end 404 is denoted at the outside of the spiral in an outer peripheral region 420 of the gas distribution plate 403 with the outlet end 406 at the center of the spiral in a central region 422 of the gas distribution plate 403 . It will be understood by those skilled in the art that the inlet end 404 and outlet end 406 can be reversed with the inlet end 404 being located at the center of the spiral and the outlet end 406 at the outside of the spiral.
  • one of the inlet end 404 and outlet end 406 is positioned in an outer peripheral region 420 of the gas distribution plate 403 and the other of the inlet end 404 and outlet end 406 is positioned in a central region 422 of the gas distribution plate 403 .
  • the inlet end 404 is positioned at the outer peripheral region 420 of the gas distribution plate 403 and the outlet end 406 is positioned at the central region 422 of the gas distribution plate 403 .
  • the outlet end 406 is positioned at the outer peripheral region 420 of the gas distribution plate 403 and the inlet end 404 is positioned at the central region 422 of the gas distribution plate 403 .
  • the inlet end 404 and outlet end 406 are illustrated as a small tube extending from the back cover 407 of the gas distribution plate 403 .
  • the tubes extend between the inlet 410 and the back cover 407 through an inlet valve 414 .
  • Another tube can extend between the outlet 412 and the back cover 407 through the outlet valve 416 .
  • the tubes can be connected to the back cover 407 by any suitable connection known to those skilled in the art and may be sealed to prevent leakage of fluid flowing through the tube into the delivery channel 402 .
  • Suitable sealing devices include, but are not limited to, o-rings positioned between a flange 424 and the back cover 407 .
  • the flange 424 can be integrally formed with the tube or can be a separate piece that holds the tube to the back cover.
  • the flange 424 can be connected to the back cover 407 by any suitable mechanical connection, including but not limited to, screws.
  • FIG. 8 shows a cross-sectional view of one portion of a delivery channel 402 and an aperture 408 in a gas distribution plate 403 in accordance with one or more embodiments of the invention.
  • the delivery channel 402 shown in FIG. 8 has two portions, an upper portion 832 and a lower portion 830 . While these portions are shown as separate areas, it will be understood that there can be a seamless transition between the upper portion 832 and the rounded lower portion 830 .
  • the upper portion 832 is optional and does not need to be included in the delivery channel 402 .
  • the lower portion 830 is the only portion.
  • the delivery channel can have any suitable shape. In some embodiments, the shape of the delivery channel is such that there is substantially no interference with the flow of gases through the channel.
  • the upper portion 832 can have my suitable shape. In the embodiment shown in FIG. 8 , the upper portion 832 has walls which extend normal to the surface of the back side 401 of the gas distribution plate 403 . However, it will be understood that the upper portion 832 can have walls which are canted from square to the back side 401 . The canting can provide a larger opening at the back side 401 of the gas distribution plate 403 , tapering to a smaller opening. Additionally, the canting can provide a smaller opening at the back side 401 , tapering to a larger opening. The length of the upper portion 832 can be modified as necessary.
  • the upper portion has sides which are substantially perpendicular to the back side 401 of the gas distribution plate 403 and extend a length L below the surface of the back side 401 in the range of about 0.01 inch to about 0.3 inches.
  • substantially perpendicular to means that walls of the upper portion have an angle relative to the back side of the gas distribution plate in the range of about 85 degrees to about 95 degrees.
  • the upper portion extends below the surface of the back side to a length L in the range of about 0.02 inches to about 0.2 inches, or in the range of about 0.05 inches to about 0.15 inches, or in the range of about 0.08 inches to about 0.12 inches. In one or more embodiments, the upper portion extends below the surface of the back side to a length about 0.1 inches.
  • the rounded lower portion 830 can have any suitable cross-section including, but not limited to, half-round and half-elliptical.
  • the width of the rounded lower portion also referred to as the diameter of the rounded lower portion, can be modified as necessary.
  • the width of the upper portion can be modified as necessary.
  • the diameter of the delivery channel in general, can have an impact of the number of loops in the spiral. In some embodiments, as shown in FIG. 8 , the width of the upper portion is about equal to the diameter of the lower portion.
  • the delivery channel of various embodiments has a diameter in the range of about 0.3 inches to about 0.45 inches, or in the range of about 0.325 inches to about 0.425 inches, or in the range of about 0.35 inches to about 0.40 inches. In one or more embodiments, the delivery channel has a diameter of about 0.375 inches.
  • the specific shape of the apertures 408 can vary depending on the desired flow of gases through the apertures.
  • the aperture 408 has three distinct sections; a first section 834 , a second section 836 and a third section 838 . Again, the number of sections and the shape of the sections are merely illustrative of one embodiment and should not be taken as limiting the scope of the invention.
  • the first section 834 extends from the rounded lower portion 830 of the delivery channel 402 toward the front side 405 of the gas distribution plate 403 .
  • the first section 834 has a first diameter D 1 .
  • the second section 836 extends from the first section 834 toward the front side 405 and has a diameter which tapers from the first diameter D 1 to a second diameter D 2 , which is generally smaller than the first diameter.
  • the third section 838 extends from the end of the second section 836 and ends at the front side 405 of the gas distribution plate 403 . At the intersection of the third section 838 and the front side 405 , a hole 840 is formed. Gases flowing through the delivery channel 402 exit the gas distribution plate 403 through this hole 840 into the processing chamber.
  • the hole 840 has about the same diameter as the second diameter D 2 .
  • the diameter of the hole 840 is in the range of about 0.01 inches to about 0.25 inches, or in the range of about 0.02 inches to about 0.2 inches, or in the range of about 0.03 inches to about 0.15 inches or in the range of about 0.04 inches to about 0.1 inches. In some embodiments, the hold 840 has a diameter less than about 0.1 inches, or less than about 0.08 inches, or less than about 0.06 inches, or less than about 0.04 inches, or less than about 0.02 inches, or less than about 0.01 inch.
  • FIG. 5 shows this seeming plurality of channels.
  • the channels, or separation between loops of the spiral are separated by a distance.
  • the distance between the channels, or the loops of the single channel, measured from centers are in the range of about 0.375 inches to about 0.475 inches, or in the range of about 0.40 inches to about 0.45 inches, or in the range of about 0.41 inches to about 0.43 inches.
  • the average distance between centers of the adjacent channels is about 0.42 inches.
  • the length of the gas channel shown in FIGS. 4 to 7 can vary depending on a number of factors, including, but not limited to, the diameter of the channel and the distance between the adjacent channels.
  • the delivery channel has a length in the range of about 140 inches to about 340 inches, or in the range of about 180 inches to about 300 inches, or in the range of about 200 inches to about 280 inches, or in the range of about 220 inches to about 260 inches. In one or more embodiments, the delivery channel has a length of about 240 inches.
  • the number of apertures are also dependent on a number of factors, including but not limited to, the length of the delivery channel and the spacing of the apertures. In some embodiments having a single spiral channel, there are in the range of about 300 and 900 apertures, or in the range of about 400 to about 800 apertures, or in the range of about 500 to about 700 apertures. In various embodiments, there are greater than about 300, 400, 500, 600, 700 or 800 apertures along the length of the channel. In one or more embodiments, there are about 600 apertures along the length of the delivery channel.
  • the gas delivery plate 403 comprises a single delivery channel 402 in a back side of the gas delivery plate 403 .
  • the delivery channel 402 has an inlet end 404 located in an outer peripheral region 420 of the gas distribution plate 403 .
  • the delivery channel 402 and follows an inward spiral path from the inlet end 404 to an outlet end 406 located in a central region 422 of the gas distribution plate 403 .
  • the delivery channel 402 has an overall length, defined as the distance between the inlet end 404 and the outlet end 406 of about 240 inches.
  • a plurality of apertures 408 are spaced along the overall length of the delivery channel 402 .
  • the delivery channel 403 has an average diameter of about 0.375 inches and adjacent portions of the spiral channel are spaced about 0.42 inches on center.
  • Some embodiments of the invention include more than one delivery channel 402 . These multiple channels can be intertwined or separate depending on the needs of the processing system. Some channels can be recessed into a gas distribution plate as shown in FIG. 4 , or can be individual tubes as shown in FIG. 1 . In some embodiments, there are a combination of individual tubes and recessed channels. An exemplary embodiment of the sort is shown in FIG. 3 , where the gas distribution plate may have at least one recessed delivery channel therein and an additional delivery channel is positioned between the gas distribution plate and the substrate surface.
  • a gas distribution apparatus 900 comprises two delivery channels 902 a, 902 b recessed in the back side 901 of a gas distribution plate 903 . It will be understood that the delivery channels do not need to be recessed into the back of a gas distribution plate, but can be individual tubes, as shown in FIGS. 1 and 15 .
  • the first delivery channel 902 a has a first inlet end 904 a and a first outlet end 906 a and a plurality of first apertures 908 a spaced along the length of the first delivery channel 902 a.
  • the second delivery channel 902 b has a second inlet end 904 b, a second outlet end 906 b and a plurality of second apertures 908 b spaced along the length of the second delivery channel 902 b.
  • a first inlet 910 a is connected to the first inlet end 904 a of the first delivery channel 902 a.
  • the first inlet 910 a is adapted to be connected to a gas source.
  • a first outlet 912 a is connected to the first outlet end 906 a of the first delivery channel 902 a.
  • the first outlet 912 a is adapted to be connected to a vacuum source.
  • a second inlet 910 b is connected to the second inlet end 904 b of the second delivery channel 902 b.
  • the second inlet 910 b is adapted to be connected to a gas source.
  • a second outlet 912 b is connected to the second outlet end 906 b of the second delivery channel 902 b.
  • the second outlet 912 a is adapted to be connected to a vacuum source.
  • each of the delivery channels 902 a, 902 b form a spiral shape.
  • One or more embodiments, as that shown in the Figures, have the two delivery channels 902 a, 902 b intertwined along the length of the spiral shape. It will be understood by those skilled in the art that the two delivery channels 902 a, 902 b can have shapes other than spiral and do not need to intertwine.
  • the plurality of first apertures 908 a and second apertures 908 b extend through the gas distribution plate 903 to the front side 905 of the gas distribution plate 903 .
  • each of the delivery channels 902 a, 902 b form a spiral shape with one of the inlet end 904 a, 904 b and outlet end 906 a, 906 b positioned in an outer peripheral region 920 of the gas distribution plate 903 and the other of the inlet end 904 a, 904 b and outlet end 906 a, 906 b positioned in a central region 922 of the gas distribution plate 903 .
  • the inlet ends 904 a, 904 b of both channels 902 a, 902 b is positioned in the outer peripheral region 920 and the inlet ends 904 a, 904 b of both channels 902 a, 902 b are positioned in the central region 922 of the gas distribution plate 903 .
  • the inlet ends 904 a, 904 b of both channels 902 a, 902 b is positioned in the central region 922 and the inlet ends 904 a, 904 b of both channels 902 a, 902 b are positioned in the outer peripheral region 920 of the gas distribution plate 903 .
  • one or the inlet ends 904 a, 904 b is positioned in the outer peripheral region 920 and the other inlet end 904 b, 904 a is positioned at the central region 922 , with the outlet ends 906 a, 906 b at the other end of each individual delivery channel 902 a, 902 b.
  • FIG. 11 shows a back cover 907 for the gas distribution plate 903 shown in FIG. 9 .
  • the holes can be used to provide an access point for connected in the inlet 910 a, 910 b and outlet 912 a, 912 b to the channels 902 a, 902 b.
  • there inlet 910 a, 910 b and outlet 912 a, 912 b are integrally formed with the back cover 907 .
  • FIGS. 12 and 13 show perspective views of a gas distribution apparatus 900 in accordance with various embodiments of the invention.
  • the inlets 910 a, 910 b are shown connected to the back cover 907 with a flange 924 a, 924 b.
  • the connection and gas-tight sealing of the flange 924 a, 924 b can be accomplished by any suitable mechanism and techniques as known to those skilled in the art.
  • the outlets 912 a, 912 b can also be connected to the back cover 907 with a flange or with a block connection 925 .
  • the block 925 can be integrally formed with the back cover 907 or can be a separate piece.
  • the block 925 may provide additional support and space for the outlet valves 916 a, 916 b, allowing the connecting tubes to protrude from the back cover 907 at an angle.
  • the inlets 910 a, 910 b and inlet valves 914 a, 914 b are shown on the outside peripheral region 920 of the gas distribution plate 903 and the outlets 912 a, 912 b and outlet valves 916 a, 916 b are shown at the central region 922 of the gas distribution plate 903 , it will be understood that these components can be reversed or intermixed and that the drawings are merely illustrative of one embodiment.
  • the delivery channels spiral from the outer peripheral edge of the gas distribution plate to the central region, or vice versa, a seeming plurality of adjacent channels are observable in cross-section.
  • the gas in every adjacent channel is from the other inlet 910 a, 910 b.
  • the channels are separated by a distance from the adjacent channels.
  • the distance between the channels, measured from the center of the channel are in the range of about 0.375 inches to about 0.475 inches, or in the range of about 0.40 inches to about 0.45 inches, or in the range of about 0.41 inches to about 0.43 inches.
  • the average distance between centers of the adjacent channels is about 0.42 inches.
  • each of the delivery channels has a length in the range of about 70 inches to about 170 inches, or in the range of about 90 inches to about 150 inches, or in the range of about 100 inches to about 140 inches, or in the range of about 110 inches to about 130 inches. In one or more embodiments, the delivery channel has a length of about 120 inches.
  • the number of apertures are also dependent on a number of factors, including but not limited to, the length of the delivery channel and the spacing of the apertures. In some embodiments having a single spiral channel, there are in the range of about 150 and 450 apertures, or in the range of about 200 to about 400 apertures, or in the range of about 250 to about 350 apertures. In various embodiments, there are greater than about 150, 200, 250, 300, 350 or 400 apertures along the length of the channel. In one or more embodiments, there are about 300 apertures along the length of each of the delivery channels.
  • the apparatus shown in FIGS. 4 through 14 can be used for plasma processing.
  • the delivery channel, gas distribution apparatus or showerhead can be polarized relative to another portion of the processing chamber to ignite a plasma within the chamber.
  • the delivery channel, gas distribution apparatus or showerhead can be polarized relative to a portion of the chamber, or a portion of the chamber can be biased relative to the delivery channel, gas distribution apparatus or showerhead.
  • the delivery channel, gas distribution apparatus or showerhead can be polarized relative to the pedestal, or the pedestal can be polarized relative to the delivery channel, gas distribution apparatus or showerhead.
  • the frequency of the plasma can be tuned as well.
  • the plasma is at a frequency of about 13.56 MHz.
  • the plasma is at a frequency of about 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz or 120 MHz.
  • an insulating material (not shown) positioned between the back cover and the main body portion of the gas distribution apparatus (i.e., the portion including the gas delivery channel).
  • This insulating material provides electrical isolation between the back cover and the main body portion of the gas distribution apparatus so that the back cover can be polarized relative to the main body portion. Doing so may allow for the ignition of a plasma within the gas distribution apparatus, or within the delivery channels.
  • the plasma can then be flowed through the plurality of apertures into the processing region of the processing chamber, the processing region being the region between the gas distribution apparatus and the pedestal.
  • This configuration may be referred to as a remote plasma because the plasma is formed (e.g., ignited) outside of the processing region.
  • FIGS. 15, 16A and 16B show another exemplary embodiment of a gas distribution apparatus 1500 .
  • the gas distribution apparatuses shown are particularly useful for spatially separated atomic layer deposition processes in which different portions of the substrate are simultaneously exposed to different deposition gases and the substrate 1544 is moved relative to the gas distribution apparatus so that all parts of the substrate are exposed sequentially to each of the deposition gases.
  • the gas distribution apparatus 1500 comprises a plurality of delivery channels 1502 , each delivery channel 1502 extending substantially straight and substantially parallel to adjacent delivery channels.
  • Each of the delivery channels 1502 has an inlet end 1504 and an outlet end 1506 with a plurality of spaced apertures 1508 there between.
  • the gas distribution apparatus shown in FIGS. 15, 16A and 16B have a plurality of elongate delivery channels 1502 and a plurality of elongate vacuum channels 1550 .
  • Each of the delivery channels 1502 and vacuum channels 1550 are connected to a output channel 1552 at the front face of the gas distribution apparatus.
  • Each of the delivery channels 1502 is adapted to flow one or more of a reactive gas and a purge gas.
  • Each delivery channel 1502 is connected to an output channel 1552 by a plurality of spaced apertures 1508 .
  • Each of the vacuum channels 1550 is connected to an inlet channel 1554 by a plurality of spaced vacuum apertures 1558 .
  • the plurality of apertures 1508 of each delivery channel 1502 are separated from the plurality of apertures 1508 of each adjacent delivery channel 1502 by at least one plurality of vacuum apertures 1558 from a vacuum channel 1550 .
  • each of the central vacuum channels 1550 (not the end vacuum channels) are connected to two inlet channels 1554 by vacuum apertures 1508 .
  • the end vacuum channels 1550 are only connected to a single inlet channel 1554 . It should be understood that this is merely exemplary and should not be taken as limiting the scope of the invention.
  • Each inlet channel 1554 can have a dedicated vacuum channel 1550 , or a single vacuum channel 1550 can be connected to more than two inlet channels 1554 through a plurality of vacuum apertures 1508 .
  • purge channels may have a purge gas flowing there through
  • each of the first reactive gas channels may have a first reactive gas flowing there through
  • each of the second reactive gas channels may have a second reactive gas flowing there through.
  • the vacuum channels are connected to a vacuum source.
  • a substrate 1544 moving from left to right would encounter in order a vacuum gas channel, a purge gas channel, a vacuum gas channel, a first reactive gas channel, a vacuum gas channel, a purge gas channel, a vacuum gas channel, a second reactive gas channel, a vacuum gas channel, etc., depending on the size of the gas distribution plate.
  • the use of the delivery channels with inlet and outlet ends allows for the rapid exchange of gas within the delivery channel.
  • the outlet end of the delivery channel can be opened, allowing the gas within the channel to be removed, and a different reactive gas (e.g., gas C) can then be flowed into the delivery channel.
  • a different reactive gas e.g., gas C
  • gas C e.g., gas C
  • the delivery channel of FIGS. 15, 16A and 16B can be used for plasma processing as well.
  • the gas distribution apparatus 1500 can be biased relative to another portion of the chamber.
  • the gas distribution apparatus 1500 can be polarized relative to the pedestal, or the pedestal can be polarized relative to the gas distribution apparatus.
  • the frequency of the plasma can be tuned as well.
  • the plasma is at a frequency of about 13.56 MHz.
  • the plasma is at a frequency of about 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz or 120 MHz.
  • FIG. 16B shows an embodiment of a single delivery channel 1502 and a single vacuum channel 1550 .
  • Each of the delivery channel 1502 and vacuum channel 1550 have two sets of apertures extending therefrom.
  • one set of apertures 1558 a connect to a first inlet channel 1554 a and the other set of apertures 1558 b connects to a second inlet channel 1554 b.
  • the delivery channel 1502 has two sets of apertures 1508 extending to a single output channel 1552 .
  • the gas distribution apparatus includes more than one outlet connected to a vacuum source.
  • FIG. 17 shows a spiral shaped gas distribution apparatus 1700 which is similar to the apparatus 100 shown in FIG. 1 .
  • the apparatus includes a delivery channel 1702 with an inlet end 1704 and an outlet end 1706 .
  • An inlet 1710 is connected to and in communication with the inlet end 1704 of the delivery channel 1702 .
  • An outlet 1712 is connected to and in communication with the outlet end 1706 of the delivery channel 1702 .
  • the inlet 1710 is connectable to a gas source and may include an inlet valve 1714 that can control the flow of gas into (or out of) the delivery channel 1702 or completely cut off the flow of gas.
  • the outlet 1712 is connectable to a vacuum source (not shown) and may include an outlet valve 1716 that can control the flow of gas out of (or into) the delivery channel 1702 or completely cut off the vacuum source from the delivery channel 1702 .
  • An intermediate outlet 1742 which is connectable to the vacuum source (not shown) is position along the length of the delivery channel 1702 .
  • the intermediate outlet 1742 shown is connected to the delivery channel 1702 at about the middle of the length of the channel 1702 and coupled to the delivery channel 1702 through an intermediate outlet 1740 .
  • the intermediate outlet 1742 may include an intermediate outlet valve 1744 that can control the flow of gas out of (or into) the delivery channel 1702 or completely cut off the vacuum source from the delivery channel 1702 .
  • the inlet valve 1714 of the inlet 1710 , the outlet valve 1716 of the outlet 1712 and the intermediate outlet valve 1744 of the intermediate outlet 1740 are connected to a controller 1750 .
  • the controller is capable of independently opening or closing any or all of the valves to adjust the pressure of gases flowing through the delivery channel 1702 or purge the delivery channel 1702 of an existing gas.
  • Table 2 shows a processing sequence that may be used with the embodiment shown in FIG. 17 . It will be understood by those skilled in the art that this is merely an example and should not be taken as limiting the scope of the invention.
  • Step 3a after purging the delivery channel of Precursor A, the intermediate outlet valve is partially open to accelerate the flow of Precursor B through the delivery channel and then closed in Step 3b.
  • Step 3b This is merely one possible sequence that can be used and should not be taken as limiting the scope of the invention.
  • the embodiment shown in FIG. 17 effectively includes two outlets, one at the end of the delivery channel and one in the middle.
  • the intermediate outlet 1740 could be positioned at 1 ⁇ 3 of the length of the channel.
  • the delivery channel may have four outlets, one at the end and one positioned at each of 1 ⁇ 4, 1 ⁇ 2 and 3 ⁇ 4 of the length of the delivery channel.
  • the delivery channel includes four outlets, one at the end and one position at each of 1 ⁇ 4, 3 ⁇ 4 and 9/10 of the length of the delivery channel.
  • the delivery channel includes 2, 3, 4, 5, 6, 7, 8, 9, 10 or 11 total outlets (including an outlet at the outlet end of the channel).
  • FIG. 18 shows another embodiment of the invention in which the gas distribution apparatus 1800 includes a multipath delivery channel 1802 .
  • the apparatus 1800 includes a delivery channel 1802 with an inlet end 1804 and an outlet end 1806 .
  • An inlet 1810 is connected to and in communication with the inlet end 1804 of the delivery channel 1802 .
  • An outlet 1812 is connected to and in communication with the outlet end 1806 of the delivery channel 1802 .
  • the inlet 1810 is connectable to a gas source (not shown) and may include an inlet valve 1814 that can control the flow of gas into (or out of) the delivery channel 1802 or completely cut off the flow of gas.
  • the outlet 1812 is connectable to a vacuum source (not shown) and may include an outlet valve 1816 that can control the flow of gas out of (or into) the delivery channel 1802 or completely cut off the vacuum source from the delivery channel 1802 .
  • the delivery channel 1802 splits near the inlet end 1804 into three separate channels 1802 a, 180 b, 1802 c and merges back into a single channel near the outlet end 1806 .
  • a plurality of apertures 1808 are spaced along the length of each of the channels so that a single gas flowing into the inlet 1810 can be directed along multiple paths and connected to a single outlet 1812 .
  • the apertures 1808 can be evenly spaced or unevenly spaced along the length of the channel 1802 .
  • the delivery channel can be split into any number of channels.
  • the delivery channel splits into 2, 3, 4, 5, 6, 7, 8, 9 or 10 separate delivery channels.
  • the delivery channel can split multiple time along the length of the channel. For example, the channel can split into two, merge into one and then split into 3 along the length of the channel.
  • FIG. 19 shows another embodiment of a gas distribution apparatus 1900 in which the delivery channel 1902 splits into three separate channels 1902 a, 1902 b, 1902 c each with its own outlet valve 1912 a, 1912 b, 1912 c.
  • the apparatus 1900 shown includes an inlet end 1904 connected through an inlet valve 1914 to an inlet 1910 .
  • the delivery channel 1902 includes a plurality of apertures 1908 spaced along the length of each of the separate channels 1902 a, 1902 b, 1902 c.
  • the apertures can be evenly spaced or unevenly spaced along the length of the channels.
  • Each channel has a separate outlet 1912 a, 1912 b, 1912 c with separate outlet valves 1916 a, 1916 b, 1916 c.
  • Each of the outlet valves 1916 a, 1916 b, 1916 c is connected to a controller 1950 that can independently control each of the outlet valves 1916 a, 1916 b, 1916 c.
  • the controller 1950 can set the outlet valves to closed, fully open, or at any point in between.
  • the controller 1950 may open the outlet valve of that channel to accelerate the flow or may open the outlet valves of the other channels to accelerate flow and cause less gas to exit the channels through the apertures to cause a more uniform flow.
  • FIG. 20 shows an embodiment of a gas distribution apparatus 2000 with five separate gas delivery channels 2002 a, 2002 b, 2002 c, 2002 d, 2002 e.
  • Each of the delivery channels 2002 a, 2002 b, 2002 c, 2002 d, 2002 e includes an inlet valve 2014 a, 2014 b, 2014 c, 2014 d, 2014 e and an outlet valve 2016 a, 2016 b, 2016 c, 2016 d, 2016 e.
  • Four spiral shaped delivery channels 2002 a-d are shown leaving a void area 2060 at the center of the four channels.
  • the fifth delivery channel 2002 e passes between the spirals and oscillates in the void area 2060 to prevent dead space in the gas flow.
  • the fifth delivery channel 2002 e is shown with an intermediate outlet valve 2044 .
  • Each of the delivery channels can be configured to deliver the same gas, or can deliver separate gases.
  • the five channels cover a single substrate and each channel delivers the same reactive gas.
  • the substrate may be rotated beneath the delivery channels, or the channels may rotate or oscillate over the substrate.
  • alternative delivery channels e.g., 2002 a, 2002 c
  • the other channels e.g., 2002 b, 2002 d
  • the fifth channel 2002 e can be configured to deliver an inert gas to form a curtain between the separate channels to separate the gases and prevent gas-phase reactions. Rotating the substrate beneath these channels would expose alternating quarters to the same gas followed by the second reactive gas to deposit a film. In this embodiment, the portion of the substrate in the void area 2060 would not have a deposited layer.
  • each of the channels can deliver the same gas but be sized so that a single substrate would be covered by a single delivery channel allowing the processing of multiple substrates by moving the substrates from one delivery channel to the adjacent channel.
  • Each channel can be configured to deliver the same gas or separate gases and the fifth channel can be configures to deliver an inert gas to form a curtain separating the reaction regions adjacent the delivery channels.
  • the fifth delivery channel, and any other gas delivery channel described herein can have multiple inlets and a single outlet, or multiple outlets.
  • the fifth delivery channel shown may have an inlet at either end and a single outlet in the middle to create a stronger gas curtain to separate the other delivery channels.
  • the shape and number of outlets can vary depending on the desired use.
  • the spiral shape shown in FIG. 20 is merely exemplary and should not be taken as limiting the scope of the invention.
  • the shape of the gas delivery channel(s) can be modified for a number of reasons.
  • the gas delivery channel is shaped for spell words (e.g., “Applied Materials”) or form a logo.
  • FIG. 21 shows three delivery channels 2102 a, 2102 b, 2102 c roughly forming the logo of Applied Materials, Inc. of Santa Clara, Calif.
  • the first gas delivery channel 2102 a and second gas delivery channel 2102 b each have a single inlet valve 2114 a, 2114 b and a single outlet valve 2116 a, 2116 b.
  • the third gas delivery channel 2102 c has a single inlet valve 2114 c and two outlet valves 2116 c, 2116 d. Along the length, the third gas delivery channel 2102 c splits into two channels, reforms into a single channel and then splits into two channels again. In another embodiment, inlet valves and outlet valves of the third delivery channel are reversed so that there are two inlet valves and a single outlet valve.
  • the gas flows coming from the surface of the gas distribution apparatus seen by the substrate can be uniform or striated.
  • a substrate passing beneath the dual spiral gas distribution apparatus shown in FIG. 9 will see alternating rings of gases.
  • the plurality of delivery channels are shaped so that the hole pattern seen by a substrate is uniform across the gas distribution apparatus.
  • FIGS. 22A and 22B show part an embodiment of a gas distribution apparatus 2203 in which the gas flows seen by a substrate would be uniform.
  • FIG. 22A shows the back side 2201 of a gas distribution apparatus 2203 with a plurality of alternating gas channels 2202 a, 2202 b.
  • the gas channels 2202 a, 2202 b undulate with the holes 2208 a, 2208 b spaced along the length of the gas channels so that hole 2208 pattern seen on the front side 2205 in FIG. 22B is uniform. Additionally, the gas flows seen by the substrate are uniform because there is a uniform distribution of holes across the gas distribution apparatus front. Looking at FIG. 22B , the top row of holes 2208 would alternate between the first gas and the second gas, with the next row having the reverse pattern. Thus, of the twelve holes 2208 shown, the first gas will flow out of six of the holes and the second gas will flow out of the other six holes.
  • inlet valves 2214 a, 2214 b there can be multiple inlet valves 2214 a, 2214 b, as shown in FIG. 22A , or can be a single valve split into multiple channels. Additionally, there can be multiple outlet valves 2216 a, 2216 b, as shown in FIG. 22B , or there can be a single outlet valve joining each of the channels.
  • the gas distribution apparatus described can be used to form one or more layers during a plasma enhanced atomic layer deposition (PEALD) process.
  • PEALD plasma enhanced atomic layer deposition
  • the use of plasma provides sufficient energy to promote a species into the excited state where surface reactions become favorable and likely.
  • Introducing the plasma into the process can be continuous or pulsed.
  • sequential pulses of precursors (or reactive gases) and plasma are used to process a layer.
  • the reagents may be ionized either locally (i.e., within the processing area) or remotely (i.e., outside the processing area). Remote ionization can occur upstream of the deposition chamber such that ions or other energetic or light emitting species are not in direct contact with the depositing film.
  • the plasma is generated external from the processing chamber, such as by a remote plasma generator system.
  • the plasma may be generated via any suitable plasma generation process or technique known to those skilled in the art.
  • plasma may be generated by one or more of a microwave (MW) frequency generator or a radio frequency (RF) generator.
  • MW microwave
  • RF radio frequency
  • the frequency of the plasma may be tuned depending on the specific reactive species being used. Suitable frequencies include, but are not limited to, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz and 100 MHz.
  • plasmas may be used during the deposition processes disclosed herein, it should be noted that plasmas may not be required.
  • the gas distribution apparatus can be used to subject a substrate to processing prior to and/or after forming the layer. This processing can be performed in the same chamber or in one or more separate processing chambers.
  • the substrate is moved from the first chamber to a separate, second chamber for further processing.
  • the substrate can be moved directly from the first chamber to the separate processing chamber, or it can be moved from the first chamber to one or more transfer chambers, and then moved to the desired separate processing chamber.
  • the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a “cluster tool” or “clustered system”, and the like.
  • a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching.
  • a cluster tool includes at least a first chamber and a central transfer chamber.
  • the central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers.
  • the transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool.
  • Two well-known cluster tools which may be adapted for the present invention are the Centura® and the Endura®, both available from Applied Materials, Inc., of Santa Clara, Calif.
  • staged-vacuum substrate processing apparatus is disclosed in U.S. Pat. No. 5,186,718, entitled “Staged-Vacuum Wafer Processing Apparatus and Method,” Tepman et al., issued on Feb. 16, 1993.
  • processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTP, plasma nitridation, degas, orientation, hydroxylation and other substrate processes.
  • CLD cyclical layer deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch pre-clean
  • thermal treatment such as RTP, plasma nitridation, degas, orientation, hydroxylation and other substrate processes.
  • the substrate is continuously under vacuum or “load lock” conditions, and is not exposed to ambient air when being moved from one chamber to the next.
  • the transfer chambers are thus under vacuum and are “pumped down” under vacuum pressure.
  • Inert gases may be present in the processing chambers or the transfer chambers.
  • an inert gas is used as a purge gas to remove some or all of the reactants after forming the silicon layer on the surface of the substrate.
  • a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.
  • a substrate can be processed in single substrate deposition chambers using, for example, the gas distribution apparatus described. In such chambers, a single substrate is loaded, processed and unloaded before another substrate is processed.
  • a substrate can also be processed in a continuous manner, like a conveyer system, in which multiple substrate are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber.
  • the shape of the chamber and associated conveyer system can form a straight path or curved path.
  • the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, cleaning, etc. processes throughout the carousel path.
  • the substrate can be heated or cooled. Such heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing heated or cooled gases to the substrate surface.
  • the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductively.
  • the gases (either reactive gases or inert gases) being employed are heated or cooled to locally change the substrate temperature.
  • a heater/cooler is positioned within the chamber adjacent the substrate surface to convectively change the substrate temperature.
  • the substrate can also be stationary or rotated during processing.
  • a rotating substrate can be rotated continuously or in discreet steps.
  • a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposure to different reactive or purge gases.
  • Rotating the substrate during processing may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

Provided are gas distribution apparatus with a delivery channel having an inlet end, an outlet end and a plurality of apertures spaced along the length. The inlet end is connectable to an inlet gas source and the outlet end is connectable with a vacuum source. Also provided are gas distribution apparatus with spiral delivery channels, intertwined spiral delivery channels, splitting delivery channels, merging delivery channels and shaped delivery channels in which an inlet end and outlet end are configured for rapid exchange of gas within the delivery channels.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application claims priority under 35 U.S.C. § 119(e) to U.S. Provisional Application No. 61/548,942, filed Oct. 19, 2011.
BACKGROUND
Embodiments of the invention generally relate to an apparatus and a method for flowing a gas into a processing chamber. More specifically, embodiments of the invention are directed to linear flow apparatus for directing a flow of gas to a processing chamber such as an atomic layer deposition chamber or chemical vapor deposition chamber.
In the field of semiconductor processing, flat-panel display processing or other electronic device processing, vapor deposition processes have played an important role in depositing materials on substrates. As the geometries of electronic devices continue to shrink and the density of devices continues to increase, the size and aspect ratio of the features are becoming more aggressive, e.g., feature sizes of 0.07 μm and aspect ratios of 10 or greater. Accordingly, conformal deposition of materials to form these devices is becoming increasingly important.
During an atomic layer deposition (ALD) process, reactant gases are introduced into a process chamber containing a substrate. Generally, a region of a substrate is contacted with a first reactant which is adsorbed onto the substrate surface. The substrate is then contacted with a second reactant which reacts with the first reactant to form a deposited material. A purge gas may be introduced between the delivery of each reactant gas to ensure that the only reactions that occur are on the substrate surface.
Gas distribution apparatus, sometimes shaped like and referred to as showerheads, distribute processing gases to a substrate (also referred to as a wafer) at close proximity. Gas distribution apparatuses, including showerheads, have large volumes which can be very difficult to clean or purge between gases. Any gases remaining in the showerhead may react with subsequent processing gases. For ALD processes, separation of gases is important within a gas distribution apparatus, including showerheads, that relies on alternating pulses of gases, for example, an A pulse, a B pulse, an A pulse, and a B pulse type delivery. Therefore, there is an ongoing need in the art for improved gas distribution apparatuses, including showerheads, that are easy to clean/purge and provide a uniform supply of gases to the substrate.
SUMMARY
One or more embodiments of the invention are directed to gas distribution apparatuses for controlling flow of gas into a process chamber. The apparatus comprises a delivery channel having an inlet end, an outlet end, a length and a plurality of apertures spaced along the length. An inlet on the inlet end of the delivery channel is connectable to a gas source, wherein flow of the gas is controllable by a gas valve in communication with the inlet. An outlet on the outlet end of the delivery channel is connectable to a vacuum source, wherein vacuum pressure through the outlet is controllable by an outlet valve to provide a reduced pressure at the outlet. A controller to regulate the flow of the gas through the delivery channel and into the process chamber by opening and closing the outlet valve during gas delivery and gas purging in the channel to control the flow of gas through the apertures along the length of the channel.
In some embodiments, a flow of gas through the gas distribution apparatus has a more uniform conductance along an axial length of the gas distribution apparatus than the flow of gas through a similar gas distribution apparatus without the vacuum source connected to the outlet. In one or more embodiments, when the gas valve is closed, the gas is purged from the delivery channel faster than a similar gas distribution apparatus without the vacuum source.
In some embodiments, the delivery channel is a recessed channel in a back side of a gas distribution plate and the plurality of apertures extend through the gas distribution plate to a front side of the gas distribution plate.
In one or more embodiments, the gas distribution plate is round and the delivery channel forms a spiral shape with one of the inlet end and outlet end is positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate. In some embodiments, the inlet end is positioned at the outer peripheral region of the gas distribution plate and the outlet end is positioned at the central region of the gas distribution plate. In one or more embodiments, the outlet end is positioned at the outer peripheral region of the gas distribution plate and the inlet end is positioned at the central region of the gas distribution plate.
In some embodiments, there are two delivery channels recessed in the back side of the gas distribution plate. In some embodiments, each of the delivery channels forms a spiral shape with one of the inlet end and outlet end positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate. In one or more embodiments, the two delivery channels are intertwined along the spiral shape. In certain embodiments, each delivery channel has the inlet end positioned in the outer periphery region of the gas distribution plate and the outlet end positioned in the central region of the gas distribution plate. In some embodiments, each delivery channel has the outlet end positioned in the outer periphery region of the gas distribution plate and the inlet end positioned in the central region of the gas distribution plate. In one or more embodiments, the inlet end of one delivery channel is positioned in the outer periphery region of the gas distribution plate and the outlet end of the other delivery channel is positioned in the outer periphery region of the gas distribution plate.
In some embodiments, the gas distribution apparatus further comprises a back cover on the back side of the gas distribution plate, the back cover covering the recessed channel. In one or more embodiments the delivery channel is a tubular spiral having a substantially planar configuration. In some embodiments, the gas distribution apparatus comprises a plurality of delivery channels, each delivery channel extending substantially straight and substantially parallel to adjacent delivery channels.
In one or more embodiments, more than one of the delivery channels are connected to the inlet so that a gas flowing through the inlet flows through each of the delivery channels. In some embodiments, each of the delivery channels connected to the inlet merge and are connected to one outlet. In some embodiments, each of the delivery channels connected to the inlet has a separate outlet connected to a separate outlet valve. In one or more embodiments, the controller independently adjusts each of the outlet valves to maintain a substantially uniform flow of gas through each of the delivery channels. In an embodiment, the plurality of delivery channels are shaped to form one or more of words or logos.
In some embodiments, the plurality of delivery channels are shaped so that the hole pattern seen by a substrate is uniform across the gas distribution apparatus.
Additional embodiments of the invention are directed to processing chambers comprising the gas distribution apparatus described. In some embodiments, the gas distribution apparatus comprises a tubular spiral having a substantially planar configuration, the gas distribution apparatus positioned between a substrate support and a gas distribution plate.
Additional embodiments of the invention are directed to gas distribution apparatus, comprising a gas distribution plate, a back cover, an inlet, an outlet and a controller. A gas delivery channel is recessed in a back side of a gas distribution plate. The recessed gas delivery channel has an inlet end, an outlet end, a length and a plurality of apertures spaced along the length extending through the gas distribution plate to a front side of the gas distribution plate so that gas flowing through the gas delivery channel can pass through the apertures exiting the gas distribution plate. The back cover is on the back side of the gas distribution plate covering the recessed channel. The inlet is connected to the inlet end of the gas delivery channel through the back cover. The inlet is connectable to a gas source, wherein a flow of gas is controllable by a gas valve in communication with the inlet. An outlet is connected to the outlet end of the gas delivery channel through the back cover. The outlet is connectable to a vacuum source, wherein vacuum pressure through the outlet is controllable by an outlet valve to provide a reduced pressure at the outlet. The controller regulates the flow of gas through the gas delivery channel and into a process chamber by opening and closing the outlet valve during gas delivery and gas purging to control the flow of gas through the apertures along the length of the channel.
In some embodiments, the gas distribution plate is round and the delivery channel forms a spiral shape with one of the inlet end and outlet end is positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate. In one or more embodiments, there are two delivery channels recessed in the back side of the gas distribution plate, the two delivery channels intertwined along the spiral shape.
Further embodiments of the invention are directed to gas distribution apparatuses comprising a plurality of elongate delivery channels. Each delivery channel extends from an inlet end along a length to an outlet end and has a plurality of apertures spaced along the length. The inlet end is connectable to a gas source, wherein flow of gas is controllable by a gas valve in communication with the inlet end. The outlet end is connectable to a vacuum source, wherein vacuum pressure through the outlet end is controllable by an outlet valve to provide a reduced pressure at the outlet end. A plurality of elongate vacuum channels with each channel extending along a length. A controller regulates the flow of gas through the gas delivery channel and into a process chamber by opening and closing the outlet valve during gas delivery and gas purging to control the flow of gas through the apertures along the length of the channel. The plurality of apertures of each delivery channel are separated from the plurality of apertures of an adjacent delivery channel by at least one elongate vacuum channel.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the above recited features of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
FIG. 1 shows a view of a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 2 shows a view of a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 3 shows a view of a processing chamber including one or more gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 4 shows a top view of a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 5 shows a cross-section of a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention
FIG. 6 shows a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 7 shows a bottom view of a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 8 shows a partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments,
FIG. 9 shows a top view of a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 10 shows a partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 11 shows a view of an exploded partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments of the invention
FIG. 12 shows a cross-section of a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention
FIG. 13 shows a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 14 shows a bottom view of a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 15 shows a perspective view of a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 16A shows a partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 16B shows a partial cross-sectional view of a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 17 shows a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 18 shows a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 19 shows a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 20 shows a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 21 shows a gas distribution apparatus in accordance with one or more embodiments of the invention;
FIG. 22A shows a portion of a back side of a gas distribution apparatus in accordance with one or more embodiments of the invention; and
FIG. 22B shows the front side of the gas distribution apparatus of FIG. 22A.
DETAILED DESCRIPTION
Embodiments of the invention are directed to gas distribution apparatus for use in chemical vapor deposition type processes. One or more embodiments of the invention are directed to atomic layer deposition processes and apparatus (also called cyclical deposition) incorporating the gas distribution apparatus described. The gas distribution apparatus described may be referred to as a showerhead or gas distribution plate, but it will be recognized by those skilled in the art that the apparatus does not need to be shaped like a showerhead or plate. The terms “showerhead” and “plate” should not be taken as limiting the scope of the invention.
A first embodiment of the invention is directed to an apparatus with a single spiral gas delivery channel. All gases flow sequentially through the same channel. An inlet is on the outer radial edge of the spiral, also referred to as the outer periphery, and may be attached to a gas source. A vacuum attachment is connected to the internal end of the spiral. The inlet and outlet can be reversed, either the gas source can be connected to the inside of the spiral with the outlet valve at the outside end of the spiral. The first embodiment can be used with a sequence as shown in Table 1.
TABLE 1
Step Gas Source Outlet Valve
1 Precursor A Closed
2a Purge Closed
2b Purge Open
2c Purge Closed
3 Precursor B Closed
A second embodiment has two spiral channels intertwined. Each channel has a gas inlet on the outer radial end of the spiral and an outlet valve on the inner radial end of each spiral. The inlet and outlet can be reversed or mixed. The different channels can be used for different precursors.
In a third set of embodiments, the channel is a linear gas line. The linear gas line can take any suitable shape, not just linear. There can be multiple linear gas lines for different precursors. Some embodiments have multiple parallel paths for all gases in sequence, where conductance of the gas channels are substantially the same.
In one or more embodiments, in an individual channel, conductance of the gas through the channel and through the apertures is controlled by modulating or changing the vacuum pressure at the outlet end. Changing the vacuum pressure in turn creates a unique flow dynamic that cannot be achieved in conventional gas distribution apparatus. In some embodiments, a more uniform gas flow is provided along the length of each channel and through the apertures spaced along the length of the channel. A uniform gas flow according to one or more embodiments means that there is substantially no dead space that inhibits flow or pumping of gasses through the channel. The provision of a vacuum with or without a valve on one end of the channel with a valve at the other end of the channel permits rapid switching between different types of gases, such as precursor or reactant gases.
In some embodiments, the vacuum at the end of the gas delivery channel enables the rapid purging of gases from within the channel. A purge gas source can be connected to the inlet of the gas delivery channel and work cooperatively with the vacuum at the outlet of the channel to even more rapidly remove the reactive gases from the channel. Additionally, vacuum ports can be spaced along the length of the gas delivery channel, not just at the end of the channel.
Embodiments of the invention may be capable of increasing the conductance of gas through the holes spaced along the gas delivery channel. Without being bound by any particular theory of operation, it is believed that controlling the vacuum pressure at the outlet end, or in the middle, of the channel changes the flow dynamics relative to a conventional showerhead or gas distribution plate.
Referring to FIGS. 1 and 2, one or more embodiments are directed to gas distribution apparatus 100 to deliver a gas to a process chamber (not shown). The gas distribution apparatus 100 comprises a delivery channel 102 with an inlet end 104 and an outlet end 106. The delivery channel 102 has a plurality of apertures 108 spaced along the length of the delivery channel 102. An inlet 110 is connected to and in fluid communication with the inlet end 104 of the delivery channel 102. An outlet 112 is connected to and in fluid communication with the outlet end 106 of the delivery channel 102. The inlet 110 is adapted to be connected to a gas source and may include an inlet valve 114 capable of controlling the flow of gas into (or out of) the delivery channel 102 or completely cut off the flow of gas. The outlet 112 is adapted to be connected to a vacuum source and may include an outlet valve 116 capable of controlling the flow of gas into (or out of) the delivery channel 102 or completely cut off the flow of gas. The outlet 112 is connectable to a vacuum source (not shown) so that vacuum pressure through the outlet 112 is controllable by the outlet valve 116 to provide a reduced pressure at the outlet 112.
A controller 150 regulates the flow of the gas through the delivery channel 102 and into the process chamber. The controller 150 does this by opening or closing (or any point in between fully open and fully closed) the outlet valve during gas delivery and gas purging. This controls the flow of gas through apertures (seen, for example, in FIG. 4) spaced along the length of the channel.
The cross-sectional shape of the delivery channel 102 can be controlled such that gas flowing through the delivery channel experiences minimal resistance to flow. In some embodiments, the delivery channel 102 has a round or oval cross-sectional shape. In one or more embodiments, the delivery channel 102 has a cross-sectional shape sufficient such that bends, turns, twists, etc. provide substantially no dead space.
The overall shape (as opposed to the cross-sectional shape) of the delivery channel 102 can be modified as desired. For example, the delivery channel 102 can be shaped to fit within specific areas or to match the shape of a substrate. The delivery channel 102 can be, for example, straight, round, square, oval, rectangular or oblong. Additionally, the overall shape of the delivery channel can be made up of repeating units, parallel, perpendicular or concentric to each other. In one or more embodiments, the delivery channel has an overall shape in which there is substantially no dead space to inhibit gas flow or purging. As used in this specification and the appended claims, the term “substantially no dead space” means that the flow of gas, or purging, is inhibited by less than about 10% or by less than about 5% due to dead space.
In some embodiments, the delivery channel 102 is a tubular spiral having a substantially planar configuration. This particular shape is exemplified by the embodiment shown in FIGS. 1 and 2. As used in this specification and the appended claims, the term “substantially planar configuration” means that the plurality of apertures 108 in the delivery channel 102 are in mostly the same plane. The embodiment shown in FIGS. 1 and 2 has a substantially planar configuration because the apertures are coplanar, even though the inlet end and outlet end, and the portions of the delivery channel near the inlet end and outlet end are not coplanar with the plurality of apertures.
The delivery channel 102 can be used for plasma processing. For example, the delivery channel 102 can be polarized relative to another portion of the processing chamber to ignite a plasma within the chamber. The delivery channel 102 can be biased relative to a portion of the chamber, or a portion of the chamber can be biased relative to the delivery channel 102. For example, the delivery channel 102 can be polarized relative to the pedestal, or the pedestal can be polarized relative to the delivery channel. The frequency of the plasma can be tuned as well. In one or more embodiments, the plasma is at a frequency of about 13.56 MHz. In some embodiments, the plasma is at a frequency of about 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz or 120 MHz.
Any suitable material can be used for the delivery channel, showerhead or gas distribution apparatus. Suitable materials include, but are not limited to stainless steel and inert materials. In some embodiments, the delivery channel, showerhead or gas distribution plate is made of stainless steel.
FIG. 3 shows a cross-section of a portion of a processing chamber according to one or more embodiments. A gas distribution apparatus 100 is placed between a substrate support pedestal 302 and a gas distribution plate 306. The substrate support pedestal 302 is shown supporting a substrate 304. The substrate support pedestal 302 can be stationary or rotating, or can be stationary for part of the processing and rotating for part of the processing. A rotating support pedestal 302 may allow for more uniform processing of a substrate by minimizing different gas flow patterns that may occur throughout the processing chamber. The support pedestal 302 of some embodiments includes a heater or heating mechanism. The heater can be any suitable type of heater including resistive heaters.
The gas distribution apparatus 100 is shown as a tubular spiral with a substantially planar configuration. The substrate 304 can be processed with either, or both, the gas distribution plate 306 and the gas distribution apparatus 100. The gas distribution apparatus 100 can be shaped so that it does not substantially interfere with gas flowing from the gas distribution plate 306. As used in this specification and the appended claims, the term “substantially interfere” means that the gas distribution apparatus 100 does not interfere with more than about 30% of the gas flowing from the gas distribution plate. For example, the front surface 308 of the gas distribution plate 306 has a plurality of apertures 310 through which gases flow. The gas distribution apparatus 100 can be shaped to avoid blocking the apertures 310.
The delivery channel positioned like that of FIG. 3 can also be used for plasma processing. The apparatus 100 can be polarized relative to a portion of the chamber, or a portion of the chamber can be polarized relative to the apparatus 100. For example, the delivery channel apparatus 100 can be polarized relative to the pedestal 302, or the pedestal 302 can be polarized relative to the apparatus 100. In some embodiments, the apparatus 100 is polarized relative to the gas distribution plate 306. In one or more embodiments, the gas distribution plate 306 is polarized relative to the pedestal 302 and gas flowing from the apparatus 100 forms the plasma. The frequency of the plasma can be tuned as well. In one or more embodiments, the plasma is at a frequency of about 13.56 MHz. In some embodiments, the plasma is at a frequency of about 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz or 120 MHz.
FIGS. 4 through 7 show another embodiment of a gas distribution apparatus 400 in which the delivery channel 402 is a recessed channel in the back side 401 of a gas distribution plate 403. The embodiment shown has a large inner section is recessed in the back side 401 of the gas distribution plate 403 with the delivery channel 402 recessed even further. This allows for the addition of a back cover 407 which can be placed in the recessed area in the back side 401 enclosing the delivery channel 402. The back cover 407, when inserted into the recessed back side 401 of certain embodiments creates a substantially flush back side surface of the gas distribution plate. It will be understood by those skilled in the art that the back cover 407 does not need to fit within a recessed area of the back side 401 of the gas distribution plate 403, but can also rest directly on the back side 401 of the gas distribution plate 403. In embodiments of this sort, there is no large recessed area with the delivery channels being further recessed. Instead, the delivery channels are recessed directly into the back side 401 of the gas distribution plate 403.
The back cover 407 may have openings to allow for the passage of inlet and outlet tubes to allow for fluid communication with the delivery channel 402. This can be seen in FIGS. 5 and 6. The inlet and outlet tubes can be an integral part of the back cover 407, or can be separate pieces connected to the back cover 407 in such a manner as to prevent or minimize fluid leakage. A plurality of apertures 408 extend through the gas distribution plate 403 to a front side 405 of the gas distribution plate 403. These apertures can be seen in FIGS. 4, 5 and 7. The plurality of apertures 408 can be evenly spaced along the length of the delivery channel, or can have varied spacing along the length of the channel. Variable spacing may help produce a more uniform gas flow from the delivery channel at points along the delivery channel. For example, in gas delivery channel that has an elaborate shape, the spacing of the apertures can varied along the length.
In the embodiment shown in FIGS. 4-7, the gas distribution plate 403 is round and the delivery channel 402 forms a spiral shape. The inlet end 404 is denoted at the outside of the spiral in an outer peripheral region 420 of the gas distribution plate 403 with the outlet end 406 at the center of the spiral in a central region 422 of the gas distribution plate 403. It will be understood by those skilled in the art that the inlet end 404 and outlet end 406 can be reversed with the inlet end 404 being located at the center of the spiral and the outlet end 406 at the outside of the spiral. In some embodiments, one of the inlet end 404 and outlet end 406 is positioned in an outer peripheral region 420 of the gas distribution plate 403 and the other of the inlet end 404 and outlet end 406 is positioned in a central region 422 of the gas distribution plate 403. In one or more embodiments, the inlet end 404 is positioned at the outer peripheral region 420 of the gas distribution plate 403 and the outlet end 406 is positioned at the central region 422 of the gas distribution plate 403. In certain embodiments, the outlet end 406 is positioned at the outer peripheral region 420 of the gas distribution plate 403 and the inlet end 404 is positioned at the central region 422 of the gas distribution plate 403.
In FIGS. 5 and 6, the inlet end 404 and outlet end 406 are illustrated as a small tube extending from the back cover 407 of the gas distribution plate 403. The tubes extend between the inlet 410 and the back cover 407 through an inlet valve 414. Another tube can extend between the outlet 412 and the back cover 407 through the outlet valve 416. The tubes can be connected to the back cover 407 by any suitable connection known to those skilled in the art and may be sealed to prevent leakage of fluid flowing through the tube into the delivery channel 402. Suitable sealing devices include, but are not limited to, o-rings positioned between a flange 424 and the back cover 407. The flange 424 can be integrally formed with the tube or can be a separate piece that holds the tube to the back cover. The flange 424 can be connected to the back cover 407 by any suitable mechanical connection, including but not limited to, screws.
FIG. 8 shows a cross-sectional view of one portion of a delivery channel 402 and an aperture 408 in a gas distribution plate 403 in accordance with one or more embodiments of the invention. It will be understood by those skilled in the art that the delivery channel and apertures described in FIG. 8 are merely illustrative and should not be taken as limiting the scope of the invention. Those skilled in the art will understand that there are other ways of creating flow from the delivery channel 402 through the gas distribution plate 403. The delivery channel 402 shown in FIG. 8 has two portions, an upper portion 832 and a lower portion 830. While these portions are shown as separate areas, it will be understood that there can be a seamless transition between the upper portion 832 and the rounded lower portion 830.
Additionally, it will be understood that the upper portion 832 is optional and does not need to be included in the delivery channel 402. When there is no upper portion 832, the lower portion 830 is the only portion. Thus, the delivery channel can have any suitable shape. In some embodiments, the shape of the delivery channel is such that there is substantially no interference with the flow of gases through the channel.
The upper portion 832 can have my suitable shape. In the embodiment shown in FIG. 8, the upper portion 832 has walls which extend normal to the surface of the back side 401 of the gas distribution plate 403. However, it will be understood that the upper portion 832 can have walls which are canted from square to the back side 401. The canting can provide a larger opening at the back side 401 of the gas distribution plate 403, tapering to a smaller opening. Additionally, the canting can provide a smaller opening at the back side 401, tapering to a larger opening. The length of the upper portion 832 can be modified as necessary.
In some embodiments, the upper portion has sides which are substantially perpendicular to the back side 401 of the gas distribution plate 403 and extend a length L below the surface of the back side 401 in the range of about 0.01 inch to about 0.3 inches. As used in this specification and the appended claims, the term “substantially perpendicular to” means that walls of the upper portion have an angle relative to the back side of the gas distribution plate in the range of about 85 degrees to about 95 degrees. In some embodiments, the upper portion extends below the surface of the back side to a length L in the range of about 0.02 inches to about 0.2 inches, or in the range of about 0.05 inches to about 0.15 inches, or in the range of about 0.08 inches to about 0.12 inches. In one or more embodiments, the upper portion extends below the surface of the back side to a length about 0.1 inches.
The rounded lower portion 830 can have any suitable cross-section including, but not limited to, half-round and half-elliptical. The width of the rounded lower portion, also referred to as the diameter of the rounded lower portion, can be modified as necessary. The width of the upper portion can be modified as necessary. The diameter of the delivery channel, in general, can have an impact of the number of loops in the spiral. In some embodiments, as shown in FIG. 8, the width of the upper portion is about equal to the diameter of the lower portion. The delivery channel of various embodiments has a diameter in the range of about 0.3 inches to about 0.45 inches, or in the range of about 0.325 inches to about 0.425 inches, or in the range of about 0.35 inches to about 0.40 inches. In one or more embodiments, the delivery channel has a diameter of about 0.375 inches.
The specific shape of the apertures 408 can vary depending on the desired flow of gases through the apertures. In the embodiment of FIG. 8, the aperture 408 has three distinct sections; a first section 834, a second section 836 and a third section 838. Again, the number of sections and the shape of the sections are merely illustrative of one embodiment and should not be taken as limiting the scope of the invention. The first section 834 extends from the rounded lower portion 830 of the delivery channel 402 toward the front side 405 of the gas distribution plate 403. The first section 834 has a first diameter D1. The second section 836 extends from the first section 834 toward the front side 405 and has a diameter which tapers from the first diameter D1 to a second diameter D2, which is generally smaller than the first diameter. The third section 838 extends from the end of the second section 836 and ends at the front side 405 of the gas distribution plate 403. At the intersection of the third section 838 and the front side 405, a hole 840 is formed. Gases flowing through the delivery channel 402 exit the gas distribution plate 403 through this hole 840 into the processing chamber. The hole 840 has about the same diameter as the second diameter D2. In various embodiments, the diameter of the hole 840 is in the range of about 0.01 inches to about 0.25 inches, or in the range of about 0.02 inches to about 0.2 inches, or in the range of about 0.03 inches to about 0.15 inches or in the range of about 0.04 inches to about 0.1 inches. In some embodiments, the hold 840 has a diameter less than about 0.1 inches, or less than about 0.08 inches, or less than about 0.06 inches, or less than about 0.04 inches, or less than about 0.02 inches, or less than about 0.01 inch.
As the delivery channel spirals from the outer peripheral edge of the gas distribution plate to the central region, or vice versa, a seeming plurality of adjacent channels are observable in cross-section, even though it may be a single channel. FIG. 5 shows this seeming plurality of channels. The channels, or separation between loops of the spiral, are separated by a distance. In some embodiments, the distance between the channels, or the loops of the single channel, measured from centers, are in the range of about 0.375 inches to about 0.475 inches, or in the range of about 0.40 inches to about 0.45 inches, or in the range of about 0.41 inches to about 0.43 inches. In one or more embodiments, the average distance between centers of the adjacent channels is about 0.42 inches.
The length of the gas channel shown in FIGS. 4 to 7 can vary depending on a number of factors, including, but not limited to, the diameter of the channel and the distance between the adjacent channels. In various embodiments, the delivery channel has a length in the range of about 140 inches to about 340 inches, or in the range of about 180 inches to about 300 inches, or in the range of about 200 inches to about 280 inches, or in the range of about 220 inches to about 260 inches. In one or more embodiments, the delivery channel has a length of about 240 inches.
The number of apertures are also dependent on a number of factors, including but not limited to, the length of the delivery channel and the spacing of the apertures. In some embodiments having a single spiral channel, there are in the range of about 300 and 900 apertures, or in the range of about 400 to about 800 apertures, or in the range of about 500 to about 700 apertures. In various embodiments, there are greater than about 300, 400, 500, 600, 700 or 800 apertures along the length of the channel. In one or more embodiments, there are about 600 apertures along the length of the delivery channel.
In an embodiment, as shown in FIG. 4, the gas delivery plate 403 comprises a single delivery channel 402 in a back side of the gas delivery plate 403. The delivery channel 402 has an inlet end 404 located in an outer peripheral region 420 of the gas distribution plate 403. The delivery channel 402 and follows an inward spiral path from the inlet end 404 to an outlet end 406 located in a central region 422 of the gas distribution plate 403. The delivery channel 402 has an overall length, defined as the distance between the inlet end 404 and the outlet end 406 of about 240 inches. A plurality of apertures 408 are spaced along the overall length of the delivery channel 402. Along the overall length of the delivery channel 403 there are in the range of about 500 apertures and about 700 apertures. The delivery channel 403 has an average diameter of about 0.375 inches and adjacent portions of the spiral channel are spaced about 0.42 inches on center.
Some embodiments of the invention include more than one delivery channel 402. These multiple channels can be intertwined or separate depending on the needs of the processing system. Some channels can be recessed into a gas distribution plate as shown in FIG. 4, or can be individual tubes as shown in FIG. 1. In some embodiments, there are a combination of individual tubes and recessed channels. An exemplary embodiment of the sort is shown in FIG. 3, where the gas distribution plate may have at least one recessed delivery channel therein and an additional delivery channel is positioned between the gas distribution plate and the substrate surface.
Another embodiment of the invention is shown in FIGS. 9 through 14. A gas distribution apparatus 900 comprises two delivery channels 902a, 902b recessed in the back side 901 of a gas distribution plate 903. It will be understood that the delivery channels do not need to be recessed into the back of a gas distribution plate, but can be individual tubes, as shown in FIGS. 1 and 15. The first delivery channel 902a has a first inlet end 904a and a first outlet end 906a and a plurality of first apertures 908a spaced along the length of the first delivery channel 902a. The second delivery channel 902b has a second inlet end 904b, a second outlet end 906b and a plurality of second apertures 908b spaced along the length of the second delivery channel 902b.
A first inlet 910a is connected to the first inlet end 904a of the first delivery channel 902a. The first inlet 910a is adapted to be connected to a gas source. A first outlet 912a is connected to the first outlet end 906a of the first delivery channel 902a. The first outlet 912a is adapted to be connected to a vacuum source. A second inlet 910b is connected to the second inlet end 904b of the second delivery channel 902b. The second inlet 910b is adapted to be connected to a gas source. A second outlet 912b is connected to the second outlet end 906b of the second delivery channel 902b. The second outlet 912a is adapted to be connected to a vacuum source.
In the embodiment shown in FIGS. 9 to 14, each of the delivery channels 902a, 902b form a spiral shape. One or more embodiments, as that shown in the Figures, have the two delivery channels 902a, 902b intertwined along the length of the spiral shape. It will be understood by those skilled in the art that the two delivery channels 902a, 902b can have shapes other than spiral and do not need to intertwine. In certain embodiments, the plurality of first apertures 908a and second apertures 908b extend through the gas distribution plate 903 to the front side 905 of the gas distribution plate 903.
In some embodiments, each of the delivery channels 902a, 902b form a spiral shape with one of the inlet end 904a, 904b and outlet end 906a, 906b positioned in an outer peripheral region 920 of the gas distribution plate 903 and the other of the inlet end 904a, 904b and outlet end 906a, 906b positioned in a central region 922 of the gas distribution plate 903. In one or more embodiments, the inlet ends 904a, 904b of both channels 902a, 902b is positioned in the outer peripheral region 920 and the inlet ends 904a, 904b of both channels 902a, 902b are positioned in the central region 922 of the gas distribution plate 903. In certain embodiments, the inlet ends 904a, 904b of both channels 902a, 902b is positioned in the central region 922 and the inlet ends 904a, 904b of both channels 902a, 902b are positioned in the outer peripheral region 920 of the gas distribution plate 903. In one or more embodiments, one or the inlet ends 904a, 904b is positioned in the outer peripheral region 920 and the other inlet end 904b, 904a is positioned at the central region 922, with the outlet ends 906a, 906b at the other end of each individual delivery channel 902a, 902b.
FIG. 11 shows a back cover 907 for the gas distribution plate 903 shown in FIG. 9. There are four holes (not numbered) located in the back cover 907 which align approximately with the inlet ends 904a, 904b and outlet ends 906a, 906b of the delivery channels 902a, 902b. The holes can be used to provide an access point for connected in the inlet 910a, 910b and outlet 912a, 912b to the channels 902a, 902b. In some embodiments, there inlet 910a, 910b and outlet 912a, 912b are integrally formed with the back cover 907. Additionally, as seen in FIGS. 12 and 13, there can be one or more inlet valves 914a, 914b and outlet valves 916a, 916b
FIGS. 12 and 13 show perspective views of a gas distribution apparatus 900 in accordance with various embodiments of the invention. The inlets 910a, 910b are shown connected to the back cover 907 with a flange 924a, 924b. The connection and gas-tight sealing of the flange 924a, 924b can be accomplished by any suitable mechanism and techniques as known to those skilled in the art. The outlets 912a, 912b can also be connected to the back cover 907 with a flange or with a block connection 925. The block 925 can be integrally formed with the back cover 907 or can be a separate piece. The block 925 may provide additional support and space for the outlet valves 916a, 916b, allowing the connecting tubes to protrude from the back cover 907 at an angle. Although the inlets 910a, 910b and inlet valves 914a, 914b are shown on the outside peripheral region 920 of the gas distribution plate 903 and the outlets 912a, 912b and outlet valves 916a, 916b are shown at the central region 922 of the gas distribution plate 903, it will be understood that these components can be reversed or intermixed and that the drawings are merely illustrative of one embodiment.
As the delivery channels spiral from the outer peripheral edge of the gas distribution plate to the central region, or vice versa, a seeming plurality of adjacent channels are observable in cross-section. With the spirals intertwined, the gas in every adjacent channel is from the other inlet 910a, 910b. The channels are separated by a distance from the adjacent channels. In some embodiments, the distance between the channels, measured from the center of the channel, are in the range of about 0.375 inches to about 0.475 inches, or in the range of about 0.40 inches to about 0.45 inches, or in the range of about 0.41 inches to about 0.43 inches. In one or more embodiments, the average distance between centers of the adjacent channels is about 0.42 inches.
The length of the gas channel shown in FIGS. 9-14 can vary depending on a number of factors, including, but not limited to, the diameter of the channel and the distance between the adjacent channels. In various embodiments, each of the delivery channels has a length in the range of about 70 inches to about 170 inches, or in the range of about 90 inches to about 150 inches, or in the range of about 100 inches to about 140 inches, or in the range of about 110 inches to about 130 inches. In one or more embodiments, the delivery channel has a length of about 120 inches.
The number of apertures are also dependent on a number of factors, including but not limited to, the length of the delivery channel and the spacing of the apertures. In some embodiments having a single spiral channel, there are in the range of about 150 and 450 apertures, or in the range of about 200 to about 400 apertures, or in the range of about 250 to about 350 apertures. In various embodiments, there are greater than about 150, 200, 250, 300, 350 or 400 apertures along the length of the channel. In one or more embodiments, there are about 300 apertures along the length of each of the delivery channels.
The apparatus shown in FIGS. 4 through 14 can be used for plasma processing. For example, the delivery channel, gas distribution apparatus or showerhead can be polarized relative to another portion of the processing chamber to ignite a plasma within the chamber. The delivery channel, gas distribution apparatus or showerhead can be polarized relative to a portion of the chamber, or a portion of the chamber can be biased relative to the delivery channel, gas distribution apparatus or showerhead. For example, the delivery channel, gas distribution apparatus or showerhead can be polarized relative to the pedestal, or the pedestal can be polarized relative to the delivery channel, gas distribution apparatus or showerhead. The frequency of the plasma can be tuned as well. In one or more embodiments, the plasma is at a frequency of about 13.56 MHz. In some embodiments, the plasma is at a frequency of about 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz or 120 MHz.
In some embodiments of the apparatus exemplified by FIGS. 4 through 14, there is an insulating material (not shown) positioned between the back cover and the main body portion of the gas distribution apparatus (i.e., the portion including the gas delivery channel). This insulating material provides electrical isolation between the back cover and the main body portion of the gas distribution apparatus so that the back cover can be polarized relative to the main body portion. Doing so may allow for the ignition of a plasma within the gas distribution apparatus, or within the delivery channels. The plasma can then be flowed through the plurality of apertures into the processing region of the processing chamber, the processing region being the region between the gas distribution apparatus and the pedestal. This configuration may be referred to as a remote plasma because the plasma is formed (e.g., ignited) outside of the processing region.
FIGS. 15, 16A and 16B show another exemplary embodiment of a gas distribution apparatus 1500. The gas distribution apparatuses shown are particularly useful for spatially separated atomic layer deposition processes in which different portions of the substrate are simultaneously exposed to different deposition gases and the substrate 1544 is moved relative to the gas distribution apparatus so that all parts of the substrate are exposed sequentially to each of the deposition gases. In these embodiments, the gas distribution apparatus 1500 comprises a plurality of delivery channels 1502, each delivery channel 1502 extending substantially straight and substantially parallel to adjacent delivery channels. Each of the delivery channels 1502 has an inlet end 1504 and an outlet end 1506 with a plurality of spaced apertures 1508 there between.
The gas distribution apparatus shown in FIGS. 15, 16A and 16B have a plurality of elongate delivery channels 1502 and a plurality of elongate vacuum channels 1550. Each of the delivery channels 1502 and vacuum channels 1550 are connected to a output channel 1552 at the front face of the gas distribution apparatus. Each of the delivery channels 1502 is adapted to flow one or more of a reactive gas and a purge gas. Each delivery channel 1502 is connected to an output channel 1552 by a plurality of spaced apertures 1508. Each of the vacuum channels 1550 is connected to an inlet channel 1554 by a plurality of spaced vacuum apertures 1558. The plurality of apertures 1508 of each delivery channel 1502 are separated from the plurality of apertures 1508 of each adjacent delivery channel 1502 by at least one plurality of vacuum apertures 1558 from a vacuum channel 1550.
In the embodiment shown in FIG. 16A, each of the central vacuum channels 1550 (not the end vacuum channels) are connected to two inlet channels 1554 by vacuum apertures 1508. The end vacuum channels 1550 are only connected to a single inlet channel 1554. It should be understood that this is merely exemplary and should not be taken as limiting the scope of the invention. Each inlet channel 1554 can have a dedicated vacuum channel 1550, or a single vacuum channel 1550 can be connected to more than two inlet channels 1554 through a plurality of vacuum apertures 1508.
While each of the delivery channels appear the same, there can be a different gas flowing through each. For example, purge channels (denoted P) may have a purge gas flowing there through, each of the first reactive gas channels (denoted A) may have a first reactive gas flowing there through and each of the second reactive gas channels (denoted B) may have a second reactive gas flowing there through. The vacuum channels (denoted V) are connected to a vacuum source. With reference to FIG. 16A, a substrate 1544 (or more specifically, a fixed point on a substrate) moving from left to right would encounter in order a vacuum gas channel, a purge gas channel, a vacuum gas channel, a first reactive gas channel, a vacuum gas channel, a purge gas channel, a vacuum gas channel, a second reactive gas channel, a vacuum gas channel, etc., depending on the size of the gas distribution plate.
The use of the delivery channels with inlet and outlet ends allows for the rapid exchange of gas within the delivery channel. For example, after the substrate (or fixed point on the substrate) is exposed to the second reactive gas channel (denoted B), the outlet end of the delivery channel can be opened, allowing the gas within the channel to be removed, and a different reactive gas (e.g., gas C) can then be flowed into the delivery channel. Thus, when the substrate passes back under that gas channel the substrate will be exposed to gas C instead of gas B. While this example has been made with respect to a second reactive gas, it will be understood by those skilled in the art that an of the gas delivery channels (first reactive gas, second reactive gas or purge gas) can be purged and replaced with a different gas.
The delivery channel of FIGS. 15, 16A and 16B can be used for plasma processing as well. The gas distribution apparatus 1500 can be biased relative to another portion of the chamber. For example, the gas distribution apparatus 1500 can be polarized relative to the pedestal, or the pedestal can be polarized relative to the gas distribution apparatus. The frequency of the plasma can be tuned as well. In one or more embodiments, the plasma is at a frequency of about 13.56 MHz. In some embodiments, the plasma is at a frequency of about 40 MHz, 50 MHz, 60 MHz, 70 MHz, 80 MHz, 90 MHz, 100 MHz, 110 MHz or 120 MHz.
FIG. 16B shows an embodiment of a single delivery channel 1502 and a single vacuum channel 1550. Each of the delivery channel 1502 and vacuum channel 1550 have two sets of apertures extending therefrom. In the case of the vacuum channel 1550, one set of apertures 1558a connect to a first inlet channel 1554a and the other set of apertures 1558b connects to a second inlet channel 1554b. The delivery channel 1502, on the other hand, has two sets of apertures 1508 extending to a single output channel 1552.
In one or more embodiments, the gas distribution apparatus includes more than one outlet connected to a vacuum source. FIG. 17 shows a spiral shaped gas distribution apparatus 1700 which is similar to the apparatus 100 shown in FIG. 1. The apparatus includes a delivery channel 1702 with an inlet end 1704 and an outlet end 1706. An inlet 1710 is connected to and in communication with the inlet end 1704 of the delivery channel 1702. An outlet 1712 is connected to and in communication with the outlet end 1706 of the delivery channel 1702. The inlet 1710 is connectable to a gas source and may include an inlet valve 1714 that can control the flow of gas into (or out of) the delivery channel 1702 or completely cut off the flow of gas. The outlet 1712 is connectable to a vacuum source (not shown) and may include an outlet valve 1716 that can control the flow of gas out of (or into) the delivery channel 1702 or completely cut off the vacuum source from the delivery channel 1702. An intermediate outlet 1742 which is connectable to the vacuum source (not shown) is position along the length of the delivery channel 1702. The intermediate outlet 1742 shown is connected to the delivery channel 1702 at about the middle of the length of the channel 1702 and coupled to the delivery channel 1702 through an intermediate outlet 1740. The intermediate outlet 1742 may include an intermediate outlet valve 1744 that can control the flow of gas out of (or into) the delivery channel 1702 or completely cut off the vacuum source from the delivery channel 1702. The inlet valve 1714 of the inlet 1710, the outlet valve 1716 of the outlet 1712 and the intermediate outlet valve 1744 of the intermediate outlet 1740 are connected to a controller 1750. The controller is capable of independently opening or closing any or all of the valves to adjust the pressure of gases flowing through the delivery channel 1702 or purge the delivery channel 1702 of an existing gas. For example, Table 2 shows a processing sequence that may be used with the embodiment shown in FIG. 17. It will be understood by those skilled in the art that this is merely an example and should not be taken as limiting the scope of the invention.
TABLE 2
Intermediate
Step Gas Source Outlet valve Outlet valve
1a Precursor A Closed Partially Open
1b Precursor A Closed Closed
2a Purge Open Closed
2b Purge Open Open
2c Purge Open Closed
3a Precursor B Partially Open Closed
3b Precursor B Closed Closed
The valves shown in Table 2 are open, closed or partially open at any point during the processing. In Step 3a, after purging the delivery channel of Precursor A, the intermediate outlet valve is partially open to accelerate the flow of Precursor B through the delivery channel and then closed in Step 3b. This is merely one possible sequence that can be used and should not be taken as limiting the scope of the invention.
The embodiment shown in FIG. 17 effectively includes two outlets, one at the end of the delivery channel and one in the middle. Those skilled in the art will understand that there can be any number of outlets spaced along the length of the delivery channel and at any position along the length of the channel. For example, the intermediate outlet 1740 could be positioned at ⅓ of the length of the channel. Additionally, there can be any number of outlets. For example, the delivery channel may have four outlets, one at the end and one positioned at each of ¼, ½ and ¾ of the length of the delivery channel. In another example, the delivery channel includes four outlets, one at the end and one position at each of ¼, ¾ and 9/10 of the length of the delivery channel. In some embodiments, the delivery channel includes 2, 3, 4, 5, 6, 7, 8, 9, 10 or 11 total outlets (including an outlet at the outlet end of the channel).
FIG. 18 shows another embodiment of the invention in which the gas distribution apparatus 1800 includes a multipath delivery channel 1802. Here, the apparatus 1800 includes a delivery channel 1802 with an inlet end 1804 and an outlet end 1806. An inlet 1810 is connected to and in communication with the inlet end 1804 of the delivery channel 1802. An outlet 1812 is connected to and in communication with the outlet end 1806 of the delivery channel 1802. The inlet 1810 is connectable to a gas source (not shown) and may include an inlet valve 1814 that can control the flow of gas into (or out of) the delivery channel 1802 or completely cut off the flow of gas. The outlet 1812 is connectable to a vacuum source (not shown) and may include an outlet valve 1816 that can control the flow of gas out of (or into) the delivery channel 1802 or completely cut off the vacuum source from the delivery channel 1802. The delivery channel 1802 splits near the inlet end 1804 into three separate channels 1802a, 180b, 1802c and merges back into a single channel near the outlet end 1806. A plurality of apertures 1808 are spaced along the length of each of the channels so that a single gas flowing into the inlet 1810 can be directed along multiple paths and connected to a single outlet 1812. The apertures 1808 can be evenly spaced or unevenly spaced along the length of the channel 1802.
The embodiment shown splits the delivery channel into three separate channels along the length of the channel. However, it will be understood by those skilled in the art that this is merely exemplary and that the delivery channel can be split into any number of channels. In some embodiments, the delivery channel splits into 2, 3, 4, 5, 6, 7, 8, 9 or 10 separate delivery channels. Additionally, the delivery channel can split multiple time along the length of the channel. For example, the channel can split into two, merge into one and then split into 3 along the length of the channel.
The flow of gas through the multi-channel gas distribution apparatus shown in FIG. 18 may not be uniform among the three channels. The uniformity of gas flow between the channels can be affected by a number of factors including, but not limited to, gas pressure, vacuum pressure, temperature, flow rate and from static pressure drops along the length. FIG. 19 shows another embodiment of a gas distribution apparatus 1900 in which the delivery channel 1902 splits into three separate channels 1902a, 1902b, 1902c each with its own outlet valve 1912a, 1912b, 1912c. The apparatus 1900 shown includes an inlet end 1904 connected through an inlet valve 1914 to an inlet 1910. The delivery channel 1902 includes a plurality of apertures 1908 spaced along the length of each of the separate channels 1902a, 1902b, 1902c. The apertures can be evenly spaced or unevenly spaced along the length of the channels. Each channel has a separate outlet 1912a, 1912b, 1912c with separate outlet valves 1916a, 1916b, 1916c. Each of the outlet valves 1916a, 1916b, 1916c is connected to a controller 1950 that can independently control each of the outlet valves 1916a, 1916b, 1916c. In this embodiments, the controller 1950 can set the outlet valves to closed, fully open, or at any point in between. For example, if the flow of gas through one of the channels is lower than the others, the controller 1950 may open the outlet valve of that channel to accelerate the flow or may open the outlet valves of the other channels to accelerate flow and cause less gas to exit the channels through the apertures to cause a more uniform flow.
Multiple separate channels can also be employed. FIG. 20 shows an embodiment of a gas distribution apparatus 2000 with five separate gas delivery channels 2002a, 2002b, 2002c, 2002d, 2002e. Each of the delivery channels 2002a, 2002b, 2002c, 2002d, 2002e includes an inlet valve 2014a, 2014b, 2014c, 2014d, 2014e and an outlet valve 2016a, 2016b, 2016c, 2016d, 2016e. Four spiral shaped delivery channels 2002a-d are shown leaving a void area 2060 at the center of the four channels. The fifth delivery channel 2002e passes between the spirals and oscillates in the void area 2060 to prevent dead space in the gas flow. The fifth delivery channel 2002e is shown with an intermediate outlet valve 2044. Each of the delivery channels can be configured to deliver the same gas, or can deliver separate gases.
In one embodiment, the five channels cover a single substrate and each channel delivers the same reactive gas. The substrate may be rotated beneath the delivery channels, or the channels may rotate or oscillate over the substrate. In another embodiment, alternative delivery channels (e.g., 2002a, 2002c) can deliver a first reactive gas and the other channels (e.g., 2002b, 2002d) can deliver a second reactive gas. The fifth channel 2002e can be configured to deliver an inert gas to form a curtain between the separate channels to separate the gases and prevent gas-phase reactions. Rotating the substrate beneath these channels would expose alternating quarters to the same gas followed by the second reactive gas to deposit a film. In this embodiment, the portion of the substrate in the void area 2060 would not have a deposited layer.
In another embodiment, each of the channels can deliver the same gas but be sized so that a single substrate would be covered by a single delivery channel allowing the processing of multiple substrates by moving the substrates from one delivery channel to the adjacent channel. Each channel can be configured to deliver the same gas or separate gases and the fifth channel can be configures to deliver an inert gas to form a curtain separating the reaction regions adjacent the delivery channels. The fifth delivery channel, and any other gas delivery channel described herein can have multiple inlets and a single outlet, or multiple outlets. For example the fifth delivery channel shown may have an inlet at either end and a single outlet in the middle to create a stronger gas curtain to separate the other delivery channels.
Again, the shape and number of outlets can vary depending on the desired use. The spiral shape shown in FIG. 20 is merely exemplary and should not be taken as limiting the scope of the invention. The shape of the gas delivery channel(s) can be modified for a number of reasons. In some embodiments, the gas delivery channel is shaped for spell words (e.g., “Applied Materials”) or form a logo. For example, FIG. 21 shows three delivery channels 2102a, 2102b, 2102c roughly forming the logo of Applied Materials, Inc. of Santa Clara, Calif. The first gas delivery channel 2102a and second gas delivery channel 2102b each have a single inlet valve 2114a, 2114b and a single outlet valve 2116a, 2116b. The third gas delivery channel 2102c has a single inlet valve 2114c and two outlet valves 2116c, 2116d. Along the length, the third gas delivery channel 2102c splits into two channels, reforms into a single channel and then splits into two channels again. In another embodiment, inlet valves and outlet valves of the third delivery channel are reversed so that there are two inlet valves and a single outlet valve.
The gas flows coming from the surface of the gas distribution apparatus seen by the substrate can be uniform or striated. For example, a substrate passing beneath the dual spiral gas distribution apparatus shown in FIG. 9 will see alternating rings of gases. In some embodiments, the plurality of delivery channels are shaped so that the hole pattern seen by a substrate is uniform across the gas distribution apparatus. FIGS. 22A and 22B show part an embodiment of a gas distribution apparatus 2203 in which the gas flows seen by a substrate would be uniform. FIG. 22A shows the back side 2201 of a gas distribution apparatus 2203 with a plurality of alternating gas channels 2202a, 2202b. The gas channels 2202a, 2202b undulate with the holes 2208a, 2208b spaced along the length of the gas channels so that hole 2208 pattern seen on the front side 2205 in FIG. 22B is uniform. Additionally, the gas flows seen by the substrate are uniform because there is a uniform distribution of holes across the gas distribution apparatus front. Looking at FIG. 22B, the top row of holes 2208 would alternate between the first gas and the second gas, with the next row having the reverse pattern. Thus, of the twelve holes 2208 shown, the first gas will flow out of six of the holes and the second gas will flow out of the other six holes.
There can be multiple inlet valves 2214a, 2214b, as shown in FIG. 22A, or can be a single valve split into multiple channels. Additionally, there can be multiple outlet valves 2216a, 2216b, as shown in FIG. 22B, or there can be a single outlet valve joining each of the channels.
The gas distribution apparatus described can be used to form one or more layers during a plasma enhanced atomic layer deposition (PEALD) process. In some processes, the use of plasma provides sufficient energy to promote a species into the excited state where surface reactions become favorable and likely. Introducing the plasma into the process can be continuous or pulsed. In some embodiments, sequential pulses of precursors (or reactive gases) and plasma are used to process a layer. In some embodiments, the reagents may be ionized either locally (i.e., within the processing area) or remotely (i.e., outside the processing area). Remote ionization can occur upstream of the deposition chamber such that ions or other energetic or light emitting species are not in direct contact with the depositing film. In some PEALD processes, the plasma is generated external from the processing chamber, such as by a remote plasma generator system. The plasma may be generated via any suitable plasma generation process or technique known to those skilled in the art. For example, plasma may be generated by one or more of a microwave (MW) frequency generator or a radio frequency (RF) generator. The frequency of the plasma may be tuned depending on the specific reactive species being used. Suitable frequencies include, but are not limited to, 2 MHz, 13.56 MHz, 40 MHz, 60 MHz and 100 MHz. Although plasmas may be used during the deposition processes disclosed herein, it should be noted that plasmas may not be required.
According to one or more embodiments, the gas distribution apparatus can be used to subject a substrate to processing prior to and/or after forming the layer. This processing can be performed in the same chamber or in one or more separate processing chambers. In some embodiments, the substrate is moved from the first chamber to a separate, second chamber for further processing. The substrate can be moved directly from the first chamber to the separate processing chamber, or it can be moved from the first chamber to one or more transfer chambers, and then moved to the desired separate processing chamber. Accordingly, the processing apparatus may comprise multiple chambers in communication with a transfer station. An apparatus of this sort may be referred to as a “cluster tool” or “clustered system”, and the like.
Generally, a cluster tool is a modular system comprising multiple chambers which perform various functions including substrate center-finding and orientation, degassing, annealing, deposition and/or etching. According to one or more embodiments, a cluster tool includes at least a first chamber and a central transfer chamber. The central transfer chamber may house a robot that can shuttle substrates between and among processing chambers and load lock chambers. The transfer chamber is typically maintained at a vacuum condition and provides an intermediate stage for shuttling substrates from one chamber to another and/or to a load lock chamber positioned at a front end of the cluster tool. Two well-known cluster tools which may be adapted for the present invention are the Centura® and the Endura®, both available from Applied Materials, Inc., of Santa Clara, Calif. The details of one such staged-vacuum substrate processing apparatus is disclosed in U.S. Pat. No. 5,186,718, entitled “Staged-Vacuum Wafer Processing Apparatus and Method,” Tepman et al., issued on Feb. 16, 1993. However, the exact arrangement and combination of chambers may be altered for purposes of performing specific steps of a process as described herein. Other processing chambers which may be used include, but are not limited to, cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, chemical clean, thermal treatment such as RTP, plasma nitridation, degas, orientation, hydroxylation and other substrate processes. By carrying out processes in a chamber on a cluster tool, surface contamination of the substrate with atmospheric impurities can be avoided without oxidation prior to depositing a subsequent film.
According to one or more embodiments, the substrate is continuously under vacuum or “load lock” conditions, and is not exposed to ambient air when being moved from one chamber to the next. The transfer chambers are thus under vacuum and are “pumped down” under vacuum pressure. Inert gases may be present in the processing chambers or the transfer chambers. In some embodiments, an inert gas is used as a purge gas to remove some or all of the reactants after forming the silicon layer on the surface of the substrate. According to one or more embodiments, a purge gas is injected at the exit of the deposition chamber to prevent reactants from moving from the deposition chamber to the transfer chamber and/or additional processing chamber. Thus, the flow of inert gas forms a curtain at the exit of the chamber.
A substrate can be processed in single substrate deposition chambers using, for example, the gas distribution apparatus described. In such chambers, a single substrate is loaded, processed and unloaded before another substrate is processed. A substrate can also be processed in a continuous manner, like a conveyer system, in which multiple substrate are individually loaded into a first part of the chamber, move through the chamber and are unloaded from a second part of the chamber. The shape of the chamber and associated conveyer system can form a straight path or curved path. Additionally, the processing chamber may be a carousel in which multiple substrates are moved about a central axis and are exposed to deposition, etch, annealing, cleaning, etc. processes throughout the carousel path.
During processing, the substrate can be heated or cooled. Such heating or cooling can be accomplished by any suitable means including, but not limited to, changing the temperature of the substrate support and flowing heated or cooled gases to the substrate surface. In some embodiments, the substrate support includes a heater/cooler which can be controlled to change the substrate temperature conductively. In one or more embodiments, the gases (either reactive gases or inert gases) being employed are heated or cooled to locally change the substrate temperature. In some embodiments, a heater/cooler is positioned within the chamber adjacent the substrate surface to convectively change the substrate temperature.
The substrate can also be stationary or rotated during processing. A rotating substrate can be rotated continuously or in discreet steps. For example, a substrate may be rotated throughout the entire process, or the substrate can be rotated by a small amount between exposure to different reactive or purge gases. Rotating the substrate during processing (either continuously or in steps) may help produce a more uniform deposition or etch by minimizing the effect of, for example, local variability in gas flow geometries.
Although the invention herein has been described with reference to particular embodiments, it is to be understood that these embodiments are merely illustrative of the principles and applications of the present invention. It will be apparent to those skilled in the art that various modifications and variations can be made to the method and apparatus of the present invention without departing from the spirit and scope of the invention. Thus, it is intended that the present invention include modifications and variations that are within the scope of the appended claims and their equivalents.

Claims (31)

What is claimed is:
1. A gas distribution apparatus for controlling flow of gas into a process chamber, comprising:
a spiral delivery channel having an inlet end, an outlet end and a length, the delivery channel having a plurality of apertures spaced along the length;
an inlet on the inlet end of the delivery channel, the inlet connectable to a gas source, wherein flow of the gas is controllable by a gas valve in communication with the inlet; and
an outlet on the outlet end of the delivery channel, the outlet connectable to a vacuum source, wherein vacuum pressure through the outlet is controllable by an outlet valve to provide a reduced pressure at the outlet; and
a controller to regulate the flow of the gas through the delivery channel and into the process chamber by opening and closing the outlet valve during gas delivery and gas purging in the channel to control the flow of gas through the apertures along the length of the channel.
2. The gas distribution apparatus of claim 1, wherein a flow of gas through the gas distribution apparatus has a more uniform conductance along an axial length of the gas distribution apparatus than the flow of gas through a similar gas distribution apparatus without the vacuum source connected to the outlet.
3. The gas distribution apparatus of claim 1, wherein when the gas valve is closed, the gas is purged from the delivery channel faster than a similar gas distribution apparatus without the vacuum source.
4. The gas distribution apparatus of claim 1, wherein the delivery channel is a recessed channel in a back side of a gas distribution plate and the plurality of apertures extend through the gas distribution plate to a front side of the gas distribution plate.
5. The gas distribution apparatus of claim 4, wherein the gas distribution plate is round and the delivery channel forms a spiral shape with one of the inlet end and outlet end positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate.
6. The gas distribution apparatus of claim 3 4, wherein the inlet end is positioned at an outer peripheral region of the gas distribution plate and the outlet end is positioned at a central region of the gas distribution plate.
7. The gas distribution apparatus of claim 3 4, wherein the outlet end is positioned at an outer peripheral region of the gas distribution plate and the inlet end is positioned at a central region of the gas distribution plate.
8. The gas distribution apparatus of claim 4, wherein there are two delivery channels recessed in the back side of the gas distribution plate.
9. The gas distribution apparatus of claim 8, wherein each of the delivery channels forms a spiral shape with one of the inlet end and outlet end positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate.
10. The gas distribution apparatus of claim 9, wherein the two delivery channels are intertwined along the spiral shape.
11. The gas distribution apparatus of claim 9, wherein each delivery channel has the inlet end positioned in the outer periphery region of the gas distribution plate and the outlet end positioned in the central region of the gas distribution plate.
12. The gas distribution apparatus of claim 9, wherein each delivery channel has the outlet end positioned in the outer periphery region of the gas distribution plate and the inlet end positioned in the central region of the gas distribution plate.
13. The gas distribution apparatus of claim 9, wherein inlet end of one delivery channel is positioned in the outer periphery region of the gas distribution plate and the outlet end of the other delivery channel is positioned in the outer periphery region of the gas distribution plate.
14. The gas distribution apparatus of claim 4, further comprising a back cover on the back side of the gas distribution plate, the back cover covering the recessed channel.
15. The gas distribution apparatus of claim 1, wherein the delivery channel is a tubular spiral having a substantially planar shape.
16. The gas distribution apparatus of claim 15, wherein the gas distribution apparatus comprises a plurality of delivery channels.
17. The gas distribution apparatus of claim 16, wherein more than one of the delivery channels are connected to the inlet so that a gas flowing through the inlet flows through each of the delivery channels.
18. The gas distribution apparatus of claim 17, wherein each of the delivery channels connected to the inlet merge and are connected to one outlet.
19. The gas distribution apparatus of claim 17, wherein each of the delivery channels connected to the inlet has a separate outlet connected to a separate outlet valve.
20. The gas distribution apparatus of claim 19, wherein the controller independently adjusts each of the outlet valves to maintain a substantially uniform flow of gas through each of the delivery channels.
21. The gas distribution apparatus of claim 16, wherein the plurality of delivery channels are shaped so that the a hole pattern seen by a substrate is uniform across the gas distribution apparatus.
22. A processing chamber comprising the gas distribution apparatus of claim 1.
23. The processing chamber of claim 22, wherein the gas distribution apparatus comprises a tubular spiral having a substantially planar shape, the gas distribution apparatus positioned between a substrate support and a gas distribution plate.
24. A gas distribution apparatus, comprising:
a spiral gas delivery channel recessed in a back side of a gas distribution plate, the recessed gas delivery channel having an inlet end, an outlet end and a length, the gas delivery channel having a plurality of apertures spaced along the length extending through the gas distribution plate to a front side of the gas distribution plate so that gas flowing through the gas delivery channel can pass through the apertures exiting the gas distribution plate;
a back cover on the back side of the gas distribution plate, the back cover covering the recessed channel;
an inlet connected to the inlet end of the gas delivery channel through the back cover, the inlet connectable to a gas source, wherein a flow of gas is controllable by a gas valve in communication with the inlet;
an outlet connected to the outlet end of the gas delivery channel through the back cover, the outlet connectable to a vacuum source, wherein vacuum pressure through the outlet is controllable by an outlet valve to provide a reduced pressure at the outlet; and
a controller to regulate the flow of the gas through the gas delivery channel and into a process chamber by opening and closing the outlet valve during gas delivery and gas purging to control the flow of gas through the apertures along the length of the channel.
25. The gas distribution apparatus of claim 24, wherein the gas distribution plate is round and the delivery channel forms a spiral shape with one of the inlet end and outlet end positioned in an outer peripheral region of the gas distribution plate and the other of the inlet end and outlet end positioned in a central region of the gas distribution plate.
26. The gas distribution apparatus of claim 25, wherein there are two delivery channels recessed in the back side of the gas distribution plate, the two delivery channels intertwined along the spiral shape.
27. A gas distribution apparatus, comprising:
a plate having a front surface and a back surface;
a first recessed channel formed in the back surface of the plate and extending from a central region of the back surface to an outer peripheral region of the back surface;
a second recessed channel formed in the back surface of the plate and extending from the central region of the back surface to the outer peripheral region of the back surface;
a first plurality of apertures along the first recessed channel, each of the first plurality of apertures extending through the plate from the front surface to the first recessed channel; and
a second plurality of apertures along the second recessed channel, each of the second plurality of apertures extending through the plate from the front surface to the second recessed channel, wherein
the first recessed channel and the second recessed channel are intertwined, and
a surface of the first recessed channel and a surface of the second recessed channel each further comprise an upper portion that is disposed between a lower portion and the back surface, and the lower portion having a rounded shape.
28. The gas distribution apparatus of claim 27, wherein the rounded shape is a half-round shape or a half-elliptical shape.
29. The gas distribution apparatus of claim 27, wherein the first plurality of apertures extends from the lower portion of the surface of the first recessed channel to the front surface.
30. The gas distribution apparatus of claim 29, wherein the second plurality of apertures extends from the lower portion of the surface of the second recessed channel to the front surface.
31. The gas distribution apparatus of claim 27, wherein each aperture of the first plurality of apertures and the second plurality of apertures comprises a first section having a first diameter, a second section, and a third section that has a second diameter, wherein the second section is between the first and third sections and has a shape that tapers from the first diameter to the second diameter.
US15/678,883 2011-10-19 2017-08-16 Apparatus and method for providing uniform flow of gas Active 2033-08-23 USRE47440E1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US15/678,883 USRE47440E1 (en) 2011-10-19 2017-08-16 Apparatus and method for providing uniform flow of gas
US16/432,928 USRE48994E1 (en) 2011-10-19 2019-06-06 Apparatus and method for providing uniform flow of gas

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161548942P 2011-10-19 2011-10-19
US13/653,952 US9109754B2 (en) 2011-10-19 2012-10-17 Apparatus and method for providing uniform flow of gas
US15/678,883 USRE47440E1 (en) 2011-10-19 2017-08-16 Apparatus and method for providing uniform flow of gas

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/653,952 Reissue US9109754B2 (en) 2011-10-19 2012-10-17 Apparatus and method for providing uniform flow of gas

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/653,952 Continuation US9109754B2 (en) 2011-10-19 2012-10-17 Apparatus and method for providing uniform flow of gas

Publications (1)

Publication Number Publication Date
USRE47440E1 true USRE47440E1 (en) 2019-06-18

Family

ID=48134979

Family Applications (3)

Application Number Title Priority Date Filing Date
US13/653,952 Ceased US9109754B2 (en) 2011-10-19 2012-10-17 Apparatus and method for providing uniform flow of gas
US15/678,883 Active 2033-08-23 USRE47440E1 (en) 2011-10-19 2017-08-16 Apparatus and method for providing uniform flow of gas
US16/432,928 Active 2033-08-23 USRE48994E1 (en) 2011-10-19 2019-06-06 Apparatus and method for providing uniform flow of gas

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US13/653,952 Ceased US9109754B2 (en) 2011-10-19 2012-10-17 Apparatus and method for providing uniform flow of gas

Family Applications After (1)

Application Number Title Priority Date Filing Date
US16/432,928 Active 2033-08-23 USRE48994E1 (en) 2011-10-19 2019-06-06 Apparatus and method for providing uniform flow of gas

Country Status (5)

Country Link
US (3) US9109754B2 (en)
KR (3) KR102204305B1 (en)
CN (3) CN107365977B (en)
TW (4) TWI680255B (en)
WO (1) WO2013059591A1 (en)

Cited By (237)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160024656A1 (en) * 2014-07-28 2016-01-28 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020938B2 (en) 2022-07-07 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10804094B2 (en) * 2016-05-06 2020-10-13 Applied Materials, Inc. Methods of depositing SiCON with C, O and N compositional control
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
JP6320824B2 (en) * 2014-03-31 2018-05-09 株式会社東芝 Gas supply pipe and gas processing apparatus
US9914999B2 (en) 2015-04-28 2018-03-13 Applied Materials, Inc. Oxidized showerhead and process kit parts and methods of using same
CN105047543A (en) * 2015-06-17 2015-11-11 沈阳拓荆科技有限公司 Controllable temperature heating disc of spiral-type surface structure
TWI677593B (en) * 2016-04-01 2019-11-21 美商應用材料股份有限公司 Apparatus and method for providing a uniform flow of gas
JP6608332B2 (en) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 Deposition equipment
CN107419239A (en) * 2017-07-28 2017-12-01 京东方科技集团股份有限公司 For the shower nozzle of plated film, equipment and correlation method
JP7122102B2 (en) * 2017-11-08 2022-08-19 東京エレクトロン株式会社 Gas supply system and gas supply method
US10472716B1 (en) * 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
WO2020028062A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Methods and apparatus for ald processes
TW202020218A (en) * 2018-09-14 2020-06-01 美商應用材料股份有限公司 Apparatus for multi-flow precursor dosage
WO2020154162A1 (en) * 2019-01-25 2020-07-30 Mattson Technology, Inc. Post plasma gas injection in a separation grid
KR20210043810A (en) * 2019-10-14 2021-04-22 삼성전자주식회사 Semiconductor manufacturing apparatus
US11420217B2 (en) * 2019-12-19 2022-08-23 Applied Materials, Inc. Showerhead for ALD precursor delivery
CN113838735A (en) * 2020-06-24 2021-12-24 拓荆科技股份有限公司 Device for uniformly distributing gas
US11447865B2 (en) 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
CN113437035B (en) * 2021-05-27 2022-11-22 天津电气科学研究院有限公司 High-efficient two-sided crimping type liquid cooling ware
EP4190938B1 (en) * 2021-12-03 2024-03-06 Semsysco GmbH Distribution body for distributing a process gas for treating a substrate by means of the process gas
CN114783907B (en) * 2022-03-24 2022-10-11 盛吉盛半导体科技(北京)有限公司 Silicon wafer reaction equipment
CN115125517B (en) * 2022-06-23 2023-09-08 北京北方华创微电子装备有限公司 Gas distribution device and semiconductor process equipment

Citations (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US5451290A (en) 1989-08-14 1995-09-19 Applied Materials, Inc. Gas distribution system
US5500256A (en) * 1994-08-16 1996-03-19 Fujitsu Limited Dry process apparatus using plural kinds of gas
US5728223A (en) 1995-06-09 1998-03-17 Ebara Corporation Reactant gas ejector head and thin-film vapor deposition apparatus
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6059885A (en) 1996-12-19 2000-05-09 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus and method for forming thin film
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6167834B1 (en) 1986-12-19 2001-01-02 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6620289B1 (en) 1999-04-27 2003-09-16 Applied Materials, Inc Method and apparatus for asymmetric gas distribution in a semiconductor wafer processing system
US20030172872A1 (en) 2002-01-25 2003-09-18 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20030209323A1 (en) 2002-05-07 2003-11-13 Nec Electronics Corporation Production apparatus for manufacturing semiconductor device
US6647993B2 (en) 1998-07-13 2003-11-18 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US20040003777A1 (en) * 2002-07-08 2004-01-08 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040082251A1 (en) 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US20040127067A1 (en) * 2002-12-30 2004-07-01 Dunham Scott William Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040182417A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040216665A1 (en) 2003-04-29 2004-11-04 Asm International N.V. Method and apparatus for depositing thin films on a surface
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US20050092248A1 (en) 2003-10-31 2005-05-05 Sysnex Co., Ltd. Chemical vapor deposition unit
US20050092247A1 (en) 2003-08-29 2005-05-05 Schmidt Ryan M. Gas mixer and manifold assembly for ALD reactor
US20050173569A1 (en) 2004-02-05 2005-08-11 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050255257A1 (en) 2004-04-20 2005-11-17 Choi Soo Y Method of controlling the film properties of PECVD-deposited thin films
US20060021574A1 (en) 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060057824A1 (en) 2004-09-10 2006-03-16 Masahiro Araki Apparatus for producing nitride semiconductor, method for producing nitride semiconductor, and semiconductor laser device obtained by the method
US20060078483A1 (en) 2004-10-13 2006-04-13 Chevron U.S.A. Inc. Fluid distribution apparatus for downflow multibed poly-phase catalytic reactor
US7104476B2 (en) 2001-11-23 2006-09-12 Jusung Engineering Co., Ltd. Multi-sectored flat board type showerhead used in CVD apparatus
JP2007005491A (en) 2005-06-22 2007-01-11 Tokyo Electron Ltd Electrode assembly and plasma processing apparatus
US20070022954A1 (en) * 2003-09-03 2007-02-01 Tokyo Electron Limited Gas treatment device and heat readiting method
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
KR20080101794A (en) 2007-05-18 2008-11-21 도쿄엘렉트론가부시키가이샤 Method and system for introducing process fluid through a chamber component
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
CN101423937A (en) 2007-10-16 2009-05-06 应用材料股份有限公司 Multi-gas concentric injection showerhead
KR20090055443A (en) 2007-11-28 2009-06-02 주식회사 케이씨텍 Atomic layer deposition apparatus
KR20090069075A (en) 2007-12-24 2009-06-29 주식회사 케이씨텍 Suscepter assembly in atomic layer deposition apparatus
KR100920324B1 (en) 2007-08-24 2009-10-07 주식회사 케이씨텍 Injection Unit of Atomic Layer Deposition Device
KR100931331B1 (en) 2007-08-24 2009-12-15 주식회사 케이씨텍 Injection unit of thin film deposition apparatus
KR20100002886A (en) 2008-06-30 2010-01-07 주식회사 케이씨텍 Atomic layer deposition apparatus
KR20100003536A (en) 2008-07-01 2010-01-11 주식회사 케이씨텍 Atomic layer deposition apparatus
KR100936695B1 (en) 2007-12-26 2010-01-13 주식회사 케이씨텍 Atomic layer deposition apparatus
KR100936694B1 (en) 2007-12-27 2010-01-13 주식회사 케이씨텍 Atomic layer deposition apparatus having palasma generating portion
KR100946159B1 (en) 2007-08-24 2010-03-11 주식회사 케이씨텍 Atomic Layer Deposition Device
US20100075066A1 (en) * 2006-10-16 2010-03-25 Tokyo Electron Limited Plasma film forming apparatus and plasma film forming method
KR100949914B1 (en) 2007-11-28 2010-03-30 주식회사 케이씨텍 Atomic layer deposition apparatus
KR100949913B1 (en) 2007-11-28 2010-03-30 주식회사 케이씨텍 Atomic layer deposition apparatus
KR100960958B1 (en) 2007-12-24 2010-06-03 주식회사 케이씨텍 Apparatus for making thin film and method for making thin film
KR20100064341A (en) 2008-12-04 2010-06-14 도쿄엘렉트론가부시키가이샤 Substrate heating apparatus and substrate heating method
WO2011009002A2 (en) 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
KR101028408B1 (en) 2008-12-29 2011-04-13 주식회사 케이씨텍 Gas distribution unit and atomic layer deposition apparatus having the same
JP2011086776A (en) 2009-10-15 2011-04-28 Mitsubishi Electric Corp Thin film forming apparatus
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR101072670B1 (en) 2009-11-09 2011-10-11 주식회사 케이씨텍 Apparatus for atomic layer deposition
KR101081694B1 (en) 2009-11-10 2011-11-15 주식회사 케이씨텍 Atomic layer deposition apparatus for multi component layer deposition
KR101095687B1 (en) 2010-10-25 2011-12-20 주식회사 케이씨텍 Showerhead of atomic layer deposition apparatus
KR101134277B1 (en) 2010-10-25 2012-04-12 주식회사 케이씨텍 Atomic layer deposition apparatus
KR101136302B1 (en) 2010-11-16 2012-04-19 주식회사 케이씨텍 Atomic layer deposition apparatus and method to detect plasma thereof
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100479750B1 (en) 1999-02-06 2005-03-30 제일모직주식회사 method of preparing thermoplastic resin having excellent release property and antiabrasive property
JP2002248345A (en) * 2001-02-27 2002-09-03 Foi:Kk Plasma treatment system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20080260963A1 (en) * 2007-04-17 2008-10-23 Hyungsuk Alexander Yoon Apparatus and method for pre and post treatment of atomic layer deposition
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
JP5083193B2 (en) 2008-12-12 2012-11-28 東京エレクトロン株式会社 Film forming apparatus, film forming method, and storage medium

Patent Citations (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6167834B1 (en) 1986-12-19 2001-01-02 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US5451290A (en) 1989-08-14 1995-09-19 Applied Materials, Inc. Gas distribution system
US5500256A (en) * 1994-08-16 1996-03-19 Fujitsu Limited Dry process apparatus using plural kinds of gas
US5728223A (en) 1995-06-09 1998-03-17 Ebara Corporation Reactant gas ejector head and thin-film vapor deposition apparatus
US6090210A (en) 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5950925A (en) 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6059885A (en) 1996-12-19 2000-05-09 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus and method for forming thin film
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6647993B2 (en) 1998-07-13 2003-11-18 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6620289B1 (en) 1999-04-27 2003-09-16 Applied Materials, Inc Method and apparatus for asymmetric gas distribution in a semiconductor wafer processing system
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR20020028921A (en) 1999-06-30 2002-04-17 리차드 에이치. 로브그렌 Gas distribution apparatus for semiconductor processing
US6432831B2 (en) 1999-06-30 2002-08-13 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US20010027026A1 (en) 1999-06-30 2001-10-04 Rajinder Dhindsa Gas distribution apparatus for semiconductor processing
US7104476B2 (en) 2001-11-23 2006-09-12 Jusung Engineering Co., Ltd. Multi-sectored flat board type showerhead used in CVD apparatus
US20030124842A1 (en) 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US20030172872A1 (en) 2002-01-25 2003-09-18 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20090056626A1 (en) 2002-01-25 2009-03-05 Applied Materials, Inc. Apparatus for cyclical depositing of thin films
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20030209323A1 (en) 2002-05-07 2003-11-13 Nec Electronics Corporation Production apparatus for manufacturing semiconductor device
US20040003777A1 (en) * 2002-07-08 2004-01-08 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US20050133161A1 (en) 2002-07-08 2005-06-23 Carpenter Craig M. Apparatus and method for depositing materials onto microelectronic workpieces
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
US20040082251A1 (en) 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
US20040127067A1 (en) * 2002-12-30 2004-07-01 Dunham Scott William Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US20040182417A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Processing system and method for chemically treating a substrate
US20040216665A1 (en) 2003-04-29 2004-11-04 Asm International N.V. Method and apparatus for depositing thin films on a surface
US20050092247A1 (en) 2003-08-29 2005-05-05 Schmidt Ryan M. Gas mixer and manifold assembly for ALD reactor
US20070022954A1 (en) * 2003-09-03 2007-02-01 Tokyo Electron Limited Gas treatment device and heat readiting method
US20050092248A1 (en) 2003-10-31 2005-05-05 Sysnex Co., Ltd. Chemical vapor deposition unit
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050173569A1 (en) 2004-02-05 2005-08-11 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US8795793B2 (en) 2004-04-12 2014-08-05 Applied Materials, Inc. Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050255257A1 (en) 2004-04-20 2005-11-17 Choi Soo Y Method of controlling the film properties of PECVD-deposited thin films
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20060021574A1 (en) 2004-08-02 2006-02-02 Veeco Instruments Inc. Multi-gas distribution injector for chemical vapor deposition reactors
US20060057824A1 (en) 2004-09-10 2006-03-16 Masahiro Araki Apparatus for producing nitride semiconductor, method for producing nitride semiconductor, and semiconductor laser device obtained by the method
US20060078483A1 (en) 2004-10-13 2006-04-13 Chevron U.S.A. Inc. Fluid distribution apparatus for downflow multibed poly-phase catalytic reactor
JP2007005491A (en) 2005-06-22 2007-01-11 Tokyo Electron Ltd Electrode assembly and plasma processing apparatus
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
KR20080027459A (en) 2005-11-04 2008-03-27 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and process for plasma-enhanced atomic layer deposition
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US20100075066A1 (en) * 2006-10-16 2010-03-25 Tokyo Electron Limited Plasma film forming apparatus and plasma film forming method
KR20080101794A (en) 2007-05-18 2008-11-21 도쿄엘렉트론가부시키가이샤 Method and system for introducing process fluid through a chamber component
US8100082B2 (en) 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
KR100931331B1 (en) 2007-08-24 2009-12-15 주식회사 케이씨텍 Injection unit of thin film deposition apparatus
KR100920324B1 (en) 2007-08-24 2009-10-07 주식회사 케이씨텍 Injection Unit of Atomic Layer Deposition Device
KR100946159B1 (en) 2007-08-24 2010-03-11 주식회사 케이씨텍 Atomic Layer Deposition Device
CN101423936A (en) 2007-10-16 2009-05-06 应用材料股份有限公司 Multi-gas spiral channel showerhead
CN101423937A (en) 2007-10-16 2009-05-06 应用材料股份有限公司 Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
KR20090055443A (en) 2007-11-28 2009-06-02 주식회사 케이씨텍 Atomic layer deposition apparatus
KR100949914B1 (en) 2007-11-28 2010-03-30 주식회사 케이씨텍 Atomic layer deposition apparatus
KR100949913B1 (en) 2007-11-28 2010-03-30 주식회사 케이씨텍 Atomic layer deposition apparatus
KR100960958B1 (en) 2007-12-24 2010-06-03 주식회사 케이씨텍 Apparatus for making thin film and method for making thin film
KR20090069075A (en) 2007-12-24 2009-06-29 주식회사 케이씨텍 Suscepter assembly in atomic layer deposition apparatus
KR100936695B1 (en) 2007-12-26 2010-01-13 주식회사 케이씨텍 Atomic layer deposition apparatus
KR100936694B1 (en) 2007-12-27 2010-01-13 주식회사 케이씨텍 Atomic layer deposition apparatus having palasma generating portion
KR20100002886A (en) 2008-06-30 2010-01-07 주식회사 케이씨텍 Atomic layer deposition apparatus
KR20100003536A (en) 2008-07-01 2010-01-11 주식회사 케이씨텍 Atomic layer deposition apparatus
JP2010135569A (en) 2008-12-04 2010-06-17 Tokyo Electron Ltd Apparatus and method for heating substrate
KR20100064341A (en) 2008-12-04 2010-06-14 도쿄엘렉트론가부시키가이샤 Substrate heating apparatus and substrate heating method
KR101028408B1 (en) 2008-12-29 2011-04-13 주식회사 케이씨텍 Gas distribution unit and atomic layer deposition apparatus having the same
US20110011338A1 (en) 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
WO2011009002A2 (en) 2009-07-15 2011-01-20 Applied Materials, Inc. Flow control features of cvd chambers
JP2011086776A (en) 2009-10-15 2011-04-28 Mitsubishi Electric Corp Thin film forming apparatus
KR101072670B1 (en) 2009-11-09 2011-10-11 주식회사 케이씨텍 Apparatus for atomic layer deposition
KR101081694B1 (en) 2009-11-10 2011-11-15 주식회사 케이씨텍 Atomic layer deposition apparatus for multi component layer deposition
KR101095687B1 (en) 2010-10-25 2011-12-20 주식회사 케이씨텍 Showerhead of atomic layer deposition apparatus
KR101134277B1 (en) 2010-10-25 2012-04-12 주식회사 케이씨텍 Atomic layer deposition apparatus
KR101136302B1 (en) 2010-11-16 2012-04-19 주식회사 케이씨텍 Atomic layer deposition apparatus and method to detect plasma thereof
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
CN Office Action dated Jan. 14, 2018 for Application No. 201710650874.5.
International Search Report and Written Opinion dated Dec. 15, 2008 for PCT/US2008/080044.
International Search Report, dated Mar. 29, 2013 for PCT/U52012/061022.
International Search Report, mailed Mar. 29, 2013, for PCT/US2012/061022, which corresponds to the parent U.S. Appl. No. 13/653,952.
PCT International Preliminary Report on Patentability in PCT/US2012/061022, dated May 1, 2014, 9 pages.

Cited By (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US20160024656A1 (en) * 2014-07-28 2016-01-28 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12020934B2 (en) 2021-04-16 2024-06-25 Asm Ip Holding B.V. Substrate processing method
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12020938B2 (en) 2022-07-07 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode

Also Published As

Publication number Publication date
CN103890912A (en) 2014-06-25
WO2013059591A1 (en) 2013-04-25
TW201804111A (en) 2018-02-01
KR20140077213A (en) 2014-06-23
TW202024520A (en) 2020-07-01
TWI614446B (en) 2018-02-11
TWI786341B (en) 2022-12-11
CN108796472B (en) 2023-06-30
US20130098477A1 (en) 2013-04-25
KR20190095549A (en) 2019-08-14
USRE48994E1 (en) 2022-03-29
KR102166394B1 (en) 2020-10-15
US9109754B2 (en) 2015-08-18
TWI627368B (en) 2018-06-21
TW201326632A (en) 2013-07-01
TWI680255B (en) 2019-12-21
CN107365977B (en) 2023-02-28
CN107365977A (en) 2017-11-21
CN103890912B (en) 2018-07-20
KR102010469B1 (en) 2019-10-21
KR20200118259A (en) 2020-10-14
KR102204305B1 (en) 2021-01-15
CN108796472A (en) 2018-11-13
TW201840948A (en) 2018-11-16

Similar Documents

Publication Publication Date Title
USRE48994E1 (en) Apparatus and method for providing uniform flow of gas
US10519546B2 (en) Apparatus and method for providing a uniform flow of gas
US10400335B2 (en) Dual-direction chemical delivery system for ALD/CVD chambers
US8955547B2 (en) Apparatus and method for providing uniform flow of gas
US11186910B2 (en) Apparatus for multi-flow precursor dosage
US11821083B2 (en) Gas separation control in spatial atomic layer deposition
US20140174362A1 (en) Apparatus And Methods For Symmetrical Gas Distribution With High Purge Efficiency

Legal Events

Date Code Title Description
MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8