US20010027026A1 - Gas distribution apparatus for semiconductor processing - Google Patents

Gas distribution apparatus for semiconductor processing Download PDF

Info

Publication number
US20010027026A1
US20010027026A1 US09/814,972 US81497201A US2001027026A1 US 20010027026 A1 US20010027026 A1 US 20010027026A1 US 81497201 A US81497201 A US 81497201A US 2001027026 A1 US2001027026 A1 US 2001027026A1
Authority
US
United States
Prior art keywords
gas
showerhead
baffle plate
gas supply
baffle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/814,972
Other versions
US6432831B2 (en
Inventor
Rajinder Dhindsa
Fangli Hao
Eric Lenz
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US09/814,972 priority Critical patent/US6432831B2/en
Publication of US20010027026A1 publication Critical patent/US20010027026A1/en
Application granted granted Critical
Publication of US6432831B2 publication Critical patent/US6432831B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • the present invention relates to reaction chambers used for processing semiconductor substrates, such as integrated circuit wafers, and specifically to improvements in the gas distribution system used in these reaction chambers.
  • Semiconductor processing includes deposition processes such as chemical vapor deposition (CVD) of metal, dielectric and semiconducting materials, etching of such layers, ashing of photoresist masking layers, etc.
  • CVD chemical vapor deposition
  • plasma etching is conventionally used to etch metal, dielectric and semiconducting materials.
  • a parallel plate plasma reactor typically includes a gas chamber including one or more baffles, a showerhead electrode through which etching gas passes, a pedestal supporting the silicon wafer on a bottom electrode, an RF power source, and a gas injection source for supplying gas to the gas chamber. Gas is ionized by the electrode to form plasma and the plasma etches the wafer supported below the showerhead electrode.
  • showerhead electrodes for plasma processing of semiconductor substrates are disclosed in commonly assigned U.S. Pat. Nos. 5,074,456; 5,472,565; 5,534,751; and 5,569,356.
  • Other showerhead electrode gas distribution systems are disclosed in U.S. Pat. Nos.
  • the dielectric materials include doped silicon oxide such as fluorinated silicon oxide (FSG), undoped silicon oxide such as silicon dioxide, silicate glasses such as boron phosphate silicate glass (BPSG) and phosphate silicate glass (PSG), doped or undoped thermally grown silicon oxide, doped or undoped TEOS deposited silicon oxide, etc.
  • the dielectric dopants include boron, phosphorus and/or arsenic.
  • the dielectric can overlie a conductive or semiconductive layer such as polycrystalline silicon, metals such as aluminum, copper, titanium, tungsten, molybdenum or alloys thereof, nitrides such as titanium nitride, metal silicides such as titanium silicide, cobalt silicide, tungsten silicide, molybdenum silicide, etc.
  • a plasma etching technique wherein a parallel plate plasma reactor is used for etching openings in silicon oxide, is disclosed in U.S. Pat. No. 5,013,398.
  • U.S. Pat. No. 5,736,457 describes single and dual “damascene” metallization processes.
  • vias and conductors are formed in separate steps wherein a metallization pattern for either conductors or vias is etched into a dielectric layer, a metal layer is filled into the etched grooves or via holes in the dielectric layer, and the excess metal is removed by chemical mechanical planarization (CMP) or by an etch back process.
  • CMP chemical mechanical planarization
  • the metallization patterns for the vias and conductors are etched in a dielectric layer and the etched grooves and via openings are filled with metal in a single metal filling and excess metal removal process.
  • the present invention provides a gas distribution system which is a simple to manufacture design requiring a small number of baffle plates, while still achieving desired gas distribution delivered through a showerhead.
  • Gas flow can be optimized for any size substrate and/or gap between the showerhead and the semiconductor substrate being processed.
  • the present invention can improve heat transfer from a showerhead electrode to a cooled support plate, thereby creating better temperature uniformity across the electrode surface.
  • the present invention can provide generally continuous electrical contact among the components of a showerhead electrode gas distribution system.
  • a gas distribution apparatus includes a support plate and a showerhead which are secured to define a gas distribution chamber.
  • the chamber includes a baffle assembly including one or more baffle plates which can be used to achieve a desired pressure distribution across the showerhead.
  • Multiple gas supplies provide process gas into the gas distribution chamber where the process gas flows downward through the baffle assembly and through the showerhead.
  • a first embodiment of the invention includes a baffle assembly having an upper baffle plate.
  • a seal member such as an O-ring is at an intermediate location between the upper baffle plate and the support plate.
  • the seal member divides the space therebetween into inner and outer regions. Gas from a first gas supply directs gas into the inner region and gas from a second gas supply directs gas into the outer region.
  • the arrangement allows different gas chemistries and/or gas pressures to be provided to the inner and outer regions. As a result, better control of gas chemistry and/or gas pressure across the substrate can be achieved by preselecting process parameters or adjusting such process parameters during processing of a substrate.
  • middle and/or lower baffle plates can be arranged to define three plenums.
  • the first plenum is located between the upper and middle baffle plates.
  • the second plenum is located between the middle and lower baffle plates, and the third plenum is located between the lower baffle plate and the showerhead.
  • the plenums can be used to create a more uniform process gas pressure distribution across the showerhead.
  • the support member includes a recess in its lower side which defines the gas distribution chamber.
  • the support member has a first gas outlet supplying a first process gas into a central area of the recess chamber and a second gas outlet supplying a second process gas into a peripheral area of the recess.
  • Secured within the baffle chamber are an upper baffle plate and a lower baffle plate.
  • the upper baffle plate is arranged to receive gas exclusively from the first gas supply and the lower baffle plate is arranged to receive gas exclusively from the second gas supply.
  • a first set of gas passages in the upper baffle plate is in fluid connection with gas passages in the second baffle plate to create a set of flow-connected passages through which the first process gas passes directly from the upper baffle plate to the underside of the lower baffle plate.
  • the second process gas flows through a second set of gas passages in the lower baffle plate to its underside adjacent the backside of the showerhead.
  • the first process gas does not mix substantially with the second process gas before flowing to the underside of the lower baffle.
  • the space between the lower baffle and the showerhead can have spaced apart annular channels which allow the gases passing through the showerhead to be selectively controlled, e.g., to achieve uniform or nonuniform gas chemistry and/or pressure across the showerhead. Gas from both the first gas supply and the second gas supply flows through a third set of openings in the showerhead into a region spanning the substrate.
  • FIG. 1 is a sectional view of a gas distribution chamber according to the present invention.
  • FIG. 2 is an exploded perspective sectional view of a first embodiment of the present invention
  • FIG. 3 is a sectional view of the first embodiment of the present invention.
  • FIG. 4 is an exploded perspective view of a second embodiment of the present invention.
  • FIG. 5 is a sectional view of the second embodiment
  • FIG. 6 is a perspective sectional view of a lower baffle plate of the second embodiment of the present invention.
  • FIGS. 7 A-B show an etching process which can be carried out with the gas distribution system of the invention.
  • process gas can be uniformly distributed from one or more gas supplies to a substrate positioned underneath a showerhead.
  • the showerhead can be used in any type of semiconductor processing apparatus wherein it is desired to distribute process gas over a semiconductor substrate.
  • Such apparatus includes CVD systems, ashers, capacitive coupled plasma reactors, inductive coupled plasma reactors, ECR reactors, and the like.
  • FIG. 1 A gas distribution system for a parallel plate plasma reactor is shown in FIG. 1 wherein a support plate 20 and a showerhead 22 are secured together to define a sealed gas distribution chamber 24 .
  • a baffle assembly 26 including one or more baffle plates, is located between the support plate 20 and the showerhead 22 .
  • the geometry and arrangement of the baffle assembly 26 is configured to uniformly distribute gas to a backside 28 of the showerhead 22 .
  • semiconductor wafer processes such as chemical vapor deposition or dry-etch plasma processes, the controlled distribution of process gas across the substrate is desirable in order to increase the consistency and yield of these processes.
  • the baffle assembly 26 includes baffle plate 30 A and optional baffle plates 30 B and 30 C.
  • the baffle plates 30 A- 30 C are positioned within a recess 32 defined by a peripheral upwardly-projecting side 34 of the showerhead 22 .
  • the upper baffle plate 30 A is spaced from a bottom surface 36 of the support plate 20 by an O-ring 38 .
  • the O-ring 38 divides space between the upper baffle plate 30 A and the support plate 20 into two regions, each of which can be supplied process gas having different gas chemistries, pressures and/or flow rates. Gas from a first gas supply 40 flows into a central region 42 between the upper baffle plate 30 A and the support plate 20 .
  • Gas from a second gas supply 44 flows into an annular channel 44 a and then into a peripheral region 46 between the upper baffle plate 30 A and the support plate 20 .
  • the middle and bottom plates 30 B, 30 C can be arranged below the upper baffle plate 30 A to define open plenums 48 A, 48 B therebetween and an open plenum 48 C between the bottom baffle plate 30 C and the showerhead 22 .
  • Each gas supply creates a pressure distribution across the surface of the upper baffle plate 30 A wherein the gas pressure is highest adjacent the gas supply outlet and decreases in a direction away from the outlet.
  • first and second mass flow controllers 50 A, 50 B which are connected to the first and second gas supplies 40 , 44 .
  • Each mass flow controller 50 A, 50 B can be supplied a desired gas mixture by adjusting flow rates of two or more gases supplied from gas supplies 50 C, 50 D, 50 E, 50 F, etc.
  • Process gas is distributed across the central region 42 and peripheral region 46 between the upper baffle plate 30 A and the support plate 20 , and passes through openings 52 A in the upper baffle plate 30 A into the open plenum 48 A between the upper and middle baffle plates 30 A, 30 B. Thereafter, gas flows downward through openings 52 B in the middle baffle plate 30 B into an open plenum 48 B between the middle and bottom baffle plates 30 B, 30 C, then through openings 52 C in the bottom baffle plate 30 C into an open plenum 48 C between the bottom baffle plate 30 C and the showerhead 22 , and ultimately through openings 54 in the showerhead 22 before reaching a substrate.
  • FIGS. 4 - 6 A second embodiment of the gas distribution system is shown in FIGS. 4 - 6 .
  • the baffle assembly of the second embodiment includes two baffle plates 56 A, 56 B.
  • the upper baffle plate 56 A includes portions in contact with the support plate 20 and the lower baffle plate 56 B includes portions in contact with the showerhead 22 .
  • Surface to surface contact between the support plate 20 , baffle assembly 26 and the showerhead 22 both facilitates heat transfer between the showerhead 22 , the baffle assembly 26 and the support plate 20 , and can provide an electrically conductive path between the showerhead 22 , baffle assembly 26 and the support plate 20 in the case where the showerhead is used as a top electrode.
  • the temperature controlled support plate 20 acts as a heat sink, drawing heat from the showerhead 22 through the baffle assembly 26 .
  • coolant can be circulated through cooling channels 58 in the support plate 20 to dissipate heat generated during processing of a substrate.
  • a first gas supply 60 is configured to feed gas to a central recess 62 in the upper baffle plate 56 A.
  • a second gas supply 64 feeds gas to an annular manifold 66 which distributes gas to a peripheral region 68 above the lower baffle plate 56 B.
  • the manifold 66 may be integral with the support plate 20 or can comprise a separate component of the gas distribution system.
  • the upper baffle plate 56 A includes radially extending channels 70 which distribute gas from the generally centrally located first gas supply 60 to the periphery of the upper baffle plate 56 A.
  • the channels 70 are defined between contact surfaces 72 which contact the bottom surface 36 of the support plate 20 . Heat and electric current flows from the upper baffle plate 56 A to the support plate 20 through the surfaces 72 .
  • the top surface of the lower baffle plate 56 B includes radially extending channels 74 which distribute gas from the peripherally located manifold 66 to an annular channel 76 in a central part of the lower baffle plate 56 B.
  • the radially extending channels 74 are defined between contact surfaces 78 which thermally and electrically contact the upper baffle plate 56 A.
  • Openings 80 located in the radially extending channels 70 in the upper baffle plate are flow-connected to a first set of openings 82 in the lower baffle plate 56 B. That is, the openings 80 in the upper baffle plate 56 A and the first set of openings 82 in the lower baffle plate 56 B define a generally continuous and uninterrupted fluid pathway from the first gas supply 60 through the upper and lower baffle plates 56 A, 56 B. Gas from the second gas supply 64 flows through a second set of openings 84 in the channels 74 in the lower baffle plate 56 B.
  • the flow-connected openings 80 , 82 and the second set of openings 84 are arranged to prevent significant mixing between gas from the first gas supply 60 and the second gas supply 64 . Such an arrangement will allow some gas to migrate between the upper and lower baffle plates. To prevent such migration, the upper and lower baffle plates could be adhesively or metallurgically bonded together in a manner which prevents the two gases from mixing together.
  • the flow-connected openings 80 , 82 are created by aligning the openings 80 in the upper baffle plate with the first set of openings 82 in the lower baffle plate by any suitable technique such as mating alignment features such as locating pins.
  • suitable technique such as mating alignment features such as locating pins.
  • other techniques for connecting openings 80 to openings 82 include interposition of a patterned gasket between the upper and lower baffles or the provision of individual tubes bonded between the openings in the upper and lower baffle plates.
  • the bottom surface of the lower baffle plate 56 B includes annular downwardly-projecting wall portions 86 which thermally and electrically contact the top surface of the showerhead 22 .
  • Both the flow-connected openings 80 , 82 and the second set of openings 84 open into radially spaced annular channels 88 defined by the downwardly-projecting wall portions 86 .
  • the channels 88 could be formed in the upper surface of the showerhead or the space between the lower baffle plate and the showerhead could be an open plenum with or without contact portions therebetween for conducting heat away from the showerhead and/or supplying electrical power to the showerhead.
  • gas from the first gas supply 60 flows through flow-connected openings 80 , 82 in the upper baffle plate 56 A and the lower baffle plate 56 B, and gas from the second gas supply 64 flows through the second set of openings 84 in the lower baffle plate 56 B.
  • Gas from both the first and second gas supplies 60 , 64 mixes in the channels 88 in the underside of the lower baffle plate above the top surface of the showerhead 22 and flows through a third set of openings 90 in the showerhead 22 toward the substrate.
  • gas from the first gas supply 60 sets up a pressure distribution wherein pressure is high proximate to the center of the lower baffle plate 56 B and low at the periphery of the lower baffle plate 56 B whereas the gas from the second gas supply 64 sets up a pressure distribution wherein pressure is high at the periphery and low at the center-of the lower baffle. Consequently, with the baffle arrangement of the invention, the pressure seen at the backside of the showerhead can be made more uniform across the backside of the showerhead.
  • the gas distribution system can provide a controlled, nonuniform gas pressure distribution across the backside 28 of the showerhead 22 .
  • the flow through the second gas supply 64 can be selectively increased relative to the flow through the first gas supply 60 .
  • the flow through the first gas supply 60 can be increased relative to the flow through the second gas supply 64 .
  • the gas distribution system can supply different gas chemistries to one or more annular zones above the wafer. Because the gas chemistry, flow rate and pressure can be made uniform circumferentially around each such annular zone but varied radially from zone to zone it is possible to effect uniform processing of a wafer during processes wherein the processing conditions at the wafer surface vary across the wafer.
  • FIGS. 7 A-B show schematics of how a dual-damascene structure can be etched in a single step in accordance with the invention.
  • FIG. 7A shows a pre-etch condition wherein an opening 500 corresponding to a trench is provided in a photoresist masking layer 520 which overlies a stack of a first dielectric layer 540 such as silicon oxide, a first stop layer 560 such as silicon nitride, a second dielectric layer 580 such as silicon oxide, a second stop layer 600 such as silicon nitride, and a substrate 620 such as a silicon wafer.
  • first stop layer 560 includes an opening 640 .
  • FIG. 7B shows the structure after etching wherein the opening 500 extends through the dielectric layer 540 to the first stop layer 560 and the opening 640 extends through the second dielectric 580 to the second stop layer 600 .
  • Such an arrangement can be referred to as a “self-aligned dual-damascene” structure.
  • process gas conditions supplied by the first and second gas supplies in the first and second embodiments can be changed relative to each other, e.g., during etching of the trench 500 a mixture of Ar, oxygen and fluorocarbons (e.g., CHF 3 and C 4 F 8 ) can be supplied and during etching of the vias 640 the flow of the oxygen to the central region of the wafer can be decreased.
  • the process gas can include a hydrocarbon such as C 2 H 4 and the hydrocarbon to oxygen gas flow rate ratio can be varied radially to achieve uniform etching.
  • the flow of gases to the center and edge of the wafer can be adjusted to compensate for edge fast etching and center fast etching conditions in the plasma chamber.
  • edge fast etch conditions can occur until the photoresist is eroded after which center fast etch conditions can occur.
  • the gas distribution apparatus according to the invention more oxygen can be supplied in the center when the wafer has a photoresist layer whereas when the photoresist layer is eroded away, the flow of oxygen to the center can be reduced. As a result, more uniform etching can be achieved by compensating for the edge-fast and center-fast etch conditions.
  • the process of the invention is applicable to various plasma processes including plasma etching of various dielectric layers such as doped silicon oxide such as fluorinated silicon oxide (FSG), undoped silicon oxide such as silicon dioxide, spin-on-glass (SOG), silicate glasses such as boron phosphate silicate glass (BPSG) and phosphate silicate glass (PSG), doped or undoped thermally grown silicon oxide, doped or undoped TEOS deposited silicon oxide, etc.
  • the dielectric dopants include boron, phosphorus and/or arsenic.
  • the dielectric can overlie a conductive or semiconductive layer such as polycrystalline silicon, metals such as aluminum, copper, titanium, tungsten, molybdenum or alloys thereof, nitrides such as titanium nitride, metal silicides such as titanium silicide, cobalt silicide, tungsten silicide, molydenum silicide, etc.
  • a conductive or semiconductive layer such as polycrystalline silicon, metals such as aluminum, copper, titanium, tungsten, molybdenum or alloys thereof, nitrides such as titanium nitride, metal silicides such as titanium silicide, cobalt silicide, tungsten silicide, molydenum silicide, etc.
  • the plasma can be a high density plasma produced in various types of plasma reactors.
  • Such plasma reactors typically have high energy sources which use RF energy, microwave energy, magnetic fields, etc. to produce the high density plasma.
  • the high density plasma could be produced in a transformer coupled plasma (TCPTM) which is also called inductively coupled plasma reactor, an electron-cyclotron resonance (ECR) plasma reactor, a helicon plasma reactor, or the like.
  • TCPTM transformer coupled plasma
  • ECR electron-cyclotron resonance
  • helicon plasma reactor helicon plasma reactor

Abstract

A gas distribution system for uniformly or non-uniformly distributing gas across the surface of a semiconductor substrate. The gas distribution system includes a support plate and a showerhead which are secured together to define a gas distribution chamber therebetween. A baffle assembly including one or more baffle plates is located within the gas distribution chamber. The baffle arrangement includes a first gas supply supplying process gas to a central portion of the baffle chamber and a second gas supply supplying a second process gas to a peripheral region of the baffle chamber. Because the pressure of the gas is greater at locations closer to the outlets of the first and second gas supplies, the gas pressure at the backside of the showerhead can be made more uniform than in the case with a single gas supply. In one arrangement, the first and second gas supplies open into a plenum between a top baffle plate and a temperature controlled support member wherein the plenum is divided into the central and peripheral regions by an O-ring. In a second arrangement, the first gas supply opens into the central region above an upper baffle plate and the second gas supply opens into the periphery of a plenum between the upper baffle plate and a lower baffle plate.

Description

    FIELD OF THE INVENTION
  • The present invention relates to reaction chambers used for processing semiconductor substrates, such as integrated circuit wafers, and specifically to improvements in the gas distribution system used in these reaction chambers. [0001]
  • BACKGROUND OF THE INVENTION
  • Semiconductor processing includes deposition processes such as chemical vapor deposition (CVD) of metal, dielectric and semiconducting materials, etching of such layers, ashing of photoresist masking layers, etc. In the case of etching, plasma etching is conventionally used to etch metal, dielectric and semiconducting materials. A parallel plate plasma reactor typically includes a gas chamber including one or more baffles, a showerhead electrode through which etching gas passes, a pedestal supporting the silicon wafer on a bottom electrode, an RF power source, and a gas injection source for supplying gas to the gas chamber. Gas is ionized by the electrode to form plasma and the plasma etches the wafer supported below the showerhead electrode. [0002]
  • Showerhead electrodes for plasma processing of semiconductor substrates are disclosed in commonly assigned U.S. Pat. Nos. 5,074,456; 5,472,565; 5,534,751; and 5,569,356. Other showerhead electrode gas distribution systems are disclosed in U.S. Pat. Nos. 4,209,357; 4,263,088; 4,270,999; 4,297,162; 4,534,816; 4,579,618; 4,590,042; 4,593,540; 4,612,077; 4,780,169; 4,854,263; 5,006,220; 5,134,965; 5,494,713; 5,529,657; 5,593,540; 5,595,627; 5,614,055; 5,716,485; 5,746,875 and 5,888,907. [0003]
  • A common requirement in integrated circuit fabrication is the etching of openings such as contacts and vias in dielectric materials. The dielectric materials include doped silicon oxide such as fluorinated silicon oxide (FSG), undoped silicon oxide such as silicon dioxide, silicate glasses such as boron phosphate silicate glass (BPSG) and phosphate silicate glass (PSG), doped or undoped thermally grown silicon oxide, doped or undoped TEOS deposited silicon oxide, etc. The dielectric dopants include boron, phosphorus and/or arsenic. The dielectric can overlie a conductive or semiconductive layer such as polycrystalline silicon, metals such as aluminum, copper, titanium, tungsten, molybdenum or alloys thereof, nitrides such as titanium nitride, metal silicides such as titanium silicide, cobalt silicide, tungsten silicide, molybdenum silicide, etc. A plasma etching technique, wherein a parallel plate plasma reactor is used for etching openings in silicon oxide, is disclosed in U.S. Pat. No. 5,013,398. [0004]
  • U.S. Pat. No. 5,736,457 describes single and dual “damascene” metallization processes. In the “single damascene” approach, vias and conductors are formed in separate steps wherein a metallization pattern for either conductors or vias is etched into a dielectric layer, a metal layer is filled into the etched grooves or via holes in the dielectric layer, and the excess metal is removed by chemical mechanical planarization (CMP) or by an etch back process. In the “dual damascene” approach, the metallization patterns for the vias and conductors are etched in a dielectric layer and the etched grooves and via openings are filled with metal in a single metal filling and excess metal removal process. [0005]
  • It is desirable to evenly distribute the plasma over the surface of the wafer in order to obtain uniform etching rates over the entire surface of the wafer. Current gas distribution chamber designs include multiple baffles which are optimized to uniformly distribute etching gas to achieve the desired etching effect at the wafer. However, the current baffle and showerhead electrode designs are best suited to empirical optimization for uniform gas distribution for a particular gap between the wafer and the showerhead electrode and are difficult to adjust to varying gaps between the wafer and the showerhead. In addition, conventional gas distribution designs include baffles having hundreds of openings or complex, difficult to manufacture geometries to ensure even distribution of etching gas to the backside of the showerhead electrode. When etching large, twelve-inch (300 mm) wafers, controlling the process gas to create a uniform pressure distribution across the showerhead is even more difficult. The number of openings and baffles must be increased significantly to maintain uniform distribution of the etching gas. As the number of openings in the baffles increase and the number of baffles increase, the complexity and cost to manufacture such a gas distribution apparatus increase greatly. [0006]
  • SUMMARY OF THE INVENTION
  • The present invention provides a gas distribution system which is a simple to manufacture design requiring a small number of baffle plates, while still achieving desired gas distribution delivered through a showerhead. Gas flow can be optimized for any size substrate and/or gap between the showerhead and the semiconductor substrate being processed. In addition, the present invention can improve heat transfer from a showerhead electrode to a cooled support plate, thereby creating better temperature uniformity across the electrode surface. Furthermore, the present invention can provide generally continuous electrical contact among the components of a showerhead electrode gas distribution system. [0007]
  • A gas distribution apparatus according to the present invention includes a support plate and a showerhead which are secured to define a gas distribution chamber. The chamber includes a baffle assembly including one or more baffle plates which can be used to achieve a desired pressure distribution across the showerhead. Multiple gas supplies provide process gas into the gas distribution chamber where the process gas flows downward through the baffle assembly and through the showerhead. [0008]
  • A first embodiment of the invention includes a baffle assembly having an upper baffle plate. A seal member, such as an O-ring is at an intermediate location between the upper baffle plate and the support plate. The seal member divides the space therebetween into inner and outer regions. Gas from a first gas supply directs gas into the inner region and gas from a second gas supply directs gas into the outer region. The arrangement allows different gas chemistries and/or gas pressures to be provided to the inner and outer regions. As a result, better control of gas chemistry and/or gas pressure across the substrate can be achieved by preselecting process parameters or adjusting such process parameters during processing of a substrate. [0009]
  • If desired, middle and/or lower baffle plates can be arranged to define three plenums. The first plenum is located between the upper and middle baffle plates. The second plenum is located between the middle and lower baffle plates, and the third plenum is located between the lower baffle plate and the showerhead. The plenums can be used to create a more uniform process gas pressure distribution across the showerhead. [0010]
  • In a second embodiment of the present invention the support member includes a recess in its lower side which defines the gas distribution chamber. The support member has a first gas outlet supplying a first process gas into a central area of the recess chamber and a second gas outlet supplying a second process gas into a peripheral area of the recess. Secured within the baffle chamber are an upper baffle plate and a lower baffle plate. The upper baffle plate is arranged to receive gas exclusively from the first gas supply and the lower baffle plate is arranged to receive gas exclusively from the second gas supply. A first set of gas passages in the upper baffle plate is in fluid connection with gas passages in the second baffle plate to create a set of flow-connected passages through which the first process gas passes directly from the upper baffle plate to the underside of the lower baffle plate. The second process gas flows through a second set of gas passages in the lower baffle plate to its underside adjacent the backside of the showerhead. In this arrangement, the first process gas does not mix substantially with the second process gas before flowing to the underside of the lower baffle. The space between the lower baffle and the showerhead can have spaced apart annular channels which allow the gases passing through the showerhead to be selectively controlled, e.g., to achieve uniform or nonuniform gas chemistry and/or pressure across the showerhead. Gas from both the first gas supply and the second gas supply flows through a third set of openings in the showerhead into a region spanning the substrate.[0011]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The objects and advantages of the invention will be understood by reading the following detailed description in conjunction with the drawings in which: [0012]
  • FIG. 1 is a sectional view of a gas distribution chamber according to the present invention; [0013]
  • FIG. 2 is an exploded perspective sectional view of a first embodiment of the present invention; [0014]
  • FIG. 3 is a sectional view of the first embodiment of the present invention; [0015]
  • FIG. 4 is an exploded perspective view of a second embodiment of the present invention; [0016]
  • FIG. 5 is a sectional view of the second embodiment; [0017]
  • FIG. 6 is a perspective sectional view of a lower baffle plate of the second embodiment of the present invention; [0018]
  • FIGS. [0019] 7A-B show an etching process which can be carried out with the gas distribution system of the invention.
  • DETAILED DESCRIPTION OF THE EMBODIMENTS
  • For a better understanding of the invention, the following detailed description refers to the accompanying drawings, wherein preferred exemplary embodiments of the present invention are illustrated and described. In addition, the reference numbers used to identify like elements in the drawings are the same throughout. [0020]
  • According to the present invention, process gas can be uniformly distributed from one or more gas supplies to a substrate positioned underneath a showerhead. The showerhead can be used in any type of semiconductor processing apparatus wherein it is desired to distribute process gas over a semiconductor substrate. Such apparatus includes CVD systems, ashers, capacitive coupled plasma reactors, inductive coupled plasma reactors, ECR reactors, and the like. [0021]
  • A gas distribution system for a parallel plate plasma reactor is shown in FIG. 1 wherein a [0022] support plate 20 and a showerhead 22 are secured together to define a sealed gas distribution chamber 24. A baffle assembly 26, including one or more baffle plates, is located between the support plate 20 and the showerhead 22. According to the present invention, the geometry and arrangement of the baffle assembly 26 is configured to uniformly distribute gas to a backside 28 of the showerhead 22. In semiconductor wafer processes such as chemical vapor deposition or dry-etch plasma processes, the controlled distribution of process gas across the substrate is desirable in order to increase the consistency and yield of these processes.
  • As seen in FIGS. 2 and 3, in a first embodiment of the present invention the [0023] baffle assembly 26 includes baffle plate 30A and optional baffle plates 30B and 30C. The baffle plates 30A-30C, are positioned within a recess 32 defined by a peripheral upwardly-projecting side 34 of the showerhead 22. The upper baffle plate 30A is spaced from a bottom surface 36 of the support plate 20 by an O-ring 38. The O-ring 38 divides space between the upper baffle plate 30A and the support plate 20 into two regions, each of which can be supplied process gas having different gas chemistries, pressures and/or flow rates. Gas from a first gas supply 40 flows into a central region 42 between the upper baffle plate 30A and the support plate 20. Gas from a second gas supply 44 flows into an annular channel 44 a and then into a peripheral region 46 between the upper baffle plate 30A and the support plate 20. The middle and bottom plates 30B, 30C can be arranged below the upper baffle plate 30A to define open plenums 48A, 48B therebetween and an open plenum 48C between the bottom baffle plate 30C and the showerhead 22.
  • Each gas supply creates a pressure distribution across the surface of the [0024] upper baffle plate 30A wherein the gas pressure is highest adjacent the gas supply outlet and decreases in a direction away from the outlet. Thus, the relative gas pressures between the peripheral 46 and central 42 regions of the top surface of the upper baffle plate 30A can be adjusted using first and second mass flow controllers 50A, 50B which are connected to the first and second gas supplies 40, 44. Each mass flow controller 50A, 50B can be supplied a desired gas mixture by adjusting flow rates of two or more gases supplied from gas supplies 50C, 50D, 50E, 50F, etc.
  • Process gas is distributed across the [0025] central region 42 and peripheral region 46 between the upper baffle plate 30A and the support plate 20, and passes through openings 52A in the upper baffle plate 30A into the open plenum 48A between the upper and middle baffle plates 30A, 30B. Thereafter, gas flows downward through openings 52B in the middle baffle plate 30B into an open plenum 48B between the middle and bottom baffle plates 30B, 30C, then through openings 52C in the bottom baffle plate 30C into an open plenum 48C between the bottom baffle plate 30C and the showerhead 22, and ultimately through openings 54 in the showerhead 22 before reaching a substrate. Each time the gas enters into an open plenum, nonuniform pressure distribution is damped as any nonuniform pressure equalizes somewhat from areas of high pressure to areas of low pressure. Thus, by configuring the gas distribution system to define a plurality of plenums 48 between the baffle plates 30, a substantially uniform pressure distribution can be achieved at the backside 28 of the showerhead 22.
  • A second embodiment of the gas distribution system is shown in FIGS. [0026] 4-6. The baffle assembly of the second embodiment includes two baffle plates 56A, 56B. The upper baffle plate 56A includes portions in contact with the support plate 20 and the lower baffle plate 56B includes portions in contact with the showerhead 22. Surface to surface contact between the support plate 20, baffle assembly 26 and the showerhead 22 both facilitates heat transfer between the showerhead 22, the baffle assembly 26 and the support plate 20, and can provide an electrically conductive path between the showerhead 22, baffle assembly 26 and the support plate 20 in the case where the showerhead is used as a top electrode.
  • During processing, the temperature controlled [0027] support plate 20 acts as a heat sink, drawing heat from the showerhead 22 through the baffle assembly 26. For instance, coolant can be circulated through cooling channels 58 in the support plate 20 to dissipate heat generated during processing of a substrate.
  • In the second embodiment, a [0028] first gas supply 60 is configured to feed gas to a central recess 62 in the upper baffle plate 56A. A second gas supply 64 feeds gas to an annular manifold 66 which distributes gas to a peripheral region 68 above the lower baffle plate 56B. The manifold 66 may be integral with the support plate 20 or can comprise a separate component of the gas distribution system.
  • The [0029] upper baffle plate 56A includes radially extending channels 70 which distribute gas from the generally centrally located first gas supply 60 to the periphery of the upper baffle plate 56A. The channels 70 are defined between contact surfaces 72 which contact the bottom surface 36 of the support plate 20. Heat and electric current flows from the upper baffle plate 56A to the support plate 20 through the surfaces 72. Similarly, the top surface of the lower baffle plate 56B includes radially extending channels 74 which distribute gas from the peripherally located manifold 66 to an annular channel 76 in a central part of the lower baffle plate 56B. The radially extending channels 74 are defined between contact surfaces 78 which thermally and electrically contact the upper baffle plate 56A. Although the channels 70, 74 and 76 are shown in the upper surfaces of the upper and lower baffles, they could also be formed in lower surfaces of the support plate 20 and upper baffle plate.
  • [0030] Openings 80 located in the radially extending channels 70 in the upper baffle plate are flow-connected to a first set of openings 82 in the lower baffle plate 56B. That is, the openings 80 in the upper baffle plate 56A and the first set of openings 82 in the lower baffle plate 56B define a generally continuous and uninterrupted fluid pathway from the first gas supply 60 through the upper and lower baffle plates 56A, 56B. Gas from the second gas supply 64 flows through a second set of openings 84 in the channels 74 in the lower baffle plate 56B. The flow-connected openings 80, 82 and the second set of openings 84 are arranged to prevent significant mixing between gas from the first gas supply 60 and the second gas supply 64. Such an arrangement will allow some gas to migrate between the upper and lower baffle plates. To prevent such migration, the upper and lower baffle plates could be adhesively or metallurgically bonded together in a manner which prevents the two gases from mixing together.
  • Preferably, the flow-connected [0031] openings 80, 82 are created by aligning the openings 80 in the upper baffle plate with the first set of openings 82 in the lower baffle plate by any suitable technique such as mating alignment features such as locating pins. However, other techniques for connecting openings 80 to openings 82 include interposition of a patterned gasket between the upper and lower baffles or the provision of individual tubes bonded between the openings in the upper and lower baffle plates.
  • The bottom surface of the [0032] lower baffle plate 56B includes annular downwardly-projecting wall portions 86 which thermally and electrically contact the top surface of the showerhead 22. Both the flow-connected openings 80, 82 and the second set of openings 84 open into radially spaced annular channels 88 defined by the downwardly-projecting wall portions 86. The channels 88 could be formed in the upper surface of the showerhead or the space between the lower baffle plate and the showerhead could be an open plenum with or without contact portions therebetween for conducting heat away from the showerhead and/or supplying electrical power to the showerhead.
  • During semiconductor processing, gas from the [0033] first gas supply 60 flows through flow-connected openings 80, 82 in the upper baffle plate 56A and the lower baffle plate 56B, and gas from the second gas supply 64 flows through the second set of openings 84 in the lower baffle plate 56B. Gas from both the first and second gas supplies 60, 64 mixes in the channels 88 in the underside of the lower baffle plate above the top surface of the showerhead 22 and flows through a third set of openings 90 in the showerhead 22 toward the substrate.
  • Across the [0034] upper baffle plate 56A, gas pressure is highest near the centrally located first gas supply 60 and lowest near the periphery of the upper baffle plate 56A. Process gas flows downward through the flow-connected openings 82, 84 in the upper and lower baffle plates 56A, 56B to the open channels 88 in the underside of the lower baffle plate 56B. In operation, if the first and second gas supplies deliver gas at the same pressure, gas from the first gas supply 60 sets up a pressure distribution wherein pressure is high proximate to the center of the lower baffle plate 56B and low at the periphery of the lower baffle plate 56B whereas the gas from the second gas supply 64 sets up a pressure distribution wherein pressure is high at the periphery and low at the center-of the lower baffle. Consequently, with the baffle arrangement of the invention, the pressure seen at the backside of the showerhead can be made more uniform across the backside of the showerhead.
  • In an alternative processing scheme, the gas distribution system can provide a controlled, nonuniform gas pressure distribution across the [0035] backside 28 of the showerhead 22. For example, if high gas pressure around the periphery of the backside 28 of the showerhead 22 is desirable, the flow through the second gas supply 64 can be selectively increased relative to the flow through the first gas supply 60. Conversely, if relatively high gas pressure is desirable near the center of the backside 28 of the showerhead 22, the flow through the first gas supply 60 can be increased relative to the flow through the second gas supply 64. Thus, in the case of single wafer processing, the gas distribution system can supply different gas chemistries to one or more annular zones above the wafer. Because the gas chemistry, flow rate and pressure can be made uniform circumferentially around each such annular zone but varied radially from zone to zone it is possible to effect uniform processing of a wafer during processes wherein the processing conditions at the wafer surface vary across the wafer.
  • FIGS. [0036] 7A-B show schematics of how a dual-damascene structure can be etched in a single step in accordance with the invention. FIG. 7A shows a pre-etch condition wherein an opening 500 corresponding to a trench is provided in a photoresist masking layer 520 which overlies a stack of a first dielectric layer 540 such as silicon oxide, a first stop layer 560 such as silicon nitride, a second dielectric layer 580 such as silicon oxide, a second stop layer 600 such as silicon nitride, and a substrate 620 such as a silicon wafer. In order to obtain etching of vias through the first stop layer 560 in a single etching step, first stop layer 560 includes an opening 640. FIG. 7B shows the structure after etching wherein the opening 500 extends through the dielectric layer 540 to the first stop layer 560 and the opening 640 extends through the second dielectric 580 to the second stop layer 600. Such an arrangement can be referred to as a “self-aligned dual-damascene” structure.
  • During the etch process, process gas conditions supplied by the first and second gas supplies in the first and second embodiments can be changed relative to each other, e.g., during etching of the trench [0037] 500 a mixture of Ar, oxygen and fluorocarbons (e.g., CHF3 and C4F8) can be supplied and during etching of the vias 640 the flow of the oxygen to the central region of the wafer can be decreased. In the case of etching low-k dielectric layers, the process gas can include a hydrocarbon such as C2H4 and the hydrocarbon to oxygen gas flow rate ratio can be varied radially to achieve uniform etching. Thus, according to the invention the flow of gases to the center and edge of the wafer can be adjusted to compensate for edge fast etching and center fast etching conditions in the plasma chamber. For example, in a conventional plasma etcher, edge fast etch conditions can occur until the photoresist is eroded after which center fast etch conditions can occur. With the gas distribution apparatus according to the invention, more oxygen can be supplied in the center when the wafer has a photoresist layer whereas when the photoresist layer is eroded away, the flow of oxygen to the center can be reduced. As a result, more uniform etching can be achieved by compensating for the edge-fast and center-fast etch conditions.
  • The process of the invention is applicable to various plasma processes including plasma etching of various dielectric layers such as doped silicon oxide such as fluorinated silicon oxide (FSG), undoped silicon oxide such as silicon dioxide, spin-on-glass (SOG), silicate glasses such as boron phosphate silicate glass (BPSG) and phosphate silicate glass (PSG), doped or undoped thermally grown silicon oxide, doped or undoped TEOS deposited silicon oxide, etc. The dielectric dopants include boron, phosphorus and/or arsenic. The dielectric can overlie a conductive or semiconductive layer such as polycrystalline silicon, metals such as aluminum, copper, titanium, tungsten, molybdenum or alloys thereof, nitrides such as titanium nitride, metal silicides such as titanium silicide, cobalt silicide, tungsten silicide, molydenum silicide, etc. [0038]
  • The plasma can be a high density plasma produced in various types of plasma reactors. Such plasma reactors typically have high energy sources which use RF energy, microwave energy, magnetic fields, etc. to produce the high density plasma. For instance, the high density plasma could be produced in a transformer coupled plasma (TCP™) which is also called inductively coupled plasma reactor, an electron-cyclotron resonance (ECR) plasma reactor, a helicon plasma reactor, or the like. An example of a high flow plasma reactor which can provide a high density plasma is disclosed in commonly owned U.S. Pat. No. 5,820,723, the disclosure of which is hereby incorporated by reference. [0039]
  • The present invention has been described with reference to preferred embodiments. However, it will be readily apparent to those skilled in the art that it is possible to embody the invention in specific forms other than as described above without departing from the spirit of the invention. The preferred embodiment is illustrative and should not be considered restrictive in any way. The scope of the invention is given by the appended claims, rather than the preceding description, and all variations and equivalents which fall within the range of the claims are intended to be embraced therein. [0040]

Claims (31)

What is claimed is:
1. A gas distribution system useful for a reaction chamber used in semiconductor substrate processing, comprising:
a support member having a recess in a lower surface thereof, the support member having a first gas supply opening into a central area of the recess and a second gas supply opening into a peripheral area of the recess:
a baffle arrangement located in the recess such that gas from the first gas supply passes through first openings in the baffle arrangement and gas from the second gas supply passes through second openings in the baffle arrangement; and
a showerhead supported by the support member such that the gas passing through the first and second openings mixes together and passes through a third set of openings in the showerhead.
2. The gas distribution system of
claim 1
, wherein the showerhead is a top electrode and the support member is a temperature-controlled member of a plasma reaction chamber.
3. The gas distribution system of
claim 1
, wherein the support member comprises a support ring attached to a temperature-controlled member.
4. The gas distribution system of
claim 1
, wherein the baffle arrangement includes upper and lower baffle plates, the second gas supply suppling gas to one or more gas flow channels located between the upper and lower baffle plates, the gas from the second gas supply flowing through the channels in a direction from an outer region of the baffle plates towards an inner region of the baffle plates.
5. The gas distribution system of
claim 4
, wherein the channels are formed in a lower surface of the upper baffle plate and/or in an upper surface of the lower baffle plate.
6. The gas distribution system of
claim 5
, wherein the upper surface of the lower baffle plate is in thermal contact with the lower surface of the upper baffle plate.
7. The gas distribution system of
claim 5
, wherein the showerhead is an electrode, the upper and lower baffle plates are of an electrically conductive material and the upper surface of the lower baffle plate is in electrical contact with the lower surface of the upper baffle plate.
8. The gas distribution system of
claim 1
, wherein the baffle arrangement includes upper and lower baffle plates, the gas passing through the first and second openings mixes in gas flow channels located between the lower baffle plate and the showerhead.
9. The gas distribution system of
claim 8
, wherein the channels are formed in a lower surface of the lower baffle plate and/or in an upper surface of the showerhead, the lower surface of the lower baffle plate being in contact with the upper surface of the showerhead.
10. The gas distribution system of
claim 8
, wherein the showerhead is an electrode, the upper and lower baffle plates are of an electrically conductive material and the channels are formed in a lower surface of the lower baffle plate and/or in an upper surface of the showerhead, the lower surface of the lower baffle plate being in electrical and thermal contact with the upper surface of the showerhead.
11. The gas distribution system of
claim 1
, wherein the showerhead comprises a showerhead electrode.
12. The gas distribution system of
claim 1
, wherein the baffle arrangement includes a baffle plate and a seal member, the seal member separating a space between the baffle plate and the support member into central and peripheral regions, the first gas supply opening into the central region and the second gas supply opening into the peripheral region.
13. The gas distribution system of
claim 12
, wherein the seal member is an O-ring.
14. The gas distribution system of
claim 1
, further comprising a first mass flow controller connected to the first gas supply, a second mass flow controller connected to the second gas supply, and a controller connected to the first and second mass flow controllers so as to adjust gas chemistry and/or flow rates of the process gas supplied by the first and second gas supplies.
15. A method of processing a substrate in a reaction chamber wherein a gas distribution system includes a support member defining a baffle chamber therein, the support member having a first gas supply opening into a central area of the baffle chamber and a second gas supply opening into a peripheral area of the baffle chamber, a baffle arrangement located in the baffle chamber such that gas from the first gas supply passes through first openings in baffle arrangement and gas from the second gas supply passes through second openings in the baffle arrangement, and a showerhead supported by the support member such that the gas passing through the first and second openings mixes together and passes through third openings in the showerhead, the method comprising:
supplying a semiconductor substrate to the reaction chamber;
supplying process gas to the first and second gas supplies such that the process gas flows through the baffle arrangement without mixing until the process gas passes through the baffle arrangement after which the mixed process gas passes through the showerhead and into an interior of the reaction chamber; and
processing the semiconductor substrate with the process gas passing through the showerhead.
16. The method of
claim 15
, wherein the showerhead is a showerhead electrode which energizes the process gas passing therethrough into a plasma state.
17. The method of
claim 16
, further comprising etching a layer on the semiconductor substrate by supplying RF power to the showerhead electrode such that the process gas forms a plasma in contact with an exposed surface of the semiconductor substrate.
18. The method of
claim 15
, wherein the semiconductor substrate comprises a silicon wafer and the method includes dry etching a dielectric, semiconductive or conductive layer of material on the wafer.
19. The method of
claim 15
, wherein the method includes depositing a layer of material on the semiconductor substrate.
20. The method of
claim 15
, wherein the showerhead comprises a showerhead electrode and the support member comprises a temperature-controlled member, the method including withdrawing heat from the showerhead electrode by passing coolant through the temperature-controlled member.
21. The method of
claim 15
, wherein the baffle arrangement includes upper and lower baffle plates, the second gas supply supplies gas to one or more gas flow channels located between the upper and lower baffle plates, the gas from the second gas supply flowing through the channels in a direction from an outer region of the baffle plates towards an inner region of the baffle plates.
22. The method of
claim 15
, further comprising adjusting flow rate and/or gas pressure of the process gas supplied to the first gas supply and adjusting flow rate and/or gas pressure of the process gas supplied to the second gas supply such that a desired gas pressure distribution is provided across the backside of the showerhead.
23. The method of
claim 15
, wherein the baffle arrangement includes upper and lower baffle plates, the method further comprising cooling the showerhead by withdrawing heat from the showerhead through contacting surfaces of the showerhead, the lower baffle plate, the upper baffle plate and/or the support member.
24. The method of
claim 15
, wherein the baffle arrangement includes upper and lower baffle plates, the gas passing through the first and second openings mixes in radially spaced apart annular gas flow channels located between the lower baffle plate and the showerhead.
25. The method of
claim 24
, wherein the channels are formed in a lower surface of the lower baffle plate and/or in an upper surface of the showerhead, the lower surface of the lower baffle plate being in contact with the upper surface of the showerhead such that heat is removed from the showerhead during processing of the semiconductor substrate.
26. The method of
claim 15
, wherein the process gas flowing through the first gas supply has the same gas chemistry as the process gas flowing through the second gas supply.
27. The method of
claim 15
, wherein the process gas flowing through the first gas supply has a different gas chemistry than the process gas flowing through the second gas supply.
28. The method of
claim 15
, wherein the substrate is a semiconductor wafer which is etched in at least first and second steps, the process gas passing through the first gas supply being regulated relative to the process gas passing through the second gas supply to compensate for edge-fast and center-fast etch conditions during the first and second steps.
29. The process of
claim 15
, wherein openings are etched through exposed portions of a dielectric layer of the substrate to an electrically conductive or semiconductive layer of the substrate.
30. The process of
claim 29
, wherein the etching step is carried out as part of a process of manufacturing a damascene structure.
31. The process of
claim 29
, wherein the semiconductor substrate includes layers of a photoresist masking layer, a first dielectric layer, a first stop layer, a second dielectric layer and a second stop layer, the etching step being carried out such that a trench patterned in the photoresist layer is etched through the first dielectric layer to the first stop layer during a first stage of the etching step and vias or contact openings are etched through the second dielectric layer to the second stop layer during a second stage of the etching step, the process gas supplied by the first and second gas supplies being adjusted such that the process gas composition and/or flow rates during the first stage is different from the process gas composition and/or flow rates during the second stage.
US09/814,972 1999-06-30 2001-03-23 Gas distribution apparatus for semiconductor processing Expired - Lifetime US6432831B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/814,972 US6432831B2 (en) 1999-06-30 2001-03-23 Gas distribution apparatus for semiconductor processing

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/343,690 US6245192B1 (en) 1999-06-30 1999-06-30 Gas distribution apparatus for semiconductor processing
US09/814,972 US6432831B2 (en) 1999-06-30 2001-03-23 Gas distribution apparatus for semiconductor processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/343,690 Division US6245192B1 (en) 1999-06-30 1999-06-30 Gas distribution apparatus for semiconductor processing

Publications (2)

Publication Number Publication Date
US20010027026A1 true US20010027026A1 (en) 2001-10-04
US6432831B2 US6432831B2 (en) 2002-08-13

Family

ID=23347196

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/343,690 Expired - Lifetime US6245192B1 (en) 1999-06-30 1999-06-30 Gas distribution apparatus for semiconductor processing
US09/814,972 Expired - Lifetime US6432831B2 (en) 1999-06-30 2001-03-23 Gas distribution apparatus for semiconductor processing

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/343,690 Expired - Lifetime US6245192B1 (en) 1999-06-30 1999-06-30 Gas distribution apparatus for semiconductor processing

Country Status (10)

Country Link
US (2) US6245192B1 (en)
EP (1) EP1200981B1 (en)
JP (1) JP4732646B2 (en)
KR (1) KR100697158B1 (en)
CN (1) CN1238881C (en)
AU (1) AU5608700A (en)
DE (1) DE60036291T2 (en)
IL (1) IL147033A0 (en)
TW (1) TW460915B (en)
WO (1) WO2001003159A1 (en)

Cited By (252)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050133160A1 (en) * 2003-12-23 2005-06-23 Kennedy William S. Showerhead electrode assembly for plasma processing apparatuses
US20050217576A1 (en) * 2004-03-17 2005-10-06 Anelva Corporation Vacuum Processing Apparatus
US20050241766A1 (en) * 2004-04-30 2005-11-03 Rajinder Dhindsa Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US20060060140A1 (en) * 2004-09-23 2006-03-23 Lg Philips Lcd Co., Ltd. Apparatus for treating thin film and method of treating thin film
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US20090130335A1 (en) * 2005-09-01 2009-05-21 Tomohiro Okumura Plasma processing apparatus, plasma processing method, dielectric window used therein, and manufacturing method of such a dielectric window
US20090136665A1 (en) * 2007-11-27 2009-05-28 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US20090236307A1 (en) * 2008-03-24 2009-09-24 Tomohiro Okada Method for manufacturing perpendicular magnetic recording head
US20100126418A1 (en) * 2008-11-26 2010-05-27 Industrial Technology Research Institute Gas shower module
US20100167551A1 (en) * 2008-12-30 2010-07-01 Intermolecular Inc. Dual path gas distribution device
US20110147896A1 (en) * 2008-08-18 2011-06-23 Iwatani Corporation Cluster jet processing method, semiconductor element, microelectromechanical element, and optical component
US20110247559A1 (en) * 2010-04-13 2011-10-13 Industrial Technology Research Institute Gas distribution shower module and film deposition apparatus
WO2011137070A2 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
US20120045902A1 (en) * 2007-03-30 2012-02-23 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
CN102576661A (en) * 2009-08-31 2012-07-11 圆益Ips股份有限公司 Gas injection apparatus and substrate processing apparatus using same
WO2013059591A1 (en) * 2011-10-19 2013-04-25 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9070633B2 (en) 2009-09-25 2015-06-30 Applied Materials, Inc. Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor
US20150315706A1 (en) * 2014-05-05 2015-11-05 Lam Research Corporation Low volume showerhead with porous baffle
WO2015175163A1 (en) * 2014-05-16 2015-11-19 Applied Materials, Inc. Showerhead design
US20160027618A1 (en) * 2014-07-24 2016-01-28 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20160122873A1 (en) * 2014-10-29 2016-05-05 Tokyo Electron Limited Film forming apparatus and shower head
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US20160194784A1 (en) * 2013-08-09 2016-07-07 Lg Siltron Incorporated Epitaxial reactor
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
TWI649446B (en) * 2017-03-15 2019-02-01 漢民科技股份有限公司 Detachable gas injectorused for semiconductor equipment
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
CN109600898A (en) * 2018-12-13 2019-04-09 大连理工大学 A kind of fountain electrode and discharge system
CN109791912A (en) * 2016-10-03 2019-05-21 应用材料公司 Dynamic leveling process heaters are promoted
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
US10676824B2 (en) * 2015-12-18 2020-06-09 National Tsing Hua University Enclosed-channel reactor system with conduit plate
WO2020242710A1 (en) * 2019-05-29 2020-12-03 Lam Research Corporation Showerhead insert for uniformity tuning
US10954596B2 (en) * 2016-12-08 2021-03-23 Applied Materials, Inc. Temporal atomic layer deposition process chamber
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11186910B2 (en) 2018-09-14 2021-11-30 Applied Materials, Inc. Apparatus for multi-flow precursor dosage
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306393B2 (en) * 2018-07-31 2022-04-19 Applied Materials, Inc. Methods and apparatus for ALD processes
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735441B2 (en) * 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11798803B2 (en) * 2019-05-15 2023-10-24 Applied Materials, Inc. Dynamic multi zone flow control for a processing system
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939675B2 (en) * 2017-08-11 2024-03-26 Applied Materials, Inc. Apparatus and methods for improving thermal chemical vapor deposition (CVD) uniformity
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel

Families Citing this family (324)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6892669B2 (en) * 1998-02-26 2005-05-17 Anelva Corporation CVD apparatus
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100419756B1 (en) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 Thin-film deposition apparatus
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6412437B1 (en) * 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
US20050026436A1 (en) * 2000-12-21 2005-02-03 Hogan Timothy J. Method for improving ash rate uniformity in photoresist ashing process equipment
WO2002058126A1 (en) * 2001-01-22 2002-07-25 Tokyo Electron Limited Device and method for treatment
JP4791637B2 (en) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 CVD apparatus and processing method using the same
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
KR100749377B1 (en) * 2001-02-09 2007-08-14 동경 엘렉트론 주식회사 Film forming device
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
WO2002080249A1 (en) * 2001-03-28 2002-10-10 Tokyo Electron Limited Plasma processing device
US6818096B2 (en) 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (en) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド Formation of tungsten composite film
KR100400044B1 (en) * 2001-07-16 2003-09-29 삼성전자주식회사 Shower head of wafer treatment apparatus having gap controller
JP3886424B2 (en) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 Substrate processing apparatus and method
KR100439949B1 (en) * 2001-11-08 2004-07-12 주식회사 아이피에스 Apparatus for depositing thin film on wafer
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US20040250763A1 (en) * 2002-01-11 2004-12-16 Ovshinsky Stanford R. Fountain cathode for large area plasma deposition
KR100447248B1 (en) * 2002-01-22 2004-09-07 주성엔지니어링(주) Gas diffusion plate for use in ICP etcher
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20030173346A1 (en) * 2002-03-18 2003-09-18 Renken Wayne Glenn System and method for heating and cooling wafer at accelerated rates
KR100455430B1 (en) * 2002-03-29 2004-11-06 주식회사 엘지이아이 Cooling apparatus for surface treatment device of heat exchanger and manufacturing method thereof
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US7156951B1 (en) 2002-06-21 2007-01-02 Lam Research Corporation Multiple zone gas distribution apparatus for thermal control of semiconductor wafer
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004143521A (en) * 2002-10-24 2004-05-20 Sony Corp Thin-film deposition device
US20040082251A1 (en) * 2002-10-29 2004-04-29 Applied Materials, Inc. Apparatus for adjustable gas distribution for semiconductor substrate processing
KR100862658B1 (en) * 2002-11-15 2008-10-10 삼성전자주식회사 Gas injection apparatus for semiconductor processing system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
KR100500246B1 (en) 2003-04-09 2005-07-11 삼성전자주식회사 Gas supplying apparatus
JP2007525822A (en) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド Gas distribution system
KR100941959B1 (en) * 2003-05-31 2010-02-11 주성엔지니어링(주) Thin-Film Deposition Apparatus for Liquid Crystal Display Device
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
KR100526928B1 (en) * 2003-07-16 2005-11-09 삼성전자주식회사 Etching Apparatus
KR100563818B1 (en) * 2003-08-01 2006-03-28 동부아남반도체 주식회사 Tool For Baffle Plate Of Plasma Etching Chamber
KR100979920B1 (en) 2003-09-08 2010-09-03 주성엔지니어링(주) Deposition Apparatus for Liquid Crystal Display Device
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
JP4273932B2 (en) * 2003-11-07 2009-06-03 株式会社島津製作所 Surface wave excitation plasma CVD equipment
EP1788118A3 (en) * 2003-11-25 2007-07-04 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US20070248515A1 (en) * 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
JP4707959B2 (en) * 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 Shower plate, plasma processing apparatus and plasma processing method
CN1669796B (en) * 2004-02-23 2012-05-23 周星工程股份有限公司 Device for manufacturing display basic board and blow head combination assemblaging therein
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US20050223983A1 (en) * 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223984A1 (en) * 2004-04-08 2005-10-13 Hee-Gyoun Lee Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252447A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Gas blocker plate for improved deposition
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7543371B2 (en) * 2004-06-23 2009-06-09 Hitachi Global Storage Technologies Netherlands B.V. Apparatus for a disk drive actuator pivot set height tooling with an active servo compensation
KR100614648B1 (en) * 2004-07-15 2006-08-23 삼성전자주식회사 Apparatus for treating substrates used in manufacturing semiconductor devices
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP2006080347A (en) * 2004-09-10 2006-03-23 Hitachi High-Technologies Corp Plasma processor
US7387811B2 (en) * 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
TWI257541B (en) * 2004-10-05 2006-07-01 Realtek Semiconductor Corp Display data output up/down frequency method, display control chip and display device
KR100667676B1 (en) 2004-10-15 2007-01-12 세메스 주식회사 Gas injection apparatus of plasma treatment apparatus
US7572340B2 (en) * 2004-11-29 2009-08-11 Applied Materials, Inc. High resolution substrate holder leveling device and method
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
DE102005004312A1 (en) * 2005-01-31 2006-08-03 Aixtron Ag Gas distributor for a chemical vapor deposition or organic vapor phase deposition reactor is characterized in that process gases are distributed radially in a first plane and then circumferentially in a second plane
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP4701776B2 (en) * 2005-03-25 2011-06-15 東京エレクトロン株式会社 Etching method and etching apparatus
JP2008540840A (en) * 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド Reactor of atomic layer deposition apparatus with multiple gas inlets
US7313310B2 (en) * 2005-05-25 2007-12-25 Honeywell International Inc. Plasma directing baffle and method of use
KR100599056B1 (en) * 2005-07-21 2006-07-12 삼성전자주식회사 Apparatus and method for removing photoresist
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US20070119371A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US7892358B2 (en) * 2006-03-29 2011-02-22 Tokyo Electron Limited System for introducing a precursor gas to a vapor deposition system
US20070231489A1 (en) * 2006-03-29 2007-10-04 Tokyo Electron Limited Method for introducing a precursor gas to a vapor deposition system
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
CN100451163C (en) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 Gas distribution device for treating reactor by semiconductor technological element and reactor thereof
KR101355638B1 (en) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 Atomic Layer Deposition Apparatus
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
JP2008205219A (en) * 2007-02-20 2008-09-04 Masato Toshima Showerhead, and cvd apparatus using the same showerhead
DE502007001071D1 (en) * 2007-03-05 2009-08-27 Re Coating plant and gas pipeline system
US20080216958A1 (en) * 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US8171877B2 (en) * 2007-03-14 2012-05-08 Lam Research Corporation Backside mounted electrode carriers and assemblies incorporating the same
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US20080260968A1 (en) * 2007-04-23 2008-10-23 Atto Co., Ltd. Method of forming amorphous carbon layer using cross type hydrocarbon compound and method of forming low-k dielectric layer using the same
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
JP5058727B2 (en) * 2007-09-06 2012-10-24 東京エレクトロン株式会社 Top plate structure and plasma processing apparatus using the same
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
CN101489344B (en) * 2008-01-14 2011-07-06 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma processing device, gas dispensing apparatus and processing gas providing method
CN101488446B (en) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 Plasma processing apparatus and gas dispensing apparatus thereof
CN101499407B (en) * 2008-02-02 2010-07-28 北京北方微电子基地设备工艺研究中心有限责任公司 Gas dispensing device and semiconductor process plant employing the same
JP5202050B2 (en) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 Shower head and substrate processing apparatus
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8276604B2 (en) * 2008-06-30 2012-10-02 Lam Research Corporation Peripherally engaging electrode carriers and assemblies incorporating the same
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
CN101315880B (en) * 2008-07-17 2010-06-02 北京北方微电子基地设备工艺研究中心有限责任公司 Gas distribution device and plasma processing apparatus adopting the same
US8075703B2 (en) * 2008-12-10 2011-12-13 Lam Research Corporation Immersive oxidation and etching process for cleaning silicon electrodes
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US20100252047A1 (en) * 2009-04-03 2010-10-07 Kirk Seth M Remote fluorination of fibrous filter webs
KR101110080B1 (en) * 2009-07-08 2012-03-13 주식회사 유진테크 Method for processing substrate
JP5777615B2 (en) * 2009-07-15 2015-09-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Flow control mechanism of CVD chamber
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR200464037Y1 (en) 2009-10-13 2012-12-07 램 리써치 코포레이션 - edge-clamped and mechanically fastened inner electrode of showerhead electrode assembly
CN102051595B (en) * 2009-10-29 2013-04-03 无锡华润上华半导体有限公司 Chemical vapor deposition device and spray nozzle thereof
JP5835722B2 (en) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー Automatic ranking multi-directional serial processor
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
CN101914762B (en) * 2010-08-31 2013-03-06 广东省中科宏微半导体设备有限公司 Air inlet spray head structure for metal-organic chemical vapor deposition equipment
US8444456B2 (en) * 2010-11-02 2013-05-21 Lam Research Corporation Electrode securing platens and electrode polishing assemblies incorporating the same
CN102061458B (en) * 2010-11-29 2012-05-30 保定天威集团有限公司 Gas distribution system and method for substrate coating device
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9543123B2 (en) * 2011-03-31 2017-01-10 Tokyo Electronics Limited Plasma processing apparatus and plasma generation antenna
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9305810B2 (en) 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
US9245719B2 (en) 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
TWI659674B (en) * 2011-10-05 2019-05-11 應用材料股份有限公司 Plasma processing apparatus and lid assembly
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9293305B2 (en) 2011-10-31 2016-03-22 Lam Research Corporation Mixed acid cleaning assemblies
KR101804126B1 (en) * 2011-12-21 2017-12-05 주식회사 원익아이피에스 Apparatus for dispensing gas and treating substrate
KR101805582B1 (en) * 2011-12-21 2017-12-07 주식회사 원익아이피에스 Apparatus for dispensing gas and treating substrate
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
TWI657164B (en) * 2012-07-25 2019-04-21 應用材料股份有限公司 Process gas flow guides for large area plasma enhanced chemical vapor deposition systems and methods
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9018022B2 (en) * 2012-09-24 2015-04-28 Lam Research Corporation Showerhead electrode assembly in a capacitively coupled plasma processing apparatus
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
JP6144902B2 (en) 2012-12-10 2017-06-07 東京エレクトロン株式会社 Microwave radiation antenna, microwave plasma source, and plasma processing apparatus
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN103993293B (en) * 2013-02-15 2018-06-26 诺发系统公司 With temperature controlled multicell nozzle
DE102013101534A1 (en) 2013-02-15 2014-08-21 Aixtron Se Gas distributor for a CVD reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (en) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Deposition apparatus
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
KR102098071B1 (en) * 2013-09-27 2020-04-07 엘지디스플레이 주식회사 Gas distribution unit and substrate processing apparatus having the same
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
JP6356415B2 (en) 2013-12-16 2018-07-11 東京エレクトロン株式会社 Microwave plasma source and plasma processing apparatus
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
KR101560623B1 (en) * 2014-01-03 2015-10-15 주식회사 유진테크 Substrate processing apparatus and substrate processing method
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
KR102292661B1 (en) * 2014-06-20 2021-08-25 세메스 주식회사 Apparatus and method for treating substrate
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN104835876B (en) * 2015-04-27 2018-01-05 北京金晟阳光科技有限公司 The uniform distribution device of gas
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6751448B2 (en) 2016-05-20 2020-09-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Gas distribution showerhead for semiconductor processing
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
KR102493945B1 (en) * 2017-06-06 2023-01-30 어플라이드 머티어리얼스, 인코포레이티드 Deposition radial and edge profile tenability through independent control of teos flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
JP1605832S (en) 2017-11-06 2018-06-04
KR101943375B1 (en) * 2017-11-30 2019-01-30 주식회사 원익아이피에스 Apparatus for dispensing gas and treating substrate
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
WO2019182967A1 (en) 2018-03-19 2019-09-26 Applied Materials, Inc. Methods for depositing coatings on aerospace components
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102516885B1 (en) * 2018-05-10 2023-03-30 삼성전자주식회사 Deposition equipment and method of fabricating semiconductor device using the same
JP6575641B1 (en) * 2018-06-28 2019-09-18 株式会社明電舎 Shower head and processing equipment
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11587807B2 (en) * 2018-10-28 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Annealing apparatus and method thereof
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
SG11202110987UA (en) 2019-04-08 2021-10-28 Applied Materials Inc Methods for modifying photoresist profiles and tuning critical dimensions
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
WO2021034508A1 (en) 2019-08-16 2021-02-25 Lam Research Corporation Spatially tunable deposition to compensate within wafer differential bow
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11881384B2 (en) 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
KR20210042810A (en) * 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11804363B2 (en) * 2019-11-08 2023-10-31 Applied Materials, Inc. Chamber components for gas delivery modulation
CN112885691A (en) * 2019-11-29 2021-06-01 中微半导体设备(上海)股份有限公司 Plasma processing apparatus and method for optimizing stability thereof
CN111321463B (en) * 2020-03-06 2021-10-15 北京北方华创微电子装备有限公司 Reaction chamber
KR102396430B1 (en) * 2020-03-30 2022-05-10 피에스케이 주식회사 Substrate processing apparatus and substrate processing method
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
CN114293174A (en) * 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 Gas supply unit and substrate processing apparatus including the same
CN115513033A (en) * 2022-09-09 2022-12-23 江苏微导纳米科技股份有限公司 Spraying assembly, semiconductor equipment and wafer processing method

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4263088A (en) 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4270999A (en) 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS63262469A (en) 1987-04-17 1988-10-28 Fujitsu Ltd Gas supply device
US4780169A (en) 1987-05-11 1988-10-25 Tegal Corporation Non-uniform gas inlet for dry etching apparatus
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH0741153Y2 (en) 1987-10-26 1995-09-20 東京応化工業株式会社 Sample processing electrode
US5134965A (en) 1989-06-16 1992-08-04 Hitachi, Ltd. Processing apparatus and method for plasma processing
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
JPH0456770A (en) 1990-06-25 1992-02-24 Hitachi Electron Eng Co Ltd Method for cleaning plasma cvd device
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
KR100276093B1 (en) 1992-10-19 2000-12-15 히가시 데쓰로 Plasma etching system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (en) 1993-10-04 2000-11-01 히가시 데쓰로 Plasma treatment equipment
US5472565A (en) 1993-11-17 1995-12-05 Lam Research Corporation Topology induced plasma enhancement for etched uniformity improvement
JP3308091B2 (en) 1994-02-03 2002-07-29 東京エレクトロン株式会社 Surface treatment method and plasma treatment device
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
JP3778299B2 (en) 1995-02-07 2006-05-24 東京エレクトロン株式会社 Plasma etching method
JP3360098B2 (en) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5716485A (en) 1995-06-07 1998-02-10 Varian Associates, Inc. Electrode designs for controlling uniformity profiles in plasma processing reactors
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
JP3360265B2 (en) 1996-04-26 2002-12-24 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
KR100492258B1 (en) 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 Reaction gas ejection head
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
JP3036477B2 (en) 1997-07-31 2000-04-24 日本電気株式会社 Semiconductor manufacturing equipment
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system

Cited By (318)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050133160A1 (en) * 2003-12-23 2005-06-23 Kennedy William S. Showerhead electrode assembly for plasma processing apparatuses
US8080107B2 (en) 2003-12-23 2011-12-20 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20100065214A1 (en) * 2003-12-23 2010-03-18 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US20050217576A1 (en) * 2004-03-17 2005-10-06 Anelva Corporation Vacuum Processing Apparatus
US7981216B2 (en) * 2004-03-17 2011-07-19 Canon Anelva Corporation Vacuum processing apparatus
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
WO2005111267A3 (en) * 2004-04-30 2007-03-29 Lam Res Corp Gas distribution member supplying process gas and rf power for plasma processing
US20050241766A1 (en) * 2004-04-30 2005-11-03 Rajinder Dhindsa Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
KR101280184B1 (en) * 2004-04-30 2013-07-01 램 리써치 코포레이션 Gas distribution member supplying process gas and rf power for plasma processing
US20060060140A1 (en) * 2004-09-23 2006-03-23 Lg Philips Lcd Co., Ltd. Apparatus for treating thin film and method of treating thin film
US7833350B2 (en) * 2004-09-23 2010-11-16 Lg. Display Co., Ltd. Apparatus for treating thin film and method of treating thin film
US20090130335A1 (en) * 2005-09-01 2009-05-21 Tomohiro Okumura Plasma processing apparatus, plasma processing method, dielectric window used therein, and manufacturing method of such a dielectric window
TWI391997B (en) * 2006-10-26 2013-04-01 Applied Materials Inc Temperature controlled multi-gas distribution assembly
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US8443756B2 (en) * 2007-03-30 2013-05-21 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US20120045902A1 (en) * 2007-03-30 2012-02-23 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US10584415B2 (en) 2007-10-16 2020-03-10 Novellus Systems, Inc. Temperature controlled showerhead
US20090136665A1 (en) * 2007-11-27 2009-05-28 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8545940B2 (en) 2007-11-27 2013-10-01 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US8137571B2 (en) * 2008-03-24 2012-03-20 Hitachi Global Storage Technologies Netherlands B.V. Method for manufacturing perpendicular magnetic recording head
US20090236307A1 (en) * 2008-03-24 2009-09-24 Tomohiro Okada Method for manufacturing perpendicular magnetic recording head
US20110147896A1 (en) * 2008-08-18 2011-06-23 Iwatani Corporation Cluster jet processing method, semiconductor element, microelectromechanical element, and optical component
US8461051B2 (en) 2008-08-18 2013-06-11 Iwatani Corporation Cluster jet processing method, semiconductor element, microelectromechanical element, and optical component
US20100126418A1 (en) * 2008-11-26 2010-05-27 Industrial Technology Research Institute Gas shower module
US8277888B2 (en) * 2008-12-30 2012-10-02 Intermolecular, Inc. Dual path gas distribution device
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
US8402845B2 (en) * 2008-12-30 2013-03-26 Intermolecular, Inc. Dual path gas distribution device
US20120090688A1 (en) * 2008-12-30 2012-04-19 Intermolecular, Inc. Dual path gas distribution device
US20100167551A1 (en) * 2008-12-30 2010-07-01 Intermolecular Inc. Dual path gas distribution device
CN102576661A (en) * 2009-08-31 2012-07-11 圆益Ips股份有限公司 Gas injection apparatus and substrate processing apparatus using same
US9732424B2 (en) 2009-08-31 2017-08-15 Wonik Ips Co., Ltd. Gas injection apparatus and substrate processing apparatus using same
US9070633B2 (en) 2009-09-25 2015-06-30 Applied Materials, Inc. Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor
US20110247559A1 (en) * 2010-04-13 2011-10-13 Industrial Technology Research Institute Gas distribution shower module and film deposition apparatus
WO2011137070A3 (en) * 2010-04-30 2012-02-23 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
WO2011137070A2 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Methods and apparatus for reducing flow splitting errors using orifice ratio conductance control
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
USRE47440E1 (en) 2011-10-19 2019-06-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
WO2013059591A1 (en) * 2011-10-19 2013-04-25 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
USRE48994E1 (en) 2011-10-19 2022-03-29 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
TWI786341B (en) * 2011-10-19 2022-12-11 美商應用材料股份有限公司 Gas distribution apparatus for providing uniform flow of gas
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US20160194784A1 (en) * 2013-08-09 2016-07-07 Lg Siltron Incorporated Epitaxial reactor
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9765432B2 (en) 2013-12-20 2017-09-19 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US10400335B2 (en) 2013-12-20 2019-09-03 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US20150315706A1 (en) * 2014-05-05 2015-11-05 Lam Research Corporation Low volume showerhead with porous baffle
US10626500B2 (en) 2014-05-16 2020-04-21 Applied Materials, Inc. Showerhead design
US10221483B2 (en) 2014-05-16 2019-03-05 Applied Materials, Inc. Showerhead design
WO2015175163A1 (en) * 2014-05-16 2015-11-19 Applied Materials, Inc. Showerhead design
US20160027618A1 (en) * 2014-07-24 2016-01-28 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160122873A1 (en) * 2014-10-29 2016-05-05 Tokyo Electron Limited Film forming apparatus and shower head
US10844489B2 (en) * 2014-10-29 2020-11-24 Tokyo Electron Limited Film forming apparatus and shower head
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10669629B2 (en) 2015-10-09 2020-06-02 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US11293099B2 (en) 2015-10-09 2022-04-05 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10676824B2 (en) * 2015-12-18 2020-06-09 National Tsing Hua University Enclosed-channel reactor system with conduit plate
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11735441B2 (en) * 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
CN109791912A (en) * 2016-10-03 2019-05-21 应用材料公司 Dynamic leveling process heaters are promoted
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10954596B2 (en) * 2016-12-08 2021-03-23 Applied Materials, Inc. Temporal atomic layer deposition process chamber
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI649446B (en) * 2017-03-15 2019-02-01 漢民科技股份有限公司 Detachable gas injectorused for semiconductor equipment
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11939675B2 (en) * 2017-08-11 2024-03-26 Applied Materials, Inc. Apparatus and methods for improving thermal chemical vapor deposition (CVD) uniformity
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11306393B2 (en) * 2018-07-31 2022-04-19 Applied Materials, Inc. Methods and apparatus for ALD processes
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11186910B2 (en) 2018-09-14 2021-11-30 Applied Materials, Inc. Apparatus for multi-flow precursor dosage
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN109600898A (en) * 2018-12-13 2019-04-09 大连理工大学 A kind of fountain electrode and discharge system
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11798803B2 (en) * 2019-05-15 2023-10-24 Applied Materials, Inc. Dynamic multi zone flow control for a processing system
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020242710A1 (en) * 2019-05-29 2020-12-03 Lam Research Corporation Showerhead insert for uniformity tuning
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11967488B2 (en) 2022-05-16 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Also Published As

Publication number Publication date
IL147033A0 (en) 2002-08-14
WO2001003159A1 (en) 2001-01-11
EP1200981B1 (en) 2007-09-05
KR100697158B1 (en) 2007-03-21
AU5608700A (en) 2001-01-22
CN1359531A (en) 2002-07-17
US6245192B1 (en) 2001-06-12
DE60036291D1 (en) 2007-10-18
KR20020028921A (en) 2002-04-17
TW460915B (en) 2001-10-21
DE60036291T2 (en) 2008-05-29
CN1238881C (en) 2006-01-25
WO2001003159A9 (en) 2002-05-02
JP2003504841A (en) 2003-02-04
EP1200981A1 (en) 2002-05-02
US6432831B2 (en) 2002-08-13
JP4732646B2 (en) 2011-07-27

Similar Documents

Publication Publication Date Title
US6432831B2 (en) Gas distribution apparatus for semiconductor processing
JP7393501B2 (en) Semiconductor processing chamber to improve precursor flow
US6415736B1 (en) Gas distribution apparatus for semiconductor processing
US6123775A (en) Reaction chamber component having improved temperature uniformity
CN110337714B (en) Substrate support and substrate processing system
KR20180006307A (en) Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US11942351B2 (en) Electrostatic chucks with coolant gas zones and corresponding groove and monopolar electrostatic clamping electrode patterns
US20070044914A1 (en) Vacuum processing apparatus
WO2023114082A1 (en) Improved thermal and electrical interface between parts in an etch chamber
JP2023550333A (en) Substrate support with uniform temperature across the substrate
WO2021168027A1 (en) Coolant channel with internal fins for substrate processing pedestals
CN112117177A (en) Engineering gas supply device and substrate processing system equipped with same

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12