CN108546932A - With temperature controlled multicell nozzle - Google Patents

With temperature controlled multicell nozzle Download PDF

Info

Publication number
CN108546932A
CN108546932A CN201810527225.0A CN201810527225A CN108546932A CN 108546932 A CN108546932 A CN 108546932A CN 201810527225 A CN201810527225 A CN 201810527225A CN 108546932 A CN108546932 A CN 108546932A
Authority
CN
China
Prior art keywords
presoma
free radical
delivery board
face
diffuser plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810527225.0A
Other languages
Chinese (zh)
Inventor
帕特里克·G·布莱琳
巴德里·N·瓦拉达拉简
詹妮弗·L·彼得拉利亚
巴特·J·范施拉芬迪克
卡尔·F·利泽
曼迪阿曼吉·斯利拉姆
雷切尔·E·巴策尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/934,620 external-priority patent/US20140235069A1/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of CN108546932A publication Critical patent/CN108546932A/en
Pending legal-status Critical Current

Links

Landscapes

  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

The present invention relates to a kind of nozzles for semiconductor processing operation, including:Radical source cavity volume;Presoma room;Presoma delivery board with the first face and the second opposite face;At the free radical through-hole of pattern;With the gas delivery holes at pattern.

Description

With temperature controlled multicell nozzle
It is on 2 17th, 2014 that the application, which is application No. is the 201410052998.X applyings date, entitled " band temperature controls Multicell nozzle " application divisional application.
CROSS-REFERENCE TO RELATED APPLICATIONS
The application compiles the 119th article (e) according to United States Code No. 35 and requires that on 2 15th, 2013 submitting, application No. is 61/ 765,432 U.S. Provisional Application and on 2 27th, 2013 it is submitting, application No. is 61/770,251 U.S. Provisional Application The title of equity, the two is " MULTI-PLENUM SHOWERHEAD WITH TEMPERATURE CONTROL (band temperature controls The multicell nozzle of system) ", during the full content of aforementioned provisional application is incorporated herein by reference.
Technical field
Present invention relates in general to semiconductor processes, relate more specifically to the nozzle in semiconductor processes.
Background technology
Semiconductor processing tools usually using radical source (radical sources) in processing procedure (for example, In chemical vapor deposition (CVD) or atomic layer deposition (ALD) processing procedure) it is dispensed from by base chemical industry in entire semiconductor die on piece Skill gas (radicalized process gas).Such radical source may include the face that chip is faced in processing procedure Plate (faceplate), and some gas distributing holes can be distributed to help free radical gas out of radical source by traversing the panel Conveying of the portion to chip.
In some semiconductor fabrication process (for example, plasma enhanced chemical vapor deposition (PECVD)), semiconductor system Plasma can be transformed into generate the free radical being used in various processing steps by making process gas.Such plasma increases Strong technique can have advantage compared to such as hot CVD, because such technique can be in lower technological temperature and larger technique It is executed in the case of chemical flexibility.However, plasma transformation also can damage wafers, for example, by make chip bottom silicon or Ultra low k dielectric oxidation used in technique.In order to reduce such potential damage, can be arranged such plasma with " remote (remote) " is from chip;Technique as a kind of being commonly known as remote plasma deposition (RPD).For example, some are certainly Can have internal volume chamber, plasma that can generate in the internal volume chamber by Ji Yuan.The internal volume chamber can pass through radical source face Plate separates (making plasma " remote " from chip) with chip, covers chip to a certain extent and draws to avoid by plasma transformation The possibility damage risen.The permissible free radical outflow caused by the plasma that remotely generates of gas distributing hole in panel from By Ji Yuan and flow on chip.
Invention content
One or more embodiments of theme recorded in this specification are described in following drawing and description Details.According to specification, drawings and the claims, other feature, aspect and advantage can become apparent.Note that unless Particularly indicate that it is ratio chart, otherwise the relative size of following attached drawing may be not necessarily to scale.
In some embodiments, it is possible to provide be used for the nozzle of semiconductor processing operation.The nozzle may include thering is first The presoma delivery board in face and the second opposite face and the free radical diffuser plate with the first face and the second opposite face.It is described Second face of free radical diffuser plate can face the first face of the presoma delivery board.The nozzle, which may also include, is inserted in the forerunner Device is thermally isolated between body delivery board and the free radical diffuser plate.The nozzle may also include into the free radical through-hole of pattern.Institute Each for stating in free radical through-hole may pass through the presoma delivery board, the free radical diffuser plate and described device be thermally isolated. In the free radical through-hole each can have be essentially perpendicular to the presoma delivery board, the free radical diffuser plate and The hole central shaft that device is thermally isolated and can keep it is substantially uniform with across the presoma delivery board, the free radical expansion The cross-sectional area to fall apart with the hole central axis of the free radical through-hole that device is thermally isolated.
In some further embodiments, it is described be thermally isolated device can be configured to control the free radical diffuser plate and Hot-fluid between the presoma delivery board is direct in the free radical diffuser plate and the presoma delivery board to be less than It thermally contacts and is thickened so that the first face of the free radical diffuser plate and the second face of the presoma delivery board keep identical Distance in the case of the free radical diffuser plate and the presoma delivery board between hot-fluid.
In some further embodiments of the nozzle, the presoma delivery board may include into the gas of pattern Sprocket hole and one or more internal gas assignment channels.In the gas delivery holes each can have be essentially perpendicular to institute State presoma delivery board, the free radical diffuser plate and the hole central shaft that device is thermally isolated.It is every in the gas delivery holes One can also be fluidly connected to it is every at least one of one or more described gas distribution channels and the gas delivery holes One can exit the presoma delivery board on the second face of the presoma delivery board.
In some embodiments of the nozzle, free radical through-hole can have between 7:1 and 10:Length between 1 is straight Diameter ratio.In some other embodiments of the nozzle, free radical through-hole can have between 6:1 and 11:Length between 1 is straight Diameter ratio.In some embodiments of the nozzle, free radical through-hole can be at least 0.25 inch of length.
In some embodiments of the nozzle, the free radical diffuser plate may include traversing the free radical diffuser plate One or more first internal cooling channels extended.One or more described first internal cooling channels can be spread with the free radical Free radical vented liquid isolation in plate.
In some such embodiments of the nozzle, first internal cooling channel may include into the logical of array Road.Each channel can along on average with the reference planes in the first face for being essentially perpendicular to the free radical diffuser plate substantially Upper parallel path extends, and each channel can be with the first end fluidly connected with entrance and fluidly connected with outlet second End.In some other embodiments of the nozzle, each channel can along on average be essentially perpendicular to it is described from Extended by the substantially parallel path of the reference planes in the first face of base diffuser plate, and on the first face of the reference planes Each channel can have the first end that is fluidly connected with first entrance and the second end fluidly connected with first outlet, and be located at Each channel on second face of the reference planes opposite with the first face of the reference planes has and second entrance stream The first end of body connection and the second end fluidly connected with second outlet.In some such embodiments, described first enters Mouth, the second entrance and the first outlet and the second outlet can be respectively substantially via separated in shape The coolant room (coolant plenum) that arc and the central shaft for surrounding the free radical diffuser plate are radially arranged is each with them From channel connect.
In some embodiments of the nozzle, it is first logical to may include into array for first internal cooling channel Road.In the first passage each can along on average with the first face for being essentially perpendicular to the free radical diffuser plate The substantially parallel first path of reference planes extend.It is second logical to may include into array for first internal cooling channel Road, and each in the second channel can prolong along the second generally parallel with the reference planes on average path It stretches.The first passage and the second channel can be fluidly isolated from one another in the free radical diffuser plate.Each first passage Can have and the first end that first entrance fluidly connects and opposite with the first end of the first passage with first outlet fluid The second end of connection.Each second channel can have the first end fluidly connected with second entrance and with the second channel the The opposite second end fluidly connected with second outlet in one end.The first entrance, the second entrance, the first outlet and The second outlet may be configured such that the cooling fluid for flowing into the first entrance flows upwardly through described in the first mean square It is simultaneously flowed out from the first outlet and flows into the cooling fluid of the second entrance and flow upwardly through institute in the second mean square in one channel It states second channel and is flowed out from the second outlet.First mean direction and second mean direction can generally be phases Anti- direction.
In some embodiments of the nozzle, the nozzle can further comprise the room of annular (circumferential plenum).The room of the annular can be with inner circumferential, the first face of the neighbouring free radical diffuser plate, And it is configured to that gas is made to flow through the inner circumferential in a manner of substantial uniform distribution and generally flows towards the free radical The central shaft of diffuser plate.
In some embodiments of the nozzle, the nozzle can further comprise plasma dome.It is described it is equal from Daughter dome can have the generally axisymmetric inner surface of central shaft around the free radical diffuser plate, be located at the plasma Close to one or more hydroxyl radical gas entrances of the central shaft of the free radical diffuser plate and positioned at institute on one end of body dome It states on the opposite end of plasma dome and is configured to the plasma dome connecting so that described etc. with the nozzle First face of the inner surface of gas ions dome and the free radical diffuser plate limits radical source cavity volume and makes from described The air-flow of the room of annular flows into the installation interface of the radical source cavity volume.
In some embodiments of the nozzle, the room of the annular may be disposed at positioned at the plasma dome In adapter between the free radical diffuser plate.In some other embodiments of the nozzle, the room of the annular It may be disposed in the plasma dome close to the installation interface.
In some embodiments of the nozzle, the device that is thermally isolated can be that thermal conductivity is substantially less than the presoma The plate of the respective thermal conductivity of delivery board and the free radical diffuser plate.In some other embodiments of the nozzle, institute It states and device is thermally isolated can have gap between the free radical diffuser plate and the presoma delivery board.The gap can limit Fixed free cavity volume between the free radical diffuser plate and the presoma delivery board.The device that is thermally isolated may also include correspondence Several tubular structures of free radical number of openings in the free radical through-hole at pattern.Each tubular structure can with it is described A different free radical through-holes in free radical through-hole correspond to, the mark with the essentially equal to corresponding free radical through-hole Claim the internal diameter of diameter, it is across the gap, and in terms of fluid communication that the free radical through-hole and the free cavity volume is big It is isolated on body.
In some further embodiments of the nozzle, at least one of described tubular structure can be discrete Pipeline section.In some further embodiments of the nozzle, at least one of described tubular structure is by being selected from by stone The material of the group of English or sapphire composition is made.
In some embodiments of the nozzle, the device that is thermally isolated may include that at least two stack layer, each layer packet Include the free radical through-hole.In some further such embodiments, it is described be thermally isolated device can further comprise between The first interface between first mating surface of one of the layer and the second mating surface of adjacent layer, and first mating surface and institute About 8 to 16 microinch or higher surface roughness R can be had by stating at least one of second mating surfaceaValue.In some implementations In mode, the layer can have about 0.002 inch of absolute flatness for traversing each layer.
In some embodiments of the nozzle, the free radical through-hole can exit institute via the opening in the first plane The second face of presoma delivery board is stated, and the gas delivery holes can be via in the first face far from the presoma delivery board The opening that is upwardly deviated from the second plane of first non-zero distance of the first plane of side exits the of the presoma delivery board Two faces.In some embodiments, first non-zero distance can be more than 0.25 inch.In some embodiments, described One non-zero distance can be between 0.25 inch and 3 inches.In some embodiments, first non-zero distance can be between 3 Between inch and 12 inches.
In some embodiments of the nozzle, the free radical through-hole can exit institute via the opening in the first plane The second face of presoma delivery board is stated, and the gas delivery holes can be via in the first face far from the presoma delivery board The opening that is upwardly deviated from the second plane of first plane of side exits the second face of the presoma delivery board, and described first Plane from second plane enough far so that from the free radical diffuser plate via the opening in first plane Free radical gas is presented as the stream being substantially sufficiently spread out before encountering second plane.
In some embodiments of the nozzle, the free radical diffuser plate is suppressed free radical and expands with the free radical Fall apart in conjunction with material be coated at least partly.In some such embodiments, the material can be selected from by aluminium nitride, The group of quartz and sapphire composition.
In some embodiments, the nozzle can further comprise process chamber.In such an embodiment, it is described from It can be configured to process gas being conveyed to the processing by base diffuser plate, the device and the presoma delivery board of being thermally isolated Room.
In some such embodiments, the nozzle can further comprise one or more additional treatments rooms, and described Process chamber and one or more described additional treatments rooms can form multiprocessing room semiconductor processing tools.
In some other such embodiments, the nozzle can further comprise the second free radical diffuser plate, second Device and the second presoma delivery board is thermally isolated.Before device and described second is thermally isolated in the second free radical diffuser plate, described second Drive body delivery board can with the free radical diffuser plate, described device is thermally isolated and the presoma delivery board similar mode is arranged Cloth.The process chamber may also include at least the first treating stations and second processing station.Described device is thermally isolated in the free radical diffuser plate It can be configured to process gas being conveyed to first treating stations with the presoma delivery board, and second free radical expands It falls apart, described second is thermally isolated device and the second presoma delivery board and can be configured to process gas being conveyed to described second Treating stations.
In some embodiments, the method for using the nozzle for semiconductor processing operation is provided.The spray Head may include presoma delivery board with the first face and the second opposite face and with the first face and the second opposite face Free radical diffuser plate.Second face of the free radical diffuser plate can face the first face of the presoma delivery board.The device is also It may include being inserted between the presoma delivery board and the free radical diffuser plate and device be thermally isolated.The device may also include into figure The free radical through-hole of case.Each in the free radical through-hole may pass through the presoma delivery board, free radical diffusion Plate and described device is thermally isolated.Each in the free radical through-hole can also be conveyed with the presoma is essentially perpendicular to Plate, the free radical diffuser plate and the hole central shaft that device is thermally isolated and can keep it is substantially uniform with across the forerunner The cross section of the hole central axis of body delivery board, the free radical diffuser plate and the free radical through-hole that device is thermally isolated Product.This method may include the presoma delivery board being maintained at the first temperature;The free radical diffuser plate is maintained at second Temperature;The first process gas is provided via the gas delivery holes, while the presoma delivery board is in first temperature;And The second process gas is provided via the free radical through-hole, while the free radical diffuser plate is in the second temperature.
In some embodiments, it is possible to provide be used for the reactor of semiconductor processing operation.The reactor may include instead It answers room, be located at the indoor die support of the reaction and nozzle.The nozzle may include thering is the first face and opposite second The presoma delivery board in face and free radical diffuser plate with the first face and the second opposite face.The free radical diffuser plate Second face can face the first face of the presoma delivery board.The device, which may also include, is inserted in the presoma delivery board and described Device is thermally isolated between free radical diffuser plate.The device may also include into the free radical through-hole of pattern.In the free radical through-hole Each may pass through the presoma delivery board, the free radical diffuser plate and described device be thermally isolated.The free radical through-hole In each can also have and be essentially perpendicular to the presoma delivery board, the free radical diffuser plate and described device be thermally isolated Hole central shaft and can keep it is substantially uniform with across the presoma delivery board, the free radical diffuser plate and the heat The cross-sectional area of the hole central axis of the free radical through-hole of isolator.The nozzle and the die support can by with It sets and makes the die support in the reative cell in the lower section of the nozzle.
In some embodiments, it is possible to provide device is thermally isolated.The device that is thermally isolated may include the first face;It is substantially parallel simultaneously Deviate second face in first face;It is described and across multiple tubular structures between first face and second face Tubular structure traverses first face and second face is arranged by distribution patterns.The tubular structure can be essentially prevented from described The fluid between cavity volume and the cavity volume being substantially confined between first face and second face in tubular structure Stream.
In some further such embodiments, the device that is thermally isolated can further comprise across first face Between second face and surround the peripheral wall of the multiple tubular structure.The peripheral wall, first face, described second The outermost surface of face and the tubular structure can limit the hollow internal volume chamber that device is thermally isolated.
Be thermally isolated in some other further embodiment of device described, it is described be thermally isolated device can further comprise with One or more ports that the hollow internal volume chamber that device is thermally isolated is in fluid communication.
Specifically, some aspects of the present invention can be described below:
1. a kind of nozzle for semiconductor processing operation, wherein the nozzle includes:
Radical source cavity volume;
Presoma room;
Presoma delivery board with the first face and the second opposite face;
At the free radical through-hole of pattern;With
At the gas delivery holes of pattern, wherein:
Described the first of the presoma delivery board facing towards the radical source cavity volume,
The radical source cavity volume and the presoma room are fluidly isolated from one another in the nozzle,
Each in the free radical through-hole passes through the presoma delivery board, each in the free radical through-hole to exist The presoma delivery board is exited on second face of the presoma delivery board, and each in the free radical through-hole It is a to be in fluid communication with each other in the nozzle with the radical source cavity volume,
Each in the gas delivery holes is in fluid communication with the presoma room and in the institute of the presoma delivery board It states and exits the presoma delivery board on the second face,
The free radical through-hole exits second face of the presoma delivery board via the opening in the first plane, and described Gas delivery holes exit the presoma via the opening in the second plane for deviateing first distance of the first plane and convey Second face of plate so that first plane is than second plane closer to described the first of the presoma delivery board Face, and
At least 0.25 inch of first distance.
2. the semiconductor processing device as described in clause 1, wherein first distance is between 0.25 inch and 3 inches.
3. the semiconductor processing device as described in clause 1, wherein first distance is between 3 inches and 12 inches.
4. the semiconductor processing device as described in clause 1, wherein each described free radical through-hole, which has, meets following standard group At least one of standard length:The free radical through-hole has between 7:1 and 10:Length diameter ratio between 1, it is described from Had between 6 by base through-hole:1 and 11:Length diameter ratio between 1, and the free radical through-hole has at least 0.25 English Very little length.
5. the semiconductor processing device as described in clause 1, wherein the radical source cavity volume and the presoma room pass through certainly Separated from one another by base diffuser plate, the free radical through-hole extends through the free radical diffuser plate, and the free radical expands Fall apart one or more first internal cooling channels extended including traversing the free radical diffuser plate, wherein it is described one or more the One internal cooling channel is isolated with the free radical vented liquid in the free radical diffuser plate.
6. the semiconductor processing device as described in clause 1, further includes:
Free radical diffuser plate with the first face and the second opposite face, wherein second face face of the free radical diffuser plate To first face of the presoma delivery board;With
The room of annular, wherein the room of the annular:
With inner circumferential,
First face of the neighbouring free radical diffuser plate, and
It is configured to that gas is made to flow through the inner circumferential in a manner of substantial uniform distribution and generally flows towards the freedom The central shaft of base diffuser plate.
7. the semiconductor processing device as described in clause 6, further includes:
Plasma dome, the plasma dome have the central shaft generally axial symmetry around the free radical diffuser plate Inner surface,
On one end of the plasma dome close to the free radical diffuser plate the central shaft one or more from By base gas access, and
On the end opposite with the one end of plasma dome of the plasma dome and it is configured The plasma dome is connect to the inner surface and the freedom so that the plasma dome with the nozzle First face of base diffuser plate limits the radical source cavity volume and the air-flow from the room of the annular is made to flow into institute State radical source cavity volume.
8. the semiconductor processing device as described in clause 1, further includes:
Free radical diffuser plate with the first face and the second opposite face, wherein second face face of the free radical diffuser plate To first face of the presoma delivery board;
It is inserted between the presoma delivery board and the free radical diffuser plate and device is thermally isolated, wherein:
The device that is thermally isolated includes the free cavity volume being inserted between the free radical diffuser plate and the presoma delivery board, and Across several tubular structures of the free cavity volume,
The free cavity volume by the side closer to the free radical diffuser plate first surface with closer to described Second surface on the side of presoma delivery board defines,
The first surface deviates a gap from the second surface, and the gap limits the free cavity volume perpendicular to institute The height on the first direction in second face of free radical diffuser plate is stated,
The height be less than or equal to the presoma delivery board in said first direction and the free radical diffuser plate it Between distance, and
In terms of fluid communication the free cavity volume substantially with defined by second face of the presoma delivery board External volume separates, and the external volume is located on the side opposite with the free cavity volume of the presoma delivery board, and And the external volume is farther from the free radical diffuser plate from the presoma delivery board ratio;And
Vacuum pump, the vacuum pump are configured to the free cavity volume pump drainage being thermally isolated in device to vacuum.
9. the semiconductor processing device as described in clause 8, wherein each in the tubular structure is discrete pipeline section.
10. the semiconductor processing device as described in clause 8, wherein described, device is thermally isolated further includes one or more vacuum ports, institute State the fluid path that one or more vacuum ports are configured to provide for the vacuum pump, wherein the fluid path be not passed through it is described Chip conversion zone in semiconductor processing device.
11. the semiconductor processing device as described in clause 8, wherein the device that is thermally isolated is configured to control the free radical diffusion Hot-fluid between plate and the presoma delivery board is to be less than in the free radical diffuser plate and the presoma delivery board Directly thermo-contact and thickened so that the free radical diffuser plate first face and the presoma delivery board described the Two faces keep identical distance each other in the case of the free radical diffuser plate and the presoma delivery board between hot-fluid.
12. the semiconductor processing device as described in clause 11, further includes:
Free radical diffuser plate with the first face and the second opposite face, wherein second face face of the free radical diffuser plate To first face of the presoma delivery board;
It is inserted between the presoma delivery board and the free radical diffuser plate and device is thermally isolated, wherein:
It is described be thermally isolated device include the free cavity volume being inserted between the free radical diffuser plate and the presoma delivery board and Across several tubular structures of the free cavity volume,
The free cavity volume by the side closer to the free radical diffuser plate first surface with closer to described Second surface on the side of presoma delivery board defines,
The first surface deviates a gap from the second surface, and the gap limits the free cavity volume perpendicular to institute The height on the first direction in second face of free radical diffuser plate is stated,
The height be less than or equal to the presoma delivery board in said first direction and the free radical diffuser plate it Between distance, and
In terms of fluid communication the free cavity volume substantially with defined by second face of the presoma delivery board External volume separates, and the external volume is located on the side opposite with the free cavity volume of the presoma delivery board, and And the external volume is farther from the free radical diffuser plate from the presoma delivery board ratio;And
Vacuum pump, the vacuum pump are configured to the free cavity volume pump drainage being thermally isolated in device to vacuum.
13. the semiconductor processing device as described in clause 1, wherein the free radical through-hole is exited via the opening in the first plane Second face of the presoma delivery board, and the gas delivery holes are via far from described in the presoma delivery board The side in the first face is upwardly deviated from described that the opening in the second plane of first plane exits the presoma delivery board Two faces, first plane is from second plane enough far so that flowing through the forerunner via the opening in first plane The free radical gas of body delivery board is presented as the stream being generally sufficiently spread out before encountering second plane.
14. a kind of method for using the nozzle for semiconductor processing operation, the nozzle include:
Presoma delivery board with the first face and the second opposite face;
Radical source cavity volume;
Presoma room;
At the free radical through-hole of pattern;With
At the gas delivery holes of pattern, wherein:
Described the first of the presoma delivery board facing towards the radical source cavity volume,
The radical source cavity volume and the presoma room are fluidly isolated from one another in the nozzle,
Each in the free radical through-hole passes through the presoma delivery board, each in the free radical through-hole to exist The presoma delivery board is exited on second face of the presoma delivery board, and each in the free radical through-hole It is a to be in fluid communication in the nozzle with the radical source cavity volume,
Each in the gas delivery holes is in fluid communication with the presoma room and in the institute of the presoma delivery board It states and exits the presoma delivery board on the second face,
The free radical through-hole exits second face of the presoma delivery board via the opening in the first plane, and described Gas delivery holes exit the presoma via the opening in the second plane for deviateing first distance of the first plane and convey Second face of plate so that first plane is than second plane closer to described the first of the presoma delivery board Face, and
At least 0.25 inch of first distance;
The method includes:
The first process gas is provided via the gas delivery holes, while the second process gas is provided via the free radical through-hole Body.
15. a kind of reactor for semiconductor processing operation comprising:
Reative cell;
Positioned at the indoor die support of reaction;
Nozzle above the indoor die support of reaction, the nozzle include:
Presoma delivery board with the first face and the second opposite face;
Radical source cavity volume;
Presoma room;
At the gas delivery holes of pattern;With
At the free radical through-hole of pattern, wherein:
Described the first of the presoma delivery board facing towards the radical source cavity volume,
The radical source cavity volume and the presoma room are fluidly isolated from one another in the nozzle,
Each in the free radical through-hole passes through the presoma delivery board, each in the free radical through-hole to exist The presoma delivery board is exited on second face of the presoma delivery board, and each in the free radical through-hole It is a to be in fluid communication in the nozzle with the radical source cavity volume,
Each in the gas delivery holes is in fluid communication with the presoma room and in the institute of the presoma delivery board It states and exits the presoma delivery board on the second face,
The free radical through-hole exits second face of the presoma delivery board via the opening in the first plane, and described Gas delivery holes exit the presoma via the opening in the second plane for deviateing first distance of the first plane and convey Second face of plate so that first plane is than second plane closer to described the first of the presoma delivery board Face, and
At least 0.25 inch of first distance.
These aspects and other aspects of the disclosure are discussed more fully below.
Description of the drawings
The embodiment that Figure 1A depicts the remote plasma source with three subpanel components is operated in free radical air-flow High level schematic diagram in the process.
Figure 1B depicts the remote plasma source of Figure 1A and operated the free radical air-flow by panel is repressed High level schematic diagram in journey.
Fig. 2A depicts the height of one embodiment of the three subpanel components characterized by serving as and the perforated plate of device is thermally isolated Grade schematic diagram.
Fig. 2 B depict the height of one embodiment of the three subpanel components characterized by serving as and the hollow plate of device is thermally isolated Grade schematic diagram.
Fig. 2 C depict one embodiment of the three subpanel components characterized by device design is thermally isolated in the hollow plate of replacement High level schematic diagram.
Fig. 2 D are depicted by the reality that the three subpanel components that device is characterized (characterized by multiple discrete pipes) are thermally isolated Apply the high level schematic diagram of example.
Fig. 2 E depict one of the three subpanel components characterized by the gas distributing hole of deviation and free radical through-hole export The high level schematic diagram of a embodiment.
Fig. 3 A depict generally be straight cooling duct path schematic diagram.
Fig. 3 B also illustrate generally be straight cooling duct path schematic diagram.
Fig. 4 A depict the axonometric drawing of one embodiment of three subpanel components.
Fig. 4 B depict the axonometric drawing of the panel assembly of Fig. 4 A, and plurality of part is cut off to observe internal spy Sign.
Fig. 4 C depict the axonometric exploded view of the panel assembly of Fig. 4 A, and some of components are cut off a part to observe Internal feature.
Fig. 5 A depict the one embodiment for the free radical diffuser plate that cooling duct is flowed with opposite (opposing) Axonometric exploded view.
Fig. 5 B depict the first face of the middle plate of the free radical diffuser plate of Fig. 5 A.
Fig. 5 C depict the second face of the middle plate of the free radical diffuser plate of Fig. 5 A.
Fig. 6 A depict the isometric cutaway view of one embodiment of presoma delivery board.
Fig. 6 B depict the upward view of the presoma delivery board of Fig. 6 A.
Fig. 6 C depict the sectional view of the presoma delivery board of Fig. 6 A.
Fig. 6 D depict the reversed axonometric drawing of decomposition of the presoma delivery board of Fig. 6 A.
Fig. 7 A depict the axonometric exploded view of one embodiment of panel assembly.
Fig. 7 B depict the axonometric drawing of the panel assembly of Fig. 7 A, and some of parts are cut off to observe internal spy Sign.
Fig. 8 A depict positive two isometric cutaway view of one embodiment of three subpanel components.
Fig. 8 B depict the detail view of a part for the three subpanel component of exemplary section view of Fig. 8 A.
Positive two axis that Fig. 8 C depict the exemplary three subpanels component of Fig. 8 A surveys sectional exploded view.
Another positive two axis that Fig. 8 D depict the exemplary three subpanels component of Fig. 8 A surveys exploded sectional view, wherein free radical The upper surface of diffuser plate and presoma delivery board part are removed to allow the feature inside observation.
Fig. 8 E to 8H depict the influence for showing the actual contact area between two mating surfaces of surface roughness pair Conceptual schematic view.
Fig. 9 shows the schematic diagram for the multistation handling implement that can be used together with component described herein.
Fig. 4 A to 8D each scheme drawn to scale.
Specific implementation mode
The embodiment of various embodiments is illustrated and is described further herein in attached drawing.It should be appreciated that herein Discussion be not intended to claim being limited in the specific implementation mode of the description.On the contrary, it is intended to may include Alternative, modification mode in the spirit and scope of the present invention being defined by the following claims and equivalent way.Under In the description in face, the detail of many embodiments is stated in order to provide thorough understanding of the present invention.The present invention can be Implement in the case of some or all of detail without these embodiments.On the other hand, well known technological operation It will not be described in detail in order to avoid unnecessarily obscuring the present invention.
There has been described for remote plasma source and it is other can be together with the feature that remote plasma source uses The various embodiments of three subpanel components of the nozzle being used together.Although being understood that phrase " three points " can be used for referring to There are three the panel assemblies of critical piece for generation tool, but phrase " three points " can also be used for referring to having and limit three different areas (zone) panel assembly of more or less critical pieces, each area is provided contacts panel assembly discussed below with following The functionally similar function of critical piece discussion.
The embodiment that Figure 1A depicts the remote plasma source with three subpanel components is operated in free radical air-flow High level schematic diagram in the process.Remote plasma source 170 is shown, including panel assembly 100, and panel assembly 100 includes certainly By base diffuser plate 101, presoma delivery board 103 and between free radical diffuser plate 101 and presoma delivery board 103 heat every From device 102.Plasma dome 133 (plasma dome) can by install interface 136 (for example, mounting flange, shoulder or its Its feature) it is connect with panel assembly to form radical source cavity volume 137, for example, by panel assembly and plasma dome 133 The cavity volume that surrounds of inner surface 134.In some embodiments, plasma dome can not be used, and is used more conventional Lid form a generally cylindrical radical source cavity volume 137 for example, flat backboard or other shapes, such as can be It is found in traditional sprinkler heads used in semiconductor processing operation.Room adapter 138 (plenum adapter) can be inserted in Gas ions dome 133 (or alternative structure, for example, flat backboard or other structures, as described above) and panel assembly 100 it Between.Room adapter 138 may include that the room 132 of annular, room 132 construct to make process gas through one or more free radical entrances 154 It flows into radical source cavity volume 137.In figure 1A, no gas flows through one or more free radical entrances 154.One or more are certainly It can be the discrete entrance arranged with circular array by base entrance 154, or can be with the thin arc groove in doughnut 132 " air knife " entrance for one or more arcs being characterized.
It is to be understood that although Figure 1A and 1B are depicted and those of discovery in inductively coupled plasma (ICP) system Similar remote plasma source, but panel assembly 100 and other components, such as room adapter 138, can with can be used to The various technologies that free radical is conveyed to the cavity volume of 101 top of free radical diffuser plate are used together.For example, panel assembly 100 It can be with the free radical generation technology, capacitance coupling plasma technology, microwave plasma that are generated free radicals using ultraviolet light Generator and other types of free radical generation technology are used together.Free radical can be directly in radical source cavity volume 137 Generate, or can from external source (such as by MKS Instruments companies provide ASTRONiTMReaction gas generator) it supplies It answers, external source is generated free radicals in the outside of radical source cavity volume 137, then via one or more delivery ports by free radical The gas of change is transported in radical source cavity volume 137.
First process gas can be via in one or more 135 inflow devices of hydroxyl radical gas entrance.Plasma is available Radio-frequency coil 152 is generated with the first process gas, and radio-frequency coil 152 can be connected to the sources RF via matching network 153.Free radical The first process gas can flow to free radical diffuser plate 101 and pass through one or more free radical through-holes 108, reach be located at panel Between 100 and the chip 105 that is handled using remote plasma source 170 (or in panel assembly 100 and die support Between 107) chip conversion zone.Meanwhile precursor gas can flow into one or more precursor gas entrances and across interior Portion's gas distribution channel 112 arrives gas delivery holes 110.Therefore, the first process gas of precursor gas and free radical can quilt It is transmitted to chip conversion zone simultaneously.
Figure 1B depicts the remote plasma source of Figure 1A and operated the free radical air-flow by panel is repressed High level schematic diagram in journey.In fig. ib, the second process gas can be introduced into free radical via one or more free radical entrances 154 Source cavity volume 137.One or more free radical entrances 154 can be configured to the second process gas of guiding and traverse free radical diffuser plate, The second process gas layer is formed between the first process gas and free radical diffuser plate 101.Second process gas stream can (at least portion Point ground) resist towards free radical diffuser plate 101 the first process gas stream, to the second process gas by one or more from Prevent the first process gas of free radical from flowing through free radical through-hole 108 when being flowed by base entrance 154 at least partly.To some For wishing the application for preventing hydroxyl radical gas from reaching technological reaction region in operating process, such second process gas stream can Eliminate the radical source cavity volume to clearing up hydroxyl radical gas in these operating process (this can also be related to extinguishing plasma) Needs.Second process gas can be for example inert carrier gas or can be gas identical with the first process gas.At some In embodiment, the second process gas can be the non-carrier gas different from the first process gas.
Fig. 2A to 2E depicts the high level schematic diagram of various panel assemblies.Each side of panel assembly in disclosure context It is discussed in face.In general, the free radical diffuser plate and presoma delivery board of panel assembly can be maintained at different temperature with Help improve processing environment.For example, free radical diffuser plate it is comparable in presoma delivery board be maintained at significantly lower temperature with Improve free radical transmission efficiency.This is because when colder compared to surface, when surface is relatively warm, free radical is shown in collision With surface in conjunction with ascendant trend.By reducing the temperature of free radical diffuser plate, the free radical with the collision of free radical diffuser plate It is less likely to free radical diffuser plate in conjunction with making more polyradical flow through free radical through-hole.Meanwhile cooling presoma delivery board It can lead to the undesirable condensation of the process gas transmitted by presoma delivery board.This condensation in order to prevent, presoma conveying Plate can be by direct (for example, passing through heating element or fluid heat exchanger) or indirectly (for example, passing through the component heat with higher temperature Contact) heat so that presoma delivery board is maintained on the evaporating temperature of presoma.
In some embodiments, free radical diffuser plate and other components can be entirely or partly covered by material to press down Make or prevent free radical in conjunction with.For example, the one side that free radical diffuser plate faces radical source cavity volume can have aluminium nitride, stone English or sapphire coating.
Device, which is thermally isolated, can be inserted between free radical diffuser plate and presoma delivery board to control the heat between both parts Stream.Therefore, device, which is thermally isolated, can be described generally as setting to hinder the hot-fluid between presoma delivery board and free radical diffuser plate But not substantially influence one or more components of the free radical transmission efficiency across panel assembly.
In the embodiment shown in Fig. 2A to 2E, panel assembly 200 includes critical piece, and the critical piece includes Device 202 and presoma delivery board 203 is thermally isolated in free radical diffuser plate 201.The free radical that panel assembly further includes into pattern is logical Hole 208 and gas delivery holes 210 at pattern.Free radical through-hole 208 passes completely through panel assembly 200, and gas delivery holes 210 Across part presoma delivery board 203 and with free radical through-hole 208 from an identical side outlet for presoma delivery board 203.Gas Body sprocket hole 210 is fluidly connected with one or more internal gas assignment channels 212.Internal gas assignment channel 212 can with one or Multiple precursor gas entrances 248 fluidly connect, and process gas (for example, precursor gas) is made to flow into presoma delivery board 203 And it is flowed out from gas delivery holes 210.Free radical diffuser plate 201 may include that channel 214, channel 214 can each comfortable streams of first end 216 Body is connected to one or more entrances 219 and is fluidly connected to one or more outlets 220 in second end 217.Coolant can be via logical Road 214 circulates through free radical diffuser plate 201 from 201 heat of transfer of free radical diffuser plate and to reduce the temperature of free radical diffuser plate Degree.Coolant can be provided by external source (such as coolant supply or heat exchanger system).
Fig. 2A depicts the height of one embodiment of the three subpanel components characterized by serving as and the perforated plate of device is thermally isolated Grade schematic diagram.In this embodiment, be thermally isolated device 202 using be clipped in free radical diffuser plate 201 and presoma delivery board 203 it Between material layer form.Material for device 202 to be thermally isolated can be with relatively low thermal conductivity (compared to freedom The thermal conductivity of base diffuser plate 201 and presoma delivery board 203) while still maintain with the compatibility of process chemistry process and With the material of the hypoergia of free radical.For example, device 202, which is thermally isolated, to be moulded by such as low K ceramics, quartz, various lower thermal conductivities Expect (for example, polyimides) or stainless steel (coated is to protect them from the chemistry affect of semiconductor process chamber) The material of (for example, 300 series stainless steels) etc is made, and free radical diffuser plate 201 and presoma delivery board 203 can be by having The material (for example, aluminium alloy) of the higher thermal conductivity of magnitude is made.Free radical through-hole 208, which may pass through, is thermally isolated device 202.It can see It arrives, free radical through-hole 208 is kept when they pass through free radical diffuser plate 201, device 202 and presoma delivery board 203 is thermally isolated Substantially uniform cross section.This contributes to the free radical reduced in each free radical through-hole 208 to travel across free radical through-hole 208 surface areas being likely to be encountered, reduce the side wall of such free radical and free radical through-hole in conjunction with chance.
Fig. 2 B depict the height of one embodiment of the three subpanel components characterized by serving as and the hollow plate of device is thermally isolated Grade schematic diagram.In the embodiment of panel assembly 200, device 202, which is thermally isolated, can largely be hollowed out to which formation can be taken out (pump down) is arranged to the interior free cavity volume 241 of vacuum.Free cavity volume 241 can be between being thermally isolated in device 202 restriction substantially Gap 240;In some embodiments, it can be seen that gap 240 can free radical diffuser plate 201 and presoma delivery board 203 it Between or in free radical diffuser plate 201 or presoma delivery board 203 and between the surface of device 202 is thermally isolated.Tubular structure 242 can Basic fluid isolation free radical through-hole 208 and interior free cavity volume 241.Since there are vacuum in interior free cavity volume 241, so It is effectively limited in the radiant heat transfer mechanism by free cavity volume 241 and is limited across pipe across the hot-fluid that device is thermally isolated The conduction of the material of shape structure 242.In order to reduce the conduction heat flow in the embodiment, (the heat of such as Fig. 2A of device 202 is thermally isolated Isolator 202) it is made of low-K material.
Free cavity volume 241 can via 259 pump drainage of vacuum ports being connect with vacuum pump to vacuum or can for example via It is directed across panel assembly 200 and to the vacuum ports of chip conversion zone (be not shown in Fig. 2 B, but can be found in Fig. 7 A and 7B) It is fluidly connected with the bigger cavity volume of the process chamber of receiving (house) panel assembly 200.Because chip conversion zone can keep true Empty condition (but having the addition of a small amount of process gas, the process gas is provided by panel assembly 200), so this can also be used for Free cavity volume 241 is maintained to similar atmospheric conditions.
Fig. 2 C depict one embodiment of the three subpanel components characterized by device design is thermally isolated in the hollow plate of replacement High level schematic diagram.The embodiment is similar with the embodiment with reference to described in figure 2B, but device 202 is thermally isolated and does not limit completely Free cavity volume 241.On the contrary, it includes plate that device 202, which is thermally isolated, wherein tubular structure 242 is in the position of each free radical through-hole 208 From its protrusion.In this embodiment, gap 240 is limited by free radical diffuser plate 201 and the plate being thermally isolated in device 202. Tubular structure 242 may extend into the recess portion in free radical diffuser plate 201.In some embodiments, tubular structure 242 can be simple Ground flushes against free radical diffuser plate 201 and does not extend to such recess portion.In other embodiment, tubular structure 242 can be separated by small―gap suture and free radical diffuser plate 201, i.e. sealing between tubular structure 242 and free radical diffuser plate 201 It is not required.Such small―gap suture can be small enough so that the conductance rate between tubular structure 242 and free radical diffuser plate 201 (flow conductance) is substantially less than the conductance rate by free radical through-hole.Vacuum ports 259 can be used for pump drainage and be thermally isolated Free cavity volume 241 in device 202 is to further decrease the thermal conductivity that device 202 is thermally isolated.
Fig. 2 D are depicted by the reality that the three subpanel components that device is characterized (characterized by multiple discrete pipes) are thermally isolated Apply the high level schematic diagram of example.In this embodiment, device 202 is thermally isolated not as component that is single, can limiting to exist.Phase Instead, it can be formed by the set of the smaller component between free radical diffuser plate 201 and presoma delivery board 203. For example, one of free radical diffuser plate 201 and presoma delivery board 203 or the two may include recess portion, allow discrete pipe knot Structure 242 is inserted into free radical diffuser plate 201 and/or presoma delivery board 203.The outer wall of annular can be in free radical diffuser plate 201 Structural support is provided between presoma delivery board 203 and limits the outer limit of free cavity volume 241.In the embodiment Annular outer wall and tubular structure can be made of identical or different material.For example, annular outer wall can be made of aluminium oxide, and manage Shape structure can be made of quartz.
Fig. 2 E depict the three subpanel components characterized by the outlet of the gas distributing hole of deviation and the outlet of free radical through-hole One embodiment high level schematic diagram.Device embodiment party is thermally isolated above in connection with the discussed embodiment concerns of Fig. 2A to 2D are various Formula.Device 202 is thermally isolated using similar with device is thermally isolated shown in Fig. 2 B in embodiment shown in Fig. 2 E, but can also be used It is above-mentioned other types of device 202 to be thermally isolated.However, in Fig. 2 E, gas delivery board 203 with gas shown in Fig. 2A to 2D The different geometry of delivery board 203 is characterized.The gas delivery board 203 of Fig. 2 E has exits gas in the first plane 243 The free radical through-hole 208 of delivery board 203, the first plane 243 deviate the first non-zero distance 245 from the second plane 244, pass through second Plane 244, gas delivery holes 210 exit gas delivery board 203.
For example, gas delivery board 203 can be substantial tablet, wherein midget tube is in each gas delivery holes 210 Position is protruded from the tablet.Deviation between the pelvic outlet plane and the pelvic outlet plane of free radical through-hole 208 of gas delivery holes 210 can So that the hydroxyl radical gas stream from free radical through-hole can reach base before precursor gas is by introducing to hydroxyl radical gas (fully-developed) flow regime being sufficiently spread out in sheet.Deviate the pelvic outlet plane of each gas, free radical gas by physics Body has time enough to become the stream being sufficiently spread out.In some embodiments, which can be more than 0.25 inch.Other one In a little embodiments, which can be between 0.25 inch to 3 inches.In other embodiment, which can be in 3 English Between very little to 12 inches.
It is to be understood that free radical diffuser plate 201, device 202 is thermally isolated and the above-mentioned various designs of gas delivery board 203 can It is assembled by different various arrangements are arranged from shown these.For example, the gas with the non-co-planar pelvic outlet plane from Fig. 2 E Body delivery board 203 can with from Fig. 2A free radical diffuser plate 201 with device 202 be thermally isolated be used together.By modification appropriate, Similar displacement can be carried out for other Fig. 2 B to 2D.
Although will further be understood that presoma delivery board 203 shown in attached drawing with only single group gas delivery holes for spy Sign, but some embodiments can be with the presoma delivery board with multigroup gas delivery channels and corresponding gas delivery holes 203 are characterized.For example, presoma delivery board 203 may be structured to have two groups of gas delivery channels and two groups of corresponding gases Sprocket hole, each other fluid isolation in gas delivery board 203.
Just with internal cooling channel various plates (such as free radical diffuser plate 201 and be thermally isolated device 202 some implementation Mode) for, cooling duct may pass through the plate, and wherein they are located in tortuous or detour path.In some other implementation In mode, cooling duct can be along being generally that straight path extends.It is generally straight cooling duct path that Fig. 3 A, which are depicted, Schematic diagram.Fig. 3 B also illustrate generally be straight cooling duct path schematic diagram.By Fig. 3 A as it can be seen that cooling duct 314 It can extend along straight path 315;Such channel can be the result that drill-through plate is for example traversed with drill gun.By Fig. 3 B as it can be seen that Cooling duct 314 can deviate straight path 315, for example, by through the tortuous advance back and forth of straight path 315, meanwhile, still always Extend along straight path 315 on body.So that it takes up a position, for example, extending along the path parallel with reference planes for mentioning is logical Road can be understood as including the channel extended substantially along the straight path parallel with reference planes, such as institute in Fig. 3 A and 3B Show.
The other embodiments for describing panel assembly and component therein are introduced now according to the design summarized in the disclosure Each more detailed attached drawing.
Fig. 4 A depict the axonometric drawing of one embodiment of three subpanel components.Shown panel assembly 400 is expanded with free radical It falls apart and 401, presoma delivery board 403 and is inserted between free radical diffuser plate 401 and presoma delivery board 403 device is thermally isolated 402 are characterized.Device 402 is thermally isolated to be difficult to a little see in this view, but will become more apparent that in attached drawing below.
Panel assembly can be to be distributed on the region of entire automatic adjustment around central shaft 431 the freedom at pattern Base through-hole 408 is characterized.Free radical through-hole 408 can have the hole central shaft 409 for being essentially perpendicular to free radical diffuser plate 401. Free radical through-hole can have total sidewall area, total sidewall area to represent the summation of the sidewall area of whole free radical through-holes 408 (including the side wall of device 402 and the part in presoma delivery board 403 is thermally isolated in being located at for free radical through-hole 408), and free radical The part of the segment boundary of the formation radical source cavity volume of diffuser plate 401 can have cross-sectional area, not include free radical through-hole 408 cross-sectional area.In some embodiments, total sidewall area of free radical through-hole 408 and the ratio of above-mentioned cross-sectional area can Less than 1 to 1.5.
In some embodiments, the ratio of the length and diameter of free radical through-hole 408 can be more than 2:1 and the length can be big In 0.25 inch to contribute to the gas for preventing from being transmitted by panel assembly 400 via gas delivery holes 410 or free radical through-hole In 408 back-diffusion to panel assembly 400.In some embodiments, the ratio of the length and diameter of free radical through-hole 408 can be between 7:1 and 10:Between 1.Free radical through-hole 408 can have the nominal consistent hole central axis with across panel assembly 400 Cross-sectional area.In some embodiments, cross-sectional area can have some variations, for example, free radical through-hole 408 they enter and There is flaring in the place for exiting panel assembly 400.
Free radical diffuser plate 401 and presoma delivery board 403 shown in Fig. 4 A are multi-part design, but multi-part scheme It is shown just for free radical diffuser plate.For example, free radical diffuser plate 401 is by tool, there are four arc outer portion (outer Portion inside points (inner portion) formation of automatic adjustment), arc outer portion are welded in welding region 447 The periphery of inside points.This allows the width across inside points to drill out penetrating via (cross-passage) and then makes through logical Road can be covered by outer portion, form the inner space of the sealing of gas or fluid stream.Free radical diffuser plate 401 may also include can Be configured to by free radical diffuser plate 401 sealing to such as back-cover, adapter, plasma dome or other mating surfaces one or Multiple sealed interfaces 446.Further, sealed interface 446 may be alternatively located on other components, for example device 402 or preceding is being thermally isolated It drives on body delivery board 403, with the interface for sealing free radical diffuser plate 401, being thermally isolated between device 402 and presoma delivery board 403.
First entrance 423 and second entrance 427 can be via the cooling ducts inside free radical diffuser plate 401 respectively with first Outlet 424 and second outlet 428 are in fluid communication.Similarly, one or more precursor gas entrances 448 can be with presoma delivery board One or more internal gas assignment channels in 403 are in fluid communication.
Fig. 4 B depict the axonometric drawing of the panel assembly of Fig. 4 A, and plurality of part is cut off to observe internal spy Sign.It can be seen that free radical through-hole 408 passes completely through free radical diffuser plate 401, device 402 and presoma delivery board is thermally isolated 403, and by doing so, keep the substantially uniform cross section vertical with central shaft 409.In addition, viewability channel in Fig. 4 B 414, which is one in several this channels 414 in the first internal cooling channel network 413.It can be seen that leading to The first end 416 in road 414 can be fluidly connected with coolant room 450, and coolant room fluidly connects (such as Fig. 4 C with first entrance 423 In it is visible).Second entrance 427 can be fluidly connected from different coolant room 450 and in turn with other channels 414 (in the figure not Show) first end 416 fluidly connect.In some embodiments, first entrance 423 and second entrance 427, or it is single total Same entrance can be connect with common coolant room 450, and common coolant room 450 connect with the first end 416 in channel 414.Channel 414 second end 417 (being not shown in Fig. 4 B, but as it can be seen that being discussed below in Fig. 4 C) can be connected to one or more coolants Room 450, the coolant room 450 can be connected to first outlet 424 and second outlet 428 in turn, or in some embodiments In, it is connected to single common coolant room 450 and/or is connected to single conjoint outlet.It can also be used and be enough in entire free radical Diffuser plate 401 provides other cooling schemes of substantial uniform cooling, such as is spread in free radical under general process conditions The entire part that plate can be contacted with free radical gas is less than~1-2 DEG C of the temperature difference, these schemes are also considered as in the disclosure In the range of.
In some respects, presoma delivery board 403 can have some similar with the feature in free radical diffuser plate 401 special Sign.For example, presoma delivery board 403 can have in some respects can with coolant room 450 and channel 414 it is substantially similar before Drive body room 451 (precursor plenum 451) and internal gas assignment channel 412.Presoma delivery board 403 can also have It constructs precursor gas introducing to one or more precursor gas entrances 448 in presoma room 451.But compared to Free radical diffuser plate 401, the fluid (for example, precursor gas) for flowing into presoma room 451 and internal gas assignment channel 412 can To exit presoma delivery board 403 not via the outlet similar with first outlet 424 and second outlet 428, and on the contrary, can be with It is flowed out from the gas delivery holes 410 at pattern that internal gas assignment channel 412 fluidly connects.Gas delivery holes 410 can have There is the hole central shaft 411 parallel with the central shaft of free radical through-hole 408 409.For cross section, gas delivery holes 410 can be big Width is less than free radical through-hole 408.But in other embodiments, the structure of free radical diffuser plate and presoma delivery board 403 It can be different from structure shown in Fig. 4 B to a greater extent.
Fig. 4 C depict the axonometric exploded view of the panel assembly of Fig. 4 A, and some of components are cut off a part to observe Internal feature.In figure 4 c, the top half of free radical diffuser plate 401 has been removed to see channel 414.For similar The top half of reason, presoma delivery board 403 is also removed.
Free radical diffuser plate 401 can have the first face 406, and (it is not directly visible in figure 4 c, because it is in free radical On the part that diffuser plate 401 has been cut off --- the first face 406 is also shown in Fig. 4 B) and second face opposite with the first face 407。
It is also shown coolant room 450, in this embodiment, coolant room 450 is arc inner space, each occupancy The different a quarter parts of free radical diffuser plate 401.Coolant room 450 on the side of reference planes 418 and logical Road 414 in free radical diffuser plate 401 can on the other side of reference planes 418 coolant room 450 and channel 414 flow Body is isolated.The permissible relatively high coolant-flow rate of arrangement in this room/channel and the width that may span across free radical diffuser plate The substantial uniform temperature difference is provided, for example, the temperature difference less than about 1 DEG C.Each channel 414 can be connected to cooling in first end 416 Agent room 450 is simultaneously connected to different coolant room 450 in second end 417.Temperature sensor hole 449 can be provided that so that can be certainly Temperature sensor is arranged by the immediate vicinity of base diffuser plate 401, for example, thermocouple or other temperature measurement probes (not shown), For the temperature monitoring in free radical diffuser plate 401.
For presoma delivery board 403, it is observed that in this embodiment, providing generally surround forerunner The single presoma room 451 that the circumference of body delivery board 403 extends.It can provide and be configured to precursor gas being fed into forerunner The precursor gas entrance 448 of body room 451.Precursor gas from presoma room 451 can flow into internal gas assignment channel 412, and flow out presoma delivery board from internal gas assignment channel 412 via gas delivery holes 410.Gas delivery holes 410 can By with the distribution mode of free radical through-hole 408 it is relatively similar in a manner of be distributed on entire presoma delivery board 403.It is appreciated that It is that for 410 the two of free radical through-hole 408 and gas delivery holes, different sectional hole patterns can be used in other embodiment.Freely Base through-hole 408 can be configured to and internal gas assignment channel 412, gas delivery holes 410, the coolant in panel assembly 400 414 fluid isolation of room 450, presoma room 451 and channel.
Similar to free radical diffuser plate 401, presoma conveying 403 can have the first face 404, and (same, the side is in figure 4 c It is not directly visible due to cutting away) and the second face 405.In general, the first face 404 of presoma delivery board 403 can face Second face 407 of free radical diffuser plate 401 is thermally isolated device and is inserted between the first face 404 and the second face 407.
As previously discussed in the disclosure, can by it is various stack arrangement using different types of free radical diffuser plate 401, Device 402 and presoma delivery board 403 is thermally isolated to provide panel assembly 400.Fig. 4 A to 4C are depicted with free radical diffuser plate 401 panel assembly 400, free radical diffuser plate 401 have the unidirectional coolant flow across channel 414.
Fig. 5 A depict the one embodiment for the free radical diffuser plate that cooling duct is flowed with opposite (opposing) Axonometric exploded view.In fig. 5, free radical diffuser plate 501 can be formed by three stacking for critical piece:Top plate 555, middle plate 556 With bottom plate 557.These plates can be clamped together or can be held togather by more lasting measure (for example passing through soldering). Top plate 555 can have first entrance 523 and second entrance 527.First entrance 523 can penetrate top plate 555 and via top plate 555 Slot (not shown, but similar with the slot shown on the upper surface of bottom plate 557) in lower surface is with coolant room 550 (Fig. 5 A's The right is shown) it is in fluid communication.Then, the coolant that coolant room 550 is flowed into via first entrance 523 can flow through in middle plate 556 First passage 525 and enter another coolant room 550, then via another slot flow out first outlet 524.
Second entrance 527 and second outlet 528 are also shown in Fig. 5 A, the two is via visible slot in bottom plate 557 in The fluid communication (invisible in the view, but visible in Fig. 5 C) of second channel 526 on the bottom surface of plate 556.Fig. 5 A include arrow Head is to indicate the direction of fluid flow of entry/exit each inlet/outlet and room.
Fig. 5 B depict the first face of the middle plate of the free radical diffuser plate of Fig. 5 A.Fig. 5 C depict the free radical diffusion of Fig. 5 A Second face of the middle plate of plate.First face shown in Fig. 5 B corresponds to the visible one side of the middle plate 556 in Fig. 5 A.Flow arrow table Show fluid stream in first passage 525 from right to left.Second face shown in Fig. 5 C middle plate 556 first face-to-face.Stray arrow Fluid stream in head expression second channel 526 is from left to right.Therefore, coolant can in first passage along first direction flow and It is flowed in the second channel along the second direction opposite with first direction.It includes free radical that this, which may span across free radical diffuser plate 501, The part of through-hole 508 generates more uniform temperature distribution.
Fig. 6 A depict the isometric cutaway view of one embodiment of presoma delivery board.The presoma delivery board 603 of Fig. 6 A It is similar with design shown in Fig. 2 C, and include mainboard 660 (main plate), mainboard 660 includes going forward side by side from the protrusion of mainboard 660 Enter some tubular structures 642 of cover board 658.Each tubular structure 642 may include the free radical through-hole 608 across it.Mainboard 660 and cover board 658 can be offset with one another by gap to form presoma room 651.In this embodiment, presoma room 651 is not Only include the circumference cavity volume around free radical through-hole 608, also includes the gap between tubular structure 642, to instead of right The demand of discrete gas assignment channel.May be present on the second face of gas delivery board 603 at the gas delivery holes 610 of pattern and It is in fluid communication (it is to be understood that in this view, presoma delivery board 603, which is squeezed, to be shown, because of gas with presoma room 651 Body sprocket hole 610 is usually directed at downwards chip conversion zone in use).
Fig. 6 B depict the upward view of the presoma delivery board of Fig. 6 A.By the view as it can be seen that gas delivery holes 610 and freedom Base through-hole is arranged in a manner of substantial uniform distribution across the inner portion of gas delivery board 603.Fig. 6 C depict figure The sectional view of the presoma delivery board of 6A.The view more clearly illustrates some details of Fig. 6 A.Fig. 6 D depict Fig. 6 A Presoma delivery board the reversed axonometric drawing of decomposition.This view show that tubular structure 642 can have along their outer surface Shoulder or tapered edge (tapered edge), it should be noted that, shown inner surface reflects and free radical through-hole cross section The constant cross-section matched.In practice, tubular structure 642 may include various features with help to manufacture or assemble it is for example circular or The external margin of chamfering.
Fig. 7 A depict the axonometric exploded view of one embodiment that device is thermally isolated.It shows and is spread with free radical in fig. 7 The similar free radical diffuser plate 701 of plate 401.But it can provide and device 402 be thermally isolated and presoma delivery board 403 is different is thermally isolated Device 702 and presoma delivery board 703.In this embodiment, tubular structure 742 is from the first face 704 of presoma delivery board 703 It protrudes and enters the cylindrical shape cavity volume being thermally isolated in device 702.In fig. 7, tubular structure placeholder 742' (placeholder) indicate that tubular structure 742 is in the position being thermally isolated in device 702 when panel assembly 700 is fully assembled.Cause This, in an assembling process, formed free radical diffuser plate 701, the part that device 702 and/or presoma delivery board 703 is thermally isolated it is each Other layers that kind component can support or be attached to panel assembly 700 by other layers of panel assembly 700, are then appropriately positioned. Vacuum ports 759 are also shown in Fig. 7 A, in this embodiment, vacuum ports 759 are simple holes, allow that device 702 is thermally isolated In cylindrical shape cavity volume by presoma delivery board 703 and chip conversion zone or the ventilation of the chamber enclosure chamber of surrounding (from And the pressure in the cylindrical shape cavity volume is allowed to keep in balance with the pressure conditions in semiconductor processes room, for example, keeping vacuum Environment).
Fig. 7 B depict the axonometric drawing that device is thermally isolated of Fig. 7 A, and some of parts are cut off to observe internal spy Sign.In figure 7b, the free radical diffuser plate shown has flat-cut section (chord section cut), will be across coolant room 750 Between and if be the first internal cooling channel network 713 part dry passage 714 in one be divided into two.Free radical through-hole 708 may pass through free radical diffuser plate 701, and sealed interface 746 is provided to that panel assembly 700 is made to can be sealed for example (not in combination with panel assembly 700 generally the plasma dome structure of restriction remote plasma cavity volume or other structures Diagram).First outlet 724 and second outlet 728 may make coolant that can exit the inside of free radical diffuser plate 701.
The device 702 that is thermally isolated shown has different flat-cut sections.It can be seen that from 703 pipe outstanding of presoma delivery board Shape structure 742 can be projected into the cavity volume limited by the gap 740 between free radical diffuser plate 701 and presoma delivery board 703 In.
The presoma delivery board 703 shown has staged flat-cut, that is, the presoma delivery board 703 shown has about Across the flat-cut section of the depth of its thickness half, and with the smaller flat-cut section across its residual thickness.Presoma room 751 can See, there are two internal gas assignment channel 712 and two gas delivery holes 710.Precursor gas entrance 748 can be with internal gas Body assignment channel 712 fluidly connects.
Fig. 8 A depict positive two isometric cutaway view of one embodiment of three subpanel components.Fig. 8 B depict showing for Fig. 8 A The detail view of a part for three subpanel component of example property section view.Fig. 8 C depict positive the two of the exemplary three subpanels component of Fig. 8 A Axis surveys sectional exploded view.Another positive two axis that Fig. 8 D depict the exemplary three subpanels component of Fig. 8 A surveys exploded sectional view, The upper surface of middle free radical diffuser plate and presoma delivery board part are removed to allow the feature inside observation.
In general, many features shown in Fig. 8 A to 8D are similar with those features shown in Fig. 4 A to 4C.Fig. 8 A It can be managed to the element numbered with the reference numeral for having identical last two digits with the similar structures in Fig. 4 A to 4C in 8D Solution is substantially similar with the counter structure in Fig. 4 A to 4C, unless otherwise indicated herein.In order to avoid repeating, extremely for Fig. 8 A 8D, reader can refer to the description that front contact Fig. 4 A to 4C carry out these elements.
From Fig. 8 A as it can be seen that device 802 is thermally isolated between free radical diffuser plate 801 and presoma delivery board 803.But Device 402 is thermally isolated compared to Fig. 4 A to 4C, it is that there are two individual components (first layer 802a and the second layers for tool that device 802, which is thermally isolated, Layer-stepping component 802b), two individual components stack together to form isolator 802.As shown, each layer can be at least It is large enough to include (each the free radical through-hole of essentially all of free radical through-hole 808 being present in panel assembly 800 808 pass through the layer that device 802 is thermally isolated and free radical diffuser plate 801 and presoma delivery board 803;Across each component it is each from 808', 808 ", etc. are referred to as by base through-hole).As shown, second layer 802b is embedded in the recess portion in first layer 802a Face.The recess portion can have the depth of the half for the nominal thickness for being essentially equal to first layer 802a, and second layer 802b can have The almost the same thickness with recess depths.Accordingly, be thermally isolated device 802 can be thermally isolated as device 402 be mounted on it is substantially the same Big envelope in, but the whole big envelope that this is not required and other embodiment can be different is characterized.
In addition, though first layer 802a is shown as with recess portion, second layer 802b is mounted in the recess portion, but other realities The mode of applying can also be substantially the same layer (for example, the two flat plates self stacked, another is recessed into without one In) be characterized.Various other embodiments are also contemplated by, but the common trait of these other embodiments can be all with multiple layers It is characterized, wherein at least having discontinuously in the region comprising free radical through-hole 808 in panel assembly 800 between multiple layers Boundary.
It will further be understood that although discribed embodiment, characterized by two layers, other embodiment can have The device 802 that is thermally isolated of more than two layer (for example, three, four or more layers) is characterized.Such other embodiment Also within the scope of this disclosure.
The layer can have indexing feature on it, such as surround the circumferential row of second layer 802b in a radially symmetric manner Three radial slots (merely illustrate two --- remaining to be cut away in part positioned at panel assembly 800) of row, also with similar Mode around first layer 802a recess portion three of internal arrangement corresponding radial boss, to ensure that device 802 is thermally isolated The part of two (or more) layers and free radical through-hole on each layer is aligned with each other.As shown, indexing feature can It is configured to allow for the layer that can stretch in different ratios, without cause because of the thermal expansion difference between the layer can not The thermal stress of receiving, while keeping the layer generally placed in the middle relative to each other.
Layer both 802a and 802b can usually be made of same or similar material, but in some embodiments, if It needs, it is possible to use different materials, for example, if too high with the too difficult or cost of one of the preferred material production layer, It can be by alternative materials for producing the layer.
As previously mentioned, first layer 802a and second layer 802b has generally in the region where free radical through-hole 808 Identical thickness.Although the layer (including additional layer except the layer shown) there can be different-thickness in this region, permitted In more embodiments, the layer in this region can be all with substantially equal thickness.This can enhance that device 802 is thermally isolated is right The whole resistivity of failure.For example, if a layer is substantially thinner than other layers (and all layer materials are identical), this Relatively thin can be placed under increased rupture or damage risk.By making each layer in the region with free radical through-hole 808 In there is substantially the same thickness, this risk will not be concentrated in any one layer, instead can be in all layers substantially It is upper to divide equally.
It can be selected from various low thermal conductivity materials for the material of first layer 802a and second layer 802b, for example, such as The dielectric material of low K ceramics or quartz etc, the various low thermal conductivity plastics of such as polyimides etc or such as 300 systems The stainless steel of row stainless steel etc (coated is to protect them from the chemistry affect of semiconductor process chamber).For example, the One layer of 802a and second layer 802b can be made of aluminium oxide or aluminium nitride.
Present inventors have recognized that low-voltage semiconductor processing environment (such as wherein can be used panel assembly 800 that Under background a bit), device is thermally isolated compared to the single layer such as shown in Fig. 4 A to 4C etc, Multi-layer thermal isolator 802 can provide Outstanding thermal resistance, to realize the bigger temperature difference between free radical diffuser plate 801 and presoma delivery board 803.It is this increased Thermal resistance occurs due to several, is more fully inquired into below.
First, commonly used in the pressure of panel assembly as operation it is sufficiently low so that be trapped in be thermally isolated device 802 layer it Between any gap or non-contact area in the density of any gas be low enough to the heat transfer of gas in this way not The whole heat transfer by the way that device 802 is thermally isolated can significantly be encouraged.Although other than the pressure of gas, gas in this way Heat transfer can also depend on the ingredient of such gas, but in general, pressure would generally be the thermal conductivity of gas in this way The main determining factor of rate.The pressure of such gas can for example, less than about 3 to 7 supports, in some embodiments, which can Less than about 1 to 0.1 support.
Secondly, contact interface between each pair of adjacent layer in device 802 is thermally isolated due to the surface smoothness of the layer and It is not complete perfect contact interface, that is, the layer does not have on entire contact interface on their exposed area 100% straight Contact.For example, the surface roughness of many ceramic materials surface roughness usually more typically available than other materials is thicker It is rough, and at least 8 to 16 microinch or higher R can be maintained as in the example of layer of device 802 is thermally isolatedaValue.In some realities It applies in mode, RaValue can be maintained as at least 4 to 8 microinch or higher value.Compared in more smooth surface smoothness feelings What be may occur in which under condition is in direct contact, and due to this surface roughness, has the actual of much less between said layers and directly connects It touches.For example, if first layer 802a has the surface roughness R of 16 microinchaAnd second layer 802b has the surface of 8 microinch Roughness Ra, then two layers can only be in direct contact with one another in their about 5% mating surface.Remaining of the mating surface area 85%, which can represent the layer, can be spaced several microinch and the region that does not contact actually each other.Therefore, the layer it Between in these not contact area without direct heat transfer.Unique heat in these not contact area passes between said layers Guiding path is provided by gas, makes it is not encouraged significantly the whole of device is thermally isolated as described above, the gas is in sufficiently low pressure Body heat is conducted.
Fig. 8 E to 8H, which are provided, is further understood from the design.In Fig. 8 E, the first material 861 and the second material 862 are shown as the surface roughness on opposite surface with amplification.In Fig. 8 F, the first material 861 of Fig. 8 E and Two materials 862 have been in contact with each other, but due to surface roughness, are in direct contact and exist only in the region represented by diagonal line hatches. If amounted to the width of the contact area with shade on the part of 861 and second material 862 of entire first material, The total region (being reproduced in the bottom of the figure) obtained is about the 23% of the width of shown part.
In Fig. 8 G, the first material 861 and the second material 862 are again shown as, but with the rough surface more amplified Degree.In Fig. 8 H, the first material 861 and the second material 862 of Fig. 8 G have been in contact with each other.Once again, due to surface roughness, directly Contact exists only in the region represented by diagonal line hatches.In this case, it is in direct contact the entirety only in shown part Exist on about the 8% of width.
Although Fig. 8 E to 8H are simplified, two-dimensional examples and unrelated with any specific roughness value, they are used for table The increase of the surface roughness of bright mating surface can lead to total reduction for being in direct contact region between two surfaces, Yi Jipei The increase of the part being actually not directly contacted with each other in conjunction face.
The flatness of third, the interface surface of each layer can be constrained in specified tolerances, for example, at 0.002 inch In absolute flatness.This can help ensure that be present in layer between be in direct contact on a small quantity on entire contact area between layers uniformly It is distributed and can prevent that hot localised points caused by region can be in direct contact by what is concentrated.If the layer is not flat enough, this can cause The layer is in contact with each other in concentration zones.Hot-fluid between the layer can be concentrated in identical position, and can be because of the face of reduction It accumulates and undergoes heat choke.This can cause the temperature difference of the position to rise and can cause to be not intended in terms of process uniformity angle in turn Hot localised points.
Finally, the layer can be clamped power by being sandwiched between free radical diffuser plate 801 and presoma delivery board 803 It keeps together.For example, layer 802a and 802b can be used for the panel assembly 800, (panel assembly 800 has nominally about The second layer 802b of 13 inch diameters) the chucking power of about 3000 pounds (lbs) keep together.Layer can be usual to the contact of layer It is " dry ", that is, can exist without interface material (such as adhesive, brazing material or other fillers).
It is to be understood that the component that panel assembly discussed herein and other components can be used as semiconductor process chamber provides, As previously discussed in the disclosure.In some embodiments, one or more such semiconductor process chambers can be used as multistation Treating stations in semiconductor processing tools provide.In some embodiments, single process chamber may include multiple treating stations, each A panel assembly for all having themselves.
Another feature that device 802 is thermally isolated that the heat transfer by the way that device 802 is thermally isolated can be helped to reduce is the hot portion of circle segment 864(thermal break).The form positioned at the annular recess being thermally isolated in device can be used in the hot portion of circle segment 864.Annular recess Can be set such that the mid-diameter (mid-diameter) of annular recess be substantially located at coolant room 850 mid-diameter or At central radius (or being equivalent to the position of non-circular/non-arc coolant room 850).The hot portion of circle segment 864 can play a role Device and entrance coolant room 850 is thermally isolated to prevent or limit heat outflow near the periphery that device 802 is thermally isolated.This can be helped It is maintained at more uniform temperature in device 802 being thermally isolated in the whole region where free radical through-hole 808.
Various panel assemblies shown in axis survey or other 3-D views in attached drawing are nominal designs for handling 300mm half Conductor chip and the usual internal diameter with about 13 inches.It is understood however that available partly leading designed for other sizes The panel assembly of body chip (such as semiconductor wafer of 450mm) carrys out design concept as implementation of class, and such design can be with Characterized by the corresponding size bigger than these shown sizes.
If will further be understood that without other incompatible situations, different embodiments shown here it is various Design concept can be combined into other embodiment.For example, the Multi-layer thermal isolator of Fig. 8 A to 8D can be with structure shown in Fig. 2 E Think be combined to produce by multiple tubular elements make layer mutually deviate between said layers generate wide arc gap Multi-layer thermal every From device.
Fig. 9 is shown at the multistation with inbound (inbound) load lock 902 and outbound (outbound) load lock 904 The schematic diagram of science and engineering tool 900.Manipulator 906 is configured to chip under atmospheric pressure from the crystalline substance loaded by cabin 908 (pod) Film magazine (cassette) is moved to via air port 910 in inbound load lock 902.Chip can be placed on by manipulator 906 into On pedestal 912 in load lock of standing 902, air port 910 can be closed, then, can the pump drainage load lock.If inbound loads Lock 902 include remote plasma source, then chip can in by introducing to process chamber 914 before be exposed in the load lock Remote plasma treatment.In addition, chip can also be heated in inbound load lock 902, such as to remove moisture and be inhaled Attached gas.Then, it can be opened to the room transmission port 916 of process chamber 914, and another manipulator (not shown) can be by chip It is in place in the process chamber 914 on the pedestal of the first stop shown in the reactor for processing.Although being described in Fig. 9 Embodiment include load lock, but it is understood that, in some embodiments, chip can enter directly into treating stations In.
In embodiment shown in Fig. 9, the process chamber 914 includes four treating stations, is numbered from 1 to 4.Each station is equal Can have the pedestal (for station 1, being shown at 918) being heated or not heated and gas line entrance.It is to be understood that In some embodiments, each treating stations can have different purposes or multiple purposes.For example, in some embodiments In, treating stations can be deposited in conformal film to be switched between (CFD) and PECVD tupes.Additionally or alternatively, in some implementations In mode, process chamber 914 may include one or more to matched CFD and PECVD treating stations.Although discribed process chamber 914 wraps Four stations are included, but it is understood that, any appropriate number of station can be had according to the process chamber of the disclosure.For example, at some In embodiment, process chamber can have five or more stations, and in other embodiments, process chamber can have three or less Station.
Each station may include the nozzle component separated that process gas is conveyed to the chip positioned at associated station.In some realities It applies in mode, some or all of these nozzles are using panel assembly as described herein.For example, if station is provided to chip RPD processing or the other processing that can benefit from the use of equipment as described herein, the then nozzle for being used for the station may include this Panel assembly described in text.Nozzle may also include other feature discussed in this article, for example, the room of annular, plasma dome, And/or the connection with outer remote plasma source.
Fig. 9 further depicts the wafer handling system 990 for the transmission wafer in process chamber 914.In some embodiments In, wafer handling system 990 can between each treating stations and/or between treating stations and load lock transmission wafer.It is to be understood that , any suitable wafer handling system may be used.Non-limiting embodiment includes that chip transmission disk and chip are removed Transport manipulator.Fig. 9 further depicts the system controller 950 of process conditions and hardware state for control process tool 900.System System controller 950 may include one or more memory devices 956, one or more mass memory units 954 and one or more processing Device 952.Processor 952 may include CPU or calculator, simulation input/output connection (connection) and/or numeral input/ Output connection, stepping motor controller plate, etc..
In some embodiments, all activities of 950 control process tool 900 of system controller.System controller 950 execute system controlling softwares 958, and system controlling software 958, which is stored in mass memory unit 954, is loaded into memory sets It is performed in standby 956 and on the processor 952.System controlling software 958 may include being executed by handling implement 900 for controlling The sequential of special process, admixture of gas, chamber pressure and/or station pressure, room temperature and/or station temperature, chip temperature, target power are electric Flat, RF power levels, substrate pedestal, chuck position and/or base position and other parameters instruction.System controlling software 958 can be configured in any suitable manner.For example, the subprogram or control targe of various handling implement components can be write The operation of handling implement component necessary to the technique of various handling implements is executed with control.System controlling software 958 can be with Any suitable computer-readable program language is encoded.
In some embodiments, system controlling software 958 may include the input/output for controlling above-mentioned various parameters Control (IOC) ordering instruction.For example, each stage of CFD techniques may include one or more executed by system controller 950 Instruction.Instruction for process conditions to be arranged for CFD operation stages can be included in corresponding CFD formulation stages.At some In embodiment, multiple nozzle (if present)s can be independently controlled to allow to execute separated, parallel technological operation.
In some embodiments, it may be used and be stored in and 950 relevant mass memory unit 954 of system controller And/or other computer softwares on memory device 956 and/or program.Program embodiment for the purpose or program segment packet Include substrate positioning program, process gas control program, pressure control program, heater control program and plasma control journey Sequence.
Substrate positioning program may include for being used for loading a substrate on pedestal 918 and control substrate and handling implement The program code of the handling implement component of spacing between 900 other components.
Process gas control program may include for controlling gas componant and flow rate and optionally for before the deposition Gas is set to flow into one or more treating stations to stablize the code of the pressure in treating stations.Pressure control program may include for passing through It adjusts the throttle valve in the exhaust system of such as treating stations or comes into the air-flow for the treatment of stations the generation of the pressure in control process station Code.Pressure, which controls program or other codes and can for example control process gas, enters presoma delivery board or radical source cavity volume Flow.
Heater control program may include the code for controlling the electric current to the heating unit for being used for heating substrate.It substitutes Ground, heater control program can be controlled to the transmission of the heat-conducting gas of substrate (such as helium).Heater control program may be used also Control the flow of such as coolant freedom of entry base diffuser plate, and any heating associated with heating presoma delivery board Device.Heater control program can accurately control the temperature of these components using the feedback of the temperature sensor in these components Degree.
Plasma control program may include the RF work(for the processing electrode being applied in one or more treating stations to be arranged The code of rate level.Plasma control program may include in appropriate circumstances for controlling external plasma generator And/or process gas is supplied to the code of the valve regulation needed for plasma generator or radical source cavity volume.
In some embodiments, there can be the user interface for being associated with system controller 950.The user interface can wrap The graphics software for including display screen, device and/or process conditions is shown and user input equipment (for example, pointing device, keyboard, Touch screen, microphone, etc.).
In some embodiments, the parameter adjusted by system controller 950 can relate to process conditions.Unrestricted reality It includes process gas composition and flow rate, temperature, pressure, condition of plasma (such as RF bias power levels), pressure, temperature to apply example Degree, etc..These parameters can be supplied to user in the form of the formula inputted using user interface.
For monitoring process signal can from various handling implement sensors by the simulation of system controller 950 and/or Numeral input connects to provide.Signal for controlling technique can connect quilt by the analog- and digital- output of handling implement 900 Output.The non-limiting embodiment for the handling implement sensor that can be monitored includes mass flow controller, pressure sensor (ratio Such as pressure gauge), thermocouple, etc..The feedback and control algolithm of appropriately programmed in combination with the data from these sensors into It exercises to keep process conditions.
System controller 950 can provide the program instruction for implementing various semiconductor fabrication process.Described program instructs Controllable various technological parameters, such as DC power levels, RF bias power levels, pressure, temperature, etc..Described instruction is controllable Parameter processed is to manipulate the in-situ deposition that film stacks layer (film stacks).
One or more processors that system controller can generally include one or more memory devices and configuration to execute instruction, So that the device is executable according to the method for the present invention.The machine according to the present invention for including the instruction for controlling technological operation Readable medium can be coupled to system controller.
Although semiconductor processing tools shown in Fig. 9 depict single four station process chamber or module, semiconductor processes work The other embodiment of tool may include multiple modules, each all has single station or multiple stations.Such module can be mutually Even and/or around one or more transfer chambers arrangement that chip can be helped to move between the modules.By such multimode block semiconductor One or more in the station that handling implement provides may be provided with the nozzle including panel assembly discussed above and other feature.
In general, the nozzle with panel assembly as described herein may be mounted to that in reative cell, be supported in configuration Above the die support of one or more semiconductor wafers.Nozzle can also be used for example as reative cell lid or one of lid Point.In other embodiments, nozzle can be " pendent lamp " formula nozzle and can be hung by bar (stem) or other support constructions In the lid of reative cell.
Aforementioned various device/technology are used for such as semiconductor devices, display in combination with lithographic patterning instrument or technique The production or manufacture of device, LED, photovoltaic panel etc..Although not necessarily, in general, such tool/technique can be in general manufacture It is used in combination or is managed in equipment.The lithographic patterning of film generally includes some or all of following step, each step Use many possible tools:(1) photoresist is applied on workpiece (i.e. chip) using spin coating or Spray painting tool;(2) Cure photoresist using hot plate or stove or UV tools of solidifying;(3) work of such as optical wafer stepping device etc is utilized Photoresist is exposed to visible light or UV light or x light by tool;(4) develop the resist so as to using such as Wet bench it The tool of class is optionally removed resist to be patterned;(5) utilize dry method or plasmaassisted etch tool will Resist pattern, which is transferred to, underlies film or workpiece;And (6) using such as RF or microwave plasma resist stripper it The tool of class removes the resist.
Another aspect of the present invention is construction to implement the device of method described herein.Suitable device includes being used for root According to the hardware of implementing process of the present invention operation and the system controller with the instruction for controlling technological operation.System controller It can be configured to for example control the gas that the first process gas, the second process gas and precursor gas enter remote plasma source Stream.The RF outputs of the also controllable RF coils of system controller, and can be based on the temperature measured in panel assembly using temperature probe The flow rate and temperature of the coolant of any cooling duct flowing of the degree control in system.System controller can generally include one Or multiple memory devices and configuration make the device can perform side according to the present invention come one or more processors executed instruction Method.Machine readable media according to the present invention comprising the instruction for controlling technological operation is communicatively coupled to system control Device.
Any one of the above embodiment may be utilized independently or use together with each other in any combination.Although Various embodiments (can be discussed or be carried in one or more places that it can be in the present specification by the various defects of the prior art To) excitation, but the embodiment not necessarily solves these any defects.In other words, different embodiments can solve Different defects discussed in this description.Some embodiments only can partly solve one discussed in this specification A little defects or only a kind of defect, and some embodiments may not solve these any defects.
Although there have been described numerous embodiments, but it is to be understood that, they are only explained in an exemplary fashion It states, rather than in restrictive manner.Therefore, the covering surface of the disclosure and range should not be by any realities described herein The limitation of mode is applied, and is only limited according to the following claim provided later and its equivalent way.
It is to be understood that unless feature in a kind of any of the above described embodiment by it is expressly intended that be mutually incompatible or They are mutually exclusive and be not easy complementary and/or support to be combined in meaning for context cues, and otherwise disclosure full text is pre- The specific features of these fixed and expected embodiments can be selectively combined to provide one or more comprehensively but are slightly different Technical solution.Therefore, it should be further appreciated that, above description only provides in an exemplary fashion, and in the disclosure The modification in details can be carried out in range.

Claims (10)

1. a kind of nozzle for semiconductor processing operation, wherein the nozzle includes:
Radical source cavity volume;
Presoma room;
Presoma delivery board with the first face and the second opposite face;
At the free radical through-hole of pattern;With
At the gas delivery holes of pattern, wherein:
Described the first of the presoma delivery board facing towards the radical source cavity volume,
The radical source cavity volume and the presoma room are fluidly isolated from one another in the nozzle,
Each in the free radical through-hole passes through the presoma delivery board,
Each in the free radical through-hole exits the presoma on second face of the presoma delivery board Delivery board, and fluid connects each in the free radical through-hole each other in the nozzle with the radical source cavity volume It is logical,
Each in the gas delivery holes is in fluid communication with the presoma room and in the institute of the presoma delivery board It states and exits the presoma delivery board on the second face,
The free radical through-hole exits second face of the presoma delivery board via the opening in the first plane, and described Gas delivery holes exit the presoma via the opening in the second plane for deviateing first distance of the first plane and convey Second face of plate so that first plane is than second plane closer to described the first of the presoma delivery board Face, and
At least 0.25 inch of first distance.
2. semiconductor processing device as described in claim 1, wherein first distance between 0.25 inch and 3 inches it Between.
3. semiconductor processing device as described in claim 1, wherein first distance is between 3 inches and 12 inches.
4. semiconductor processing device as described in claim 1, wherein each described free radical through-hole, which has, to be met with subscript The length of at least one of quasi- group standard:The free radical through-hole has between 7:1 and 10:Length diameter ratio between 1, institute Stating free radical through-hole has between 6:1 and 11:Length diameter ratio between 1, and the free radical through-hole has at least 0.25 inch of length.
5. semiconductor processing device as described in claim 1, wherein the radical source cavity volume and the presoma room are logical It is separated from one another to cross free radical diffuser plate, the free radical through-hole extends through the free radical diffuser plate, and the freedom Base diffuser plate includes one or more first internal cooling channels for traversing the free radical diffuser plate and extending, wherein described one or more A first internal cooling channel is isolated with the free radical vented liquid in the free radical diffuser plate.
6. semiconductor processing device as described in claim 1, further includes:
Free radical diffuser plate with the first face and the second opposite face, wherein second face face of the free radical diffuser plate To first face of the presoma delivery board;With
The room of annular, wherein the room of the annular:
With inner circumferential,
First face of the neighbouring free radical diffuser plate, and
It is configured to that gas is made to flow through the inner circumferential in a manner of substantial uniform distribution and generally flows towards the freedom The central shaft of base diffuser plate.
7. semiconductor processing device as claimed in claim 6, further includes:
Plasma dome, the plasma dome have the central shaft generally axial symmetry around the free radical diffuser plate Inner surface,
On one end of the plasma dome close to the free radical diffuser plate the central shaft one or more from By base gas access, and
On the end opposite with the one end of plasma dome of the plasma dome and it is configured The plasma dome is connect to the inner surface and the freedom so that the plasma dome with the nozzle First face of base diffuser plate limits the radical source cavity volume and the air-flow from the room of the annular is made to flow into institute State radical source cavity volume.
8. semiconductor processing device as described in claim 1, further includes:
Free radical diffuser plate with the first face and the second opposite face, wherein second face face of the free radical diffuser plate To first face of the presoma delivery board;
It is inserted between the presoma delivery board and the free radical diffuser plate and device is thermally isolated, wherein:
The device that is thermally isolated includes the free cavity volume being inserted between the free radical diffuser plate and the presoma delivery board, and Across several tubular structures of the free cavity volume,
The free cavity volume by the side closer to the free radical diffuser plate first surface with closer to described Second surface on the side of presoma delivery board defines,
The first surface deviates a gap from the second surface, and the gap limits the free cavity volume perpendicular to institute The height on the first direction in second face of free radical diffuser plate is stated,
The height be less than or equal to the presoma delivery board in said first direction and the free radical diffuser plate it Between distance, and
In terms of fluid communication the free cavity volume substantially with defined by second face of the presoma delivery board External volume separates, and the external volume is located on the side opposite with the free cavity volume of the presoma delivery board, and And the external volume is farther from the free radical diffuser plate from the presoma delivery board ratio;And
Vacuum pump, the vacuum pump are configured to the free cavity volume pump drainage being thermally isolated in device to vacuum.
9. a kind of method for using the nozzle for semiconductor processing operation, the nozzle include:
Presoma delivery board with the first face and the second opposite face;
Radical source cavity volume;
Presoma room;
At the free radical through-hole of pattern;With
At the gas delivery holes of pattern, wherein:
Described the first of the presoma delivery board facing towards the radical source cavity volume,
The radical source cavity volume and the presoma room are fluidly isolated from one another in the nozzle,
Each in the free radical through-hole passes through the presoma delivery board, each in the free radical through-hole to exist The presoma delivery board is exited on second face of the presoma delivery board, and each in the free radical through-hole It is a to be in fluid communication in the nozzle with the radical source cavity volume,
Each in the gas delivery holes is in fluid communication with the presoma room and in the institute of the presoma delivery board It states and exits the presoma delivery board on the second face,
The free radical through-hole exits second face of the presoma delivery board via the opening in the first plane, and described Gas delivery holes exit the presoma via the opening in the second plane for deviateing first distance of the first plane and convey Second face of plate so that first plane is than second plane closer to described the first of the presoma delivery board Face, and
At least 0.25 inch of first distance;
The method includes:
The first process gas is provided via the gas delivery holes, while the second process gas is provided via the free radical through-hole Body.
10. a kind of reactor for semiconductor processing operation comprising:
Reative cell;
Positioned at the indoor die support of reaction;
Nozzle above the indoor die support of reaction, the nozzle include:
Presoma delivery board with the first face and the second opposite face;
Radical source cavity volume;
Presoma room;
At the gas delivery holes of pattern;With
At the free radical through-hole of pattern, wherein:
Described the first of the presoma delivery board facing towards the radical source cavity volume,
The radical source cavity volume and the presoma room are fluidly isolated from one another in the nozzle,
Each in the free radical through-hole passes through the presoma delivery board, each in the free radical through-hole to exist The presoma delivery board is exited on second face of the presoma delivery board, and each in the free radical through-hole It is a to be in fluid communication in the nozzle with the radical source cavity volume,
Each in the gas delivery holes is in fluid communication with the presoma room and in the institute of the presoma delivery board It states and exits the presoma delivery board on the second face,
The free radical through-hole exits second face of the presoma delivery board via the opening in the first plane, and described Gas delivery holes exit the presoma via the opening in the second plane for deviateing first distance of the first plane and convey Second face of plate so that first plane is than second plane closer to described the first of the presoma delivery board Face, and
At least 0.25 inch of first distance.
CN201810527225.0A 2013-02-15 2014-02-17 With temperature controlled multicell nozzle Pending CN108546932A (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201361765432P 2013-02-15 2013-02-15
US61/765,432 2013-02-15
US201361770251P 2013-02-27 2013-02-27
US61/770,251 2013-02-27
US13/934,620 2013-07-03
US13/934,620 US20140235069A1 (en) 2013-02-15 2013-07-03 Multi-plenum showerhead with temperature control
CN201410052998.XA CN103993293B (en) 2013-02-15 2014-02-17 With temperature controlled multicell nozzle

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201410052998.XA Division CN103993293B (en) 2013-02-15 2014-02-17 With temperature controlled multicell nozzle

Publications (1)

Publication Number Publication Date
CN108546932A true CN108546932A (en) 2018-09-18

Family

ID=51307615

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201410052998.XA Active CN103993293B (en) 2013-02-15 2014-02-17 With temperature controlled multicell nozzle
CN201810527225.0A Pending CN108546932A (en) 2013-02-15 2014-02-17 With temperature controlled multicell nozzle

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201410052998.XA Active CN103993293B (en) 2013-02-15 2014-02-17 With temperature controlled multicell nozzle

Country Status (1)

Country Link
CN (2) CN103993293B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111457692A (en) * 2019-01-18 2020-07-28 弘塑科技股份有限公司 Batch substrate drying equipment and substrate drying air knife device thereof

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10201902076XA (en) * 2014-09-08 2019-04-29 Applied Materials Inc Honeycomb multi-zone gas distribution plate
CN104789943A (en) * 2015-04-01 2015-07-22 沈阳拓荆科技有限公司 Temperature-controllable double-gas channel spraying plate with uniform gas spraying function
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10825659B2 (en) * 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
JP6764771B2 (en) * 2016-11-28 2020-10-07 東京エレクトロン株式会社 Substrate processing equipment and heat shield
US10604841B2 (en) * 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
CN109817505B (en) * 2017-11-20 2021-09-24 长鑫存储技术有限公司 Plasma supply device and wafer etching device
CN115404463B (en) * 2022-10-31 2023-03-24 上海星原驰半导体有限公司 Atomic layer deposition equipment and atomic layer deposition spraying device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020017243A1 (en) * 2000-06-15 2002-02-14 Pyo Sung Gyu Showerhead in chemical-enhanced chemical vapor deposition equipment
CN1238881C (en) * 1999-06-30 2006-01-25 兰姆研究公司 Gas distribution apparatus for semiconductor processing
US20070068798A1 (en) * 2005-09-29 2007-03-29 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US20070193515A1 (en) * 2006-02-17 2007-08-23 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
JP2010084190A (en) * 2008-09-30 2010-04-15 Sharp Corp Vapor deposition system and vapor deposition method
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
WO2012122054A2 (en) * 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1238881C (en) * 1999-06-30 2006-01-25 兰姆研究公司 Gas distribution apparatus for semiconductor processing
US20020017243A1 (en) * 2000-06-15 2002-02-14 Pyo Sung Gyu Showerhead in chemical-enhanced chemical vapor deposition equipment
US20070068798A1 (en) * 2005-09-29 2007-03-29 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US20070193515A1 (en) * 2006-02-17 2007-08-23 Industry-University Cooperation Foundation Hanyang University Apparatus for generating remote plasma
JP2010084190A (en) * 2008-09-30 2010-04-15 Sharp Corp Vapor deposition system and vapor deposition method
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111457692A (en) * 2019-01-18 2020-07-28 弘塑科技股份有限公司 Batch substrate drying equipment and substrate drying air knife device thereof
CN111457692B (en) * 2019-01-18 2021-08-24 弘塑科技股份有限公司 Batch substrate drying equipment and substrate drying air knife device thereof

Also Published As

Publication number Publication date
CN103993293A (en) 2014-08-20
CN103993293B (en) 2018-06-26

Similar Documents

Publication Publication Date Title
CN103993293B (en) With temperature controlled multicell nozzle
TWI626685B (en) Multi-plenum showerhead with temperature control
JP2014220231A5 (en)
JP7181337B2 (en) Low volume showerhead with faceplate holes for improved flow uniformity
JP5444599B2 (en) Gas supply apparatus and film forming apparatus
US9951421B2 (en) Inlet for effective mixing and purging
TWI643290B (en) System and apparatus for flowable deposition in semiconductor fabrication
JP6355450B2 (en) Multiple plenum / 2 temperature shower head
US10741365B2 (en) Low volume showerhead with porous baffle
KR20160136238A (en) Corrosion resistant gas distribution manifold with thermally controlled faceplate
KR102436438B1 (en) Azimuthal mixer
US20190122871A1 (en) Purge and pumping structures arranged beneath substrate plane to reduce defects
CN102105312B (en) High throughput processing system for chemical treatment and thermal treatment and method of operating
JP2014518452A (en) Process gas diffuser assembly for vapor deposition systems.
US20230377908A1 (en) Compact modular gas distribution plumbing and heating system for multi-station deposition modules
TW201237994A (en) System and apparatus for flowable deposition in semiconductor fabrication
TW202411458A (en) Multi-path helical mixer for asymmetric wafer bow compensation
TWI790777B (en) Semiconductor chamber components for back diffusion control and method for semiconductor processing
WO2023175826A1 (en) Substrate treatment device, gas nozzle, semiconductor device production method, substrate treatment method, and program
TW202324636A (en) Downstream residue management hardware
TW202403091A (en) Modular multl-directional gas mixing block
TW202324572A (en) Modulating thermal conductivity to control cooling of showerhead

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20180918