KR101309334B1 - 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터 - Google Patents

화학적 기상 증착 반응기용 멀티 가스 분배 인젝터 Download PDF

Info

Publication number
KR101309334B1
KR101309334B1 KR1020077005170A KR20077005170A KR101309334B1 KR 101309334 B1 KR101309334 B1 KR 101309334B1 KR 1020077005170 A KR1020077005170 A KR 1020077005170A KR 20077005170 A KR20077005170 A KR 20077005170A KR 101309334 B1 KR101309334 B1 KR 101309334B1
Authority
KR
South Korea
Prior art keywords
precursor
gas
inlets
injector
inlet
Prior art date
Application number
KR1020077005170A
Other languages
English (en)
Other versions
KR20070048233A (ko
Inventor
에릭 에이 아무어
알렉스 구라리
레브 카딘스키
로버트 도펠해머
게리 에스 톰파
믹하일 캣츠
Original Assignee
비코 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 비코 인스트루먼츠 인코포레이티드 filed Critical 비코 인스트루먼츠 인코포레이티드
Publication of KR20070048233A publication Critical patent/KR20070048233A/ko
Application granted granted Critical
Publication of KR101309334B1 publication Critical patent/KR101309334B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

화학적 기상 증착 반응기용 가스 분배 인젝터는 기판 캐리어를 향해 하부를 향한 내측면에 이격된 위치들에 배치된 전구체 가스 인입구들과, 전구체 가스 인입구들 사이에 배치된 캐리어 가스 구멍들을 포함한다. 하나 이상의 전구체 가스들이 전구체 가스 인입구들을 통해 도입되며, 전구체 가스와 사실상 비반응성의 캐리어 가스는 캐리어 가스 구멍을 통해 도입된다. 캐리어 가스는 인젝터에의 부착물 형성을 최소화한다. 캐리어 가스 구멍은 전구체 가스 인입구들 사이에 분산된 캐리어 가스 인입구들을 통하거나 표면을 형성하는 다공성 판에 의해 제공된다. 가스 인입구들은 제거가능하거나 또는 동축 구조이다.

Description

화학적 기상 증착 반응기용 멀티 가스 분배 인젝터{MULTI-CAS DISTRIBUTION INJECTOR FOR CHEMICAL VAPOR DEPOSITION REACTORS}
본 발명은 화학적 기상 증착과 같은 반응성 가스상 처리공정을 위한 시스템에 관한 것으로, 보다 구체적으로 그러한 반응기용 멀티 가스 분배 인젝터의 구조에 관한 것이다.
화학적 기상 증착(CVD) 반응기들은 반응실내에 배치된 웨이퍼 캐리어에 장착된 웨이퍼를 처리하도록 한다. "FLOWFLANGE"라는 상표로 본원의 양수인에 의해 판매되는 것과 같은 가스 분배 인젝터로서 언급되는 요소는 웨이퍼 캐리어를 향하여 장착된다. 인젝터는 통상적으로 다수의 가스 인입구들을 포함하여 한가지 이상의 전구체 가스들의 결합물을 화학증착용 챔버로 공급한다. 일부의 가스 분배 인젝터들은 화학적 기상 증착 공정중에 층류의 가스 흐름을 제공하는데 도움이 되는 보호 또는 캐리어 가스들을 공급하며, 여기서 캐리어 가스들은 통상 화학증착에 참여하지 않는다. 많은 가스 분배 인젝터들은 헤드에 일정 패턴으로 이격된 가스 인입구들을 포함하는 샤워헤드(showerhead) 구성을 갖는다.
가스 분배 인젝터는 통상적으로 가스 인입구로부터의 전구체 가스를 반응실의 특정 목표 영역들을 향하도록 하며, 상기 반응실에서 웨이퍼들은 재료층의 에피 텍셜 성장(epitaxial growih)과 같은 공정 처리될 수 있다. 이상적으로는, 전구체 가스들이 가능한한 웨이퍼에 근접하여 반응하도록 하여 웨이퍼 표면에서의 반응 과정 및 에피텍셜 성장을 최소화하도록 전구체 가스들을 웨이퍼 캐리어로 향하게 한다.
많은 금속 유기화학적 기상 증착(MOCVD) 공정에서, 금속 유기물 또는 금속 수화물 또는 염화물과 같은 박막 전구체를 구성하는 전구체 가스들과 증기들의 결합물들이 인젝터를 통해 반응실로 도입된다. 공정을 용이하게 하는, 예를들어 아르곤이나 헬륨과 같은 불활성가스나 질소, 수소등과 같은 캐리어 가스들도 인젝터를 통해 반응기로 도입된다. 반응실에서 전구체 가스들은 혼합되어 반응실에서 유지되는 웨이퍼에 증착물을 형성하며, 캐리어 가스들은 통상적으로 웨이퍼 캐리어에서 층류를 유지하기 위한 것이다.
이런 방법으로, 예를들어 GaAs, GaN, GaAlAs, InGaAsSb, InP, ZnSe, ZnTe, HgCdTe, InAsSbP, InGaN, AlGaN, SiGe, SiC, ZnO 및 InGaAlP 등과 같은 반도체 화합물의 에피텍셜 성장이 얻어진다.
그러나, 많은 기존의 가스 인젝터 장치들은 효율적인 공정 또는 균일한 증착을 방해하는 문제들에 직면한다. 예를들면, 기존의 가스 분배 인젝터 장치에서의 전구체 주입 패턴들은 상당한 "사공간(dead space)"(인젝터 표면의 가스 인입구들로부터의 흐름이 활성화되지 않는 공간)를 포함하므로 인젝터 부근에서 재순환 패턴이 초래된다.
이러한 재순환 패턴들로 인하여 (본 명세서에서 "역류"로 언급됨) 전구체 화 합물들의 전반응이 이루어져서 인젝터의 인입구들에서 원하지 않은 반응생성물의 부착이 초래된다. 이것은 또한 낮은 효율 및 메모리 효과를 초래한다.
현재의 인젝터 장치에서는 (통상적으로 100/in2 (15.5/cm2) 또는 그 이상의 인입구 밀도가 (결과적으로 대량 생산의 MOCVD 장치에 대하여 대략 10,000개의 인입구들임) 사용된다. 인입구들 사이의 거리를 증가시키기 위한 종래의 시도는 때로는 사공간의 확대와 역류 현상의 증가가 초래되었다. 그러나, 많은 수의 인입구들을 필요로 하는 인젝터 장치는 제조와 일정성에서 어려움이 있었다. 이러한 보다 큰 인입구 밀도는 어떤 구조에서는 전구체가 한 인입구로부터 다른 인입구로 침입되는 결과가 발생되며, 이로 인하여 전구체들 상호간의 반응으로 인한 기생 반응생성물로 인입구들이 막히는 현상이 있었다. 또한, 인입구들 사이의 짧은 거리를 갖는 인젝터 구성은 어떤 구조에서는, 현대의 MOCVD 장비에서 흔히 필요로 하는 많은 종류의 정위치 평가장치용에 필요한 광학적 조망구용으로 충분한 공간이 허용되지 않는다.
이에 더하여, 반응실내의 (복수-웨이퍼 장치와 같은) 웨이퍼와 캐리어 위에서 여러 전구체들에 대한 다른 분해율은 균일한 인입구 분포와 같은 해결책으로는 항상 보정될 수 없다. 마찬가지로, 균일한 인입구들의 분포만으로는 웨이퍼 캐리어에 때로 존재하는 작은 온도 불균일성을 고려할 수 없다. 이러한 부가적인 문제들은 어떤 인젝터 장치에서는 성장된 에피텍셜층들의 불균일한 두께와 도핑 수준을 초래하였다. 불균일한 분배의 결과로 인한 표면 이동, 증발 및 가스 소모의 문제들 은 효율적인 증착에 더욱 장애가 될 수 있다.
가스 분배 인젝터와 그의 인입구들의 구조에 더하여, 온도와 체류시간 및 촉매 효과와 표면 반응성을 포함하는 화학적 반응 과정의 미묘한 차이등을 포함한 다른 요인들도 MOCVD 반응기에 배치되는 웨이퍼에서의 재료층의 성장에 영향을 준다.
더욱이, 반응하지 않는 전구체가 불균일한 증착에 기여할 수 있다. 그 결과 중간 생성물 및/또는 미반응 전구체들의 비율은 복수-웨이퍼 캐리어에서의 하나 또는 여러 웨이퍼들의 여러 영역들에서 보다 작거나 더 크게 될 수 있으며, 이들 영역들에서의 증착이 덜 효율적이거나 과도하게 이루어져서 결과적으로 균일한 재료 증착의 목표에 장애가 된다.
반응 생성물의 빌트업(buildup)으로 인하여, 현재 이용가능한 가스 분배 인젝터들은 세정을 위하여 회전 디스크형 반응기로부터 자주 제거되어야 한다. 인젝터의 잦은 세정은 반응 공정의 효율성에 방해가 되고, 세정 공정중에 발생되는 폐기물의 취급과 처리 문제가 증가된다. 이 결과 수율 감소와 비용 증가가 초래된다.
따라서, 이러한 영역에서의 모든 노력들에도 불구하고 더욱 개선이 요망되었다.
본 발명의 일면에 따른 화학적 기상 증착방법은 가스 분배 인젝터에서 복수의 이격된 전구체 인입구들을 통하여 반응실로 하나 이상의 전구체 가스를 복수의 흐름들로서 반응실에 배치된 하나 이상의 기판을 향하여 인젝터로부터 하방으로의 속도 성분을 갖도록 하여 하나 이상의 기판들에서 반응 증착물을 형성하도록 배출하는 단계와;
동시에 복수의 인접한 전구체 가스 인입구들 사이에서 인젝터로 부터 반응실로 하나 이상의 전구체 가스들과 사실상 반응하지 않는 하나 이상의 캐리어 가스를 배출하는 단계를 포함하여 구성된다. 하나 이상의 캐리어 가스를 배출하는 단계는 인접한 전구체 인입구들 사이에서 연장된 인젝터의 다공성 구조를 통하여 캐리어 가스를 배출하는 것을 포함하거나, 또는 하나 이상의 캐리어 가스를 배출하는 단계는 인접한 전구체 인입구들 사이에 배치된 인젝터의 복수의 이격된 캐리어 가스 인입구들을 통하여 캐리어 가스를 배출하는 것을 포함하는 것이 바람직하다.
본 발명의 일면에 따라, 화학적 기상 증착 반응기용의 가스 분배 인젝터는 수평 방향의 연장부를 갖고 하방으로 향한 내측면을 한정하는 구조와, 수평으로 이격된 전구체 인입구 위치들로 개방된 복수의 전구체 인입구들, 하나 이상의 전구체 가스 연결부들, 상기 전구체 가스 연결부들을 전구체 인입구들과 연결하는 하나 이상의 전구체 다기관들을 포함하고, 상기 내측면 한정 구조는 제1 및 제2 표면들을 구비하는 다공성 요소를 포함하고, 상기 다공성 요소의 제2 표면은 적어도 일부의 전구체 인입구 위치들 사이에서 내측면의 적어도 일부를 형성하며, 상기 내측면 한정 구조는 또한 캐리어 가스 다기관과 연통하는 적어도 하나의 캐리어 가스 연결부와 다공성 요소의 제1 표면에 의해 적어도 부분적으로 결합된 캐리어 가스 다기관을 형성하여 구성된다.
본 발명의 일면에 따른 인젝터는 제1 전구체 인입구 위치들에서 내측면으로 개방된 제1 전구체 인입구들과 제2 전구체 인입구 위치들에서 내측면으로 개방된 제2 전구체 인입구들을 더 포함하며, 하나 이상의 전구체 가스 연결부는 하나 이상의 제1 전구체 가스 연결부들과 하나 이상의 제2 전구체 가스 연결부들을 포함하고, 상기 하나 이상의 전구체 다기관들은 하나 이상의 제1 전구체 가스 연결부들을 제1 전구체 가스 인입구들과 연결하는 하나 이상의 제1 전구체 가스 다기관들과, 제2 전구체 가스 연결부들을 제2 전구체 가스 인입구들과 연결하는 하나 이상의 전구체 가스 다기관을 포함하며, 적어도 일부분의 제1 및 제2 전구체 가스 인입구 위치들에는 내측면의 적어도 일부의 수평 연장부에 걸쳐 서로 분산되어 있고, 상기 다공성 요소는 적어도 일부분의 제1 및 제2 전구체 인입구 위치들 사이에서 연장되어 구성된다.
본 발명의 일면에 따른 인젝터 장치는, 하나 이상의 냉각수 통로를 더 포함하며, 상기 냉각수 통로는 냉각수가 통과하는 S형의 경로를 형성하는 냉각수 통로 벽들로 결합되고, 상기 냉각수 통로는 전구체 가스 인입구나 캐리어 가스 다기관과 유체가 통하지 않도록 되고, 상기 전구체 인입구들은 냉각수 통로 벽을 관통하여 연장되며, 냉각수 통로는 냉각수의 교통을 위하여 냉각수 인입구와 냉각수 배출구에 연결되어 구성된다.
본 발명의 일면에 따른 인젝터는, 제1 전구체 인입구들이 내측면에서 복수의 동심원적 구역들로 배치되고, 하나 이상의 제1 전구체 가스 연결부들은 복수의 제1 전구체 가스 연결부들을 포함하고, 하나 이상의 제1 전구체 가스 다기관들은 상기 구역들중 하나의 제1 전구체 가스 인입구들에 연결되는 제1 전구체 가스 다기관을 각각 포함하는 복수의 제1 전구체 다기관을 포함하여 구성된다.
본 발명의 일면에 따른 화학적 기상 증착 반응기용 인젝터는 하방에 대하여 가로지르는 수평 방향으로 연장되고 하방으로 향한 내측면을 한정하는 구조를 포함하며, 상기 내측면 한정 구조는 수평으로 이격된 흐름 위치들에서 내측면을 관통하여 개방된 복수의 동심원적 흐름 인입구들을 한정하고, 각각의 동심원적 흐름의 인입구는 제1 포트에서 내측면에 개방된 제1 가스 채널과 제1 포트를 둘러싸는 제2 포트에서 내측면을 향하여 개방된 제2 가스 채널을 포함하며, 상기 내측면 한정 구조는 제1 가스 채널들에 연결된 적어도 하나의 가스 다기관과 제2 가스 채널들에 연결된 적어도 하나의 제2 가스 다기관을 더 포함하여 구성된다.
본 발명의 일면에 따른 인젝터는 내측면에 의해 적어도 부분적으로 결합되고 복수의 동심원적 흐름의 인입구들 사이의 내측면의 영역들에서 내측면에 다공성 스크린을 구비한 캐리어 가스 다기관을 포함하며, 캐리어 가스 다기관은 다공성 스크린에 연결되고, 또는 본 발명의 일면에 따라, 인젝터는 제2 가스 다기관과, 제1 포트를 둘러싸는 제3 포트에서 내측면으로 개방된 제3 가스 채널을 각각 포함하는 동심원적 흐름의 인입구를 포함하며, 상기 내측면 한정 구조는 제3 가스 채널들에 연결된 제3 가스 다기관을 포함하며, 적어도 하나의 제1, 제2, 제3 가스 인입구들중 적어도 하나는 캐리어 가스 인입구이고, 제1, 제2, 제3 가스 다기관들중 적어도 하나는 캐리어 가스 다기관으로 구성된다.
본 발명은 회전 디스크형 반응기와 같은 화학적 기상 증착 반응기에 산업상 이용 가능성을 가지지만, 예를들어 에칭과 같은 세정 장치와, 다른 산업의 화학적 기상 증착에도 적용될 수 있다.
도 1은 본 발명의 일실시예에 따른 가스 분배 인젝터를 포함하는 반응기의 단순화된 단면도이다.
도 2는 본 발명의 가스 분배 인젝터의 일실시예의 단면도이다.
도 3은 도 2의 가스 분배 인젝터의 확대 단면도이다.
도 4는 광학적 조망구를 구비한 본 발명에 다른 도 2와 도 3의 인젝터의 다른 단면도이다.
도 5는 반응기에서 밑에서 본, 도 2-4의 가스 분배 인젝터의 부분 저면도이다.
도 6은 본 발명에 따른 가스 분배 인젝터의 단순화된 단면도이다.
도 7은 전구체 인입구들과 캐리어 가스 인입구들의 "모자이크" 패턴을 보여주는, 본 발명의 가스 분배 인젝터의 다른 실시예의 저면에서 본 개략도이다.
도 8A는 제1 및 제2 전구체 인입구들과 캐리어 가스 인입구들의 판부재에서의 패턴을 보여주는, 본 발명의 가스 분배 인젝터의 또 다른 실시예의 저면에서 본 개략도이다.
도 8B는 제1 전구체 인입구들, 제2 전구체 인입구들 및 캐리어 스크린의 "체크보드" 패턴을 보여주는, 본 발명의 가스 분배 인젝터의 또 다른 실시예의 저면에서 본 개략도이다.
도 9는 제1 전구체 인입구들, 제2 전구체 인입구들 및 캐리어 가스 인입구들의 "모자이크" 패턴을 보여주는, 중앙에 광학적 조망창을 구비한 본 발명의 가스 분배 인젝터의 또 다른 실시예의 저면에서 본 개략도이다.
도 10은 전구체 가스와 캐리어 가스의 농도를 변화시키는 구역을 보여주는, 본 발명의 가스 분배 인젝터 실시예의 저면도이다.
도 11은 전구체 가스들과 캐리어 가스들의 농도 변화 구역을 포함하는, 본 발명의 가스 분배 인젝터의 또 다른 실시예의 저면에서 본 사시도이다.
도 12는 도 11의 가스 분배 인젝터의 단면을 보여주는 사시도이다.
도 13은 도 12의 부분 확대도이다.
도 14는 도 11-13의 가스 분배 인젝터에 사용되는 구역화된 바닥판의 단면을 보여주는 사시도이다.
도 15는 도 11-14의 가스 분배 인젝터에 사용되는 구역화된 중간 판부재의 단면을 보여주는 사시도이다.
도 16은 도 11-15의 가스 분배 인젝터의 구역화된 상판의 일실시예의 평면도.
도 17은 도 16의 가스 분배 인젝터에 사용되는 동축상의 전구체 인입구들의 일실시예의 확대도이다.
도 18은 제1 전구체 인입구들과, 제2 전구체 인입구들 및 캐리어 가스 인입구들이 집중도가 변화된 세 구역들에 배열된 구역화된 "체크보드" 패턴을 보여주는, 본 발명의 가스 분배 인젝터의 일실시예의 저면에서 본 개략도이다.
도 19는 이중 루멘(lumen:관강) 또는 동축의 제1 및 제2 전구체 인입구들과 캐리어 가스 인입구들이 집중도가 변화된 세 구역들로 된 구역화된 이중 루멘의 " 체크보드" 패턴을 보여주는, 본 발명의 가스 분배 인젝터의 일실시예의 개략도이다.
도 20은 도 19의 가스 분배 인젝터에 사용되는 이중 루멘의 전구체 인입구들의 한 실시예의 확대도이다.
도 21A-G는 본 발명의 가스 분배 인젝터에 사용되는 인입구들의 여러 실시예들을 보여주는 개략적인 단면도들이다.
도 22는 반응실로의 가스 교류를 위하여 사용되는 벤트 스크류들을 포함하는, 본 발명의 가스 분배 인젝터의 다른 실시예의 개략적인 단면도이다.
도 23은 반응실로의 가스 교류를 위해 사용되는 벤트 스크류를 포함하고 복수의 가스 분배판들을 채용한, 본 발명의 다른 실시예의 가스 분배 인젝터의 분해도이다.
도 24A는 도 22에 도시된 실시예의 가스 분배 인젝터의 상부 판부재의 개략적인 사시도이다.
도 24B는 도 22에 도시된 실시예의 가스 분배 인젝터의 상부 판부재의 개략적인 저면도이다.
도 25는 도 22에 도시된 실시예의 가스 분배 인젝터의 중간 판부재의 개략적인 사시도이다.
도 26A는 상면에 냉각실 밀폐부재를 용접하기전의, 도 22에 도시된 실시예의 가스 분배 인젝터의 중간 판부재의 사시도이다.
도 26B는 상면에 냉각실 밀폐부재를 용접한 다음의, 도 22에 도시된 실시예 의 가스 분배 인젝터의 중간 판부재의 사시도이다.
도 27은 도 22에 도시된 실시예의 가스 분배 인젝터의 바닥 판부재의 저면도이다.
도 28은 압력차를 발생시키도록 반응 가스 인입 통로들속에 배치된 다공성 재료를 포함하는, 본 발명의 일실시예의 가스 분배 인젝터의 단면도이다.
도 29는 동축상의 반응 가스 인입구와 벤트 스크류를 채용한, 본 발명의 일시예에 의한 가스 분재 인젝터의 가스 분배 표면 내부를 보여주는 단면도이다.
도 30은 이중 루멘 반응 가스 인입구와 벤트 스크류 및 반응 가스 보충 인입구를 채용한, 본 발명의 일실시예에 의한 가스 분배 인젝터의 가스 분배 표면 내부를 보여주는 단면도.
도 31은 본 발명의 일실시예에 의한 가스 분배 인젝터에 사용되는 벤트 스크류의 사시도.
도 32는 반응 가스들이 동축상으로 분배하도록 된 본 발명의 일실시예에 의한 가스 분배 인젝터에 사용되는 동축의 벤트 스크류의 사시도이다.
도면들에 있어서, 동일 부호는 동일 요소를 표시한다. 도 1은 본 발명의 일실시예에 의한 다중 가스 인젝터를 채용한 회전 디스크형 반응기를 보여준다.
도 1에 개략적으로 도시된 바와같이, 반응기는 스테인레스강의 벽체(105)로 만들어진 원통형의 반응실(100), 베이스 판부재(110), 배출구(115) 및 회전 스핀들(125)을 밀봉하는 회전 진공 발생부(120)를 포함하며, 상기 스핀들의 상부에는 기판 웨이퍼(13)들을 구비하는 웨이퍼 캐리어(130)가 설치된다. 상기 웨이퍼 캐리어는, 미리 설정된 회전 속도(베타)로 원통형 반응실(100)과 동축의 축(137 알파)을 중심으로 회전가능하다.
감열체(145)는 일조의 가열 요소(140)들에 의해 가열되며, 상기 가열요소들은 통상적으로 예를들어 흑연과 같은 비금속 또는 몰리브데늄, 텅스텐 또는 레늄(rhenium)등과 같은 내화성 금속으로 (여기에 한정되는 것이 아니라 단지 예시적임) 만들어지며, 복수의 가열 구역들로 분할될 수 있다. 가열요소용 금속은 수행될 반응과 특정 반응기와 화학적 기상 증착실에 요구되는 가열 특징에 기초하여 선택될 수 있다. 방열 요소(190)는 가열요소(140)와 감열체(145) 밑에 배치되는 것이 바람직하다. 대신에 웨이퍼 캐리어(130)는 방사 가열요소(140)에 의해 직접 가열될 수 있다.
가열 요소(140)와 반응실(100)는 일반적으로 외부의 자동 또는 수동 제어기(193)를 통해 제어되며, 선택적인 접근창(195)은 (도면에 도시되지 않은) 제2 반응실로부터 선택적으로 웨이퍼(135)와 웨이퍼 캐리어(130)에 접근을 허용할 수 있도록 한다. 반응기의 전술한 구성요소들은 예를들어 상표 "TURBODISC"로서 시판되는 반응기들에서 사용되는 유형의 것일 수 있다. 본 실시예에서 접근창(195)이 도시되어 있지만, 다른 반응기들에서는 예를들어 반응기의 제거가능한 상부 또는 바닥부를 통한 웨이퍼 상부 적재 또는 바닥 적재와 같은 다른 접근구조를 가질 수 있다.
가스 분배 인젝터 헤드(150)는 반응실(100)의 상측 단부에 (도 1에서 볼 수 있듯이 도면 상측을 향한 단부) 위치한다. 가스 분배 인젝터 헤드(150)는 내측면(155)이 (도 1에서 볼 수 있듯이 바닥을 향하여 축(137)을 따라) 하부 방향으로 향하게 형성되고 제1 전구체 가스실 또는 다기관(170)에 연결된 복수의 제1 가스 인입구(160)들을 포함하는 구조를 갖는다.
각각의 제1 가스 인입구(160)는 인젝터의 내측면(155)으로 개방되고 하단부의 포트에서 종결되는 통로를 포함한다. 즉, 각각의 제1 가스 통로는 상기 내측면(155)과 반응실(100) 내부의 제2 전구체 인입구 위치와 통하여 있다. 또한 인젝터 구조에는 제2 전구체 가스실 또는 다기관(175)에 연결된 복수의 제2 가스 인입구(165)들이 형성되어 있다. 각각의 제2 가스 인입구는 또한 인젝터의 내측면(150)으로 개방되고 하단부의 포트에서 종결되는 통로를 포함함으로써, 제2 가스 인입구(165)들은 반응실(100) 내부의 제2 전구체 인입 위치들과 통하게 되어 있다. 제1 전구체 다기관(170)은 제1 전구체 가스 공급원(180)과 연결된 한편, 제2 전구체 다기관(175)은 제1 전구체 가스와 반응하는 제2 전구체 가스 공급원(185)에 연결되어 있다.
제1 및 제2 전구체 가스 인입 위치들은 (인입구(160, 165)들의 하단부) 수평방향으로 (내측면(155)을 따라 축(137)에 대하여 횡방향으로 그리고 하부 방향에 대하여 횡방향) 서로 이격되어 있어서, 인젝터의 내측면 위로 연장된 위치들의 배열을 형성한다. 제1 및 제2 전구체 인입구 위치들은 서로 산재되어 있다. 아래에서 설명되는 바와같이, 상기 전구체 인입구 위치들은, 축(137)을 중심으로 하는 인입구 위치(160,165)들의 몇개의 링들의 형태로 대체로 원형 배열로 배치되며, 내측 면(155) 위에 임의적으로 배치되거나 또는 체크보드, 모자이크 또는 다른 어떠한 패턴으로 배치될 수 있다.
인젝터 구조는 또한 제1 및 제2 전구체 인입구 위치들 사이에서 내측면(155)의 일부분을 형성하는 다공성 요소(167)를 포함한다. 다시 말하면, 다공성 요소는 각각의 제1 전구체 인입구 위치(160)와 그에 가장 가까운 제2 인입구 위치(165) 사이에서 연장되어 있다. 인젝터 구조는 또한 다공성 요소(167)와 통하는 개략적으로 부호(177)로 표시된 캐리어 가스 다기관을 더 포함한다. 상기 캐리어 가스 다기관은 캐리어 가스 공급원(187)에 연결되어 있으며, 그 캐리어 가스 공급원은 반응실(100)에 퍼진 상태에서 공급원(180,185)들로부터 공급되는 제1 및 제2 전구체 가스들과 사실상 반응하지 않는 것이 바람직하다. 본 명세서에서의 "사실상 반응하지 않는"이란 표현은 캐리어 가스가 고형의 유해 부산물 부착을 형성하게 제1 및 제2 전구체 가스들중 어느 하나 또는 그 모두와 평가될 정도로 반응하지 않는다는 것을 의미한다. 더욱이, 형성될 수 있는 유해한 가스상 부산물은 비반응성으로서 부착되지는 않을 것이다. 그러나, 캐리어 가스가 달리 전구체 가스들과 평가될 정도로 반응할 수 있기 때문에 요구되는 증착 공정의 효율을 저하시킬 수 있으므로 상기 유해 가스 부산물을 방지하는 것이 바람직하다. 인젝터에서 나온 가스들은 인젝터로부터 반응실내의 웨이퍼 캐리어를 향하여 하부로 방출된다. 본 실시예에서 증착 공정을 위한 기판들을 지지하는 웨이퍼 캐리어가 도시되어 있지만, 기판을 지지하는 웨이퍼 캐리어 없이 기판이 척(chuck)과 같은 회전 반응기 표면에 직접 배치되어 웨이퍼 캐리어가 필요없는 경우도 생각할 수 있다. 본 명세서에서의 하부 방향으로 는 인젝터로부터 웨이퍼 캐리어를 향한 방향이며, 중력에 관련한 특정 방향일 필요는 없다. 도시된 실시예에서는 반응실의 상부로부터 바닥을 향한 하부 방향으로 도시되어 있지만, 인젝터도 역시 반응실의 측방에 배치될 수도 있으며 (이 경우 하부 방향은 반응실의 측방으로부터 중심을 향하여 수평방향이 될 것이다) 또는 인젝터가 반응실의 바닥에 배치될 수도 있다(이 경우 하부 방향은 반응실의 바닥으로부터 중심을 향하여 상방향으로 될 것이다). 또한, 배출구(115)들이 반응실의 바닥에 도시되어 있지만, 배출구들은 반응실의 다른 부분에 배치될 수도 있다.
작동시, 하나 이상의 웨이퍼(135)들은 감열체(145) 바로 위에서 웨이퍼 캐리어(130)에서 유지된다. 상기 웨이퍼 캐리어(130)는 모터(120)에 의해 구동되는 회전 스핀들(125)에서 속도(베타)로 축(137)을 중심으로 회전된다. 예를들면, 속도(베타)는 변화될 수 있지만, 통상 500 rpm 또는 그 이상이다. 다른 실시예에서, 웨이퍼 캐리어는 회전하지 않을 수 있으며, 대신에 예를들어 인젝터가 회전될 수 있다. 전력은 가열요소(140)에서 열로 변환되어 주로 방사상 열전달에 의해 감열체(145)에 전달된다. 상기 감열체(145)는 다시 웨이퍼 캐리어(130)와 웨이퍼(135)를 가열하게 된다.
웨이퍼들이 부착 반응에 필요한 온도로 가열되면, 제1 전구체 공급원(180)이 작동하여 제1 전구체 가스를 제1 다기관(170)와 제1 전구체 가스 인입구(160)를 통해 공급하며, 이로써 제1 전구체 가스 흐름이 제1 전구체 가스 인입구들로부터 반응실내에서 하부로 향하여 배출된다. 이와 동시에, 제2 전구체 공급원(185)도 작동하여 다기관(175)과 제2 전구체 가스 인입구(165)들을 통해 제2 전구체 가스가 공 급되며, 이로써 제2 전구체 가스 흐름이 제2 전구체 가스 인입구들로부터 기판 또는 웨이퍼(130)를 향하여 하부로 배출된다. 제1 및 제2 전구체 흐름들은 축(137)과 정확히 평행하게 하부로 향할 필요는 없다. 전구체 가스들의 공급과 동시에, 캐리어 가스 공급원(187)은 다기관(177)을 통해 캐리어 가스를 공급하여서 캐리어 가스가 다공성 요소(167)를 통하여 내측면(155)으로 부터 떨어진 하부로 유동하게 된다.
상기 캐리어 가스와 제1 및 제2 전구체 가스들은 하부의 기판 또는 웨이퍼(135)로 유동한다. 그러한 유동중에, 가스들은 서로 혼합되어서 전구체 가스들이 기판과 그 부근에서 반응하고 그 반응 생성물이 노출된 기판 표면에 부착된다.
상기한 실시예에서, 두가지 전구체 가스들이 동시에 제공된다. 그러나, 다른 실시예들에서, 전구체 가스들은 순차적으로 그리고 중첩되는 펄스로 공급된다. 예를들어 원자 층 에피텍시에서, 전구체 가스들의 펄스들은 순차적으로 교대로 적용하며, 이때 한 캐리어 가스의 펄스는 다른 가스의 펄스가 개시되기전에 종결되도록한다. 이동성이 향상된 에피텍시로서 언급되는 공정에서, 여러 캐리어 가스들의 펄스들이 시간적으로 서로 중첩되게 교대로 순차적으로 공급된다. 순차적인 전구체 가스 유동을 이용하는 공정에서는, 캐리어 가스 흐름이 하나 또는 그 이상의 전구체 가스들과 동시에 공급될 수 있다.
캐리어 가스는 반응기에 반응 생성물이 부착되는 것을 방지한다. 본 발명은 어떠한 공정 이론에 의해 제한되는 것은 아니지만, 캐리어 가스 흐름이 내측면(155)의 중간의 빈 공간에서 전구체 가스들의 역류 또는 상향류를 방지하는 것으 로 믿고 있다. 더욱이, 캐리어 가스 흐름은 내측면 내부의 빈 공간에서 제1 및 제2 전구체 가스들의 혼합을 감소시켜서 인젝터 부근에서 반응 생성물의 형성을 방지한다.
전구체 가스들은 화학적 기상 증착공정에 사용되기에 적당한 어떠한 전구체 가스들일 수 있다. 여러 실시예들에서 전구체 가스들은, 반응기내의 기판 처리에 참여하는 가스, 증기, 또는 재료를 포함한다. 특히, 전구체 가스는 기판 표면 처리에 적합한 어떠한 가스도 될 수 있다. 예를들어 필요한 부착이 에피텍셜 층 성장에서와 같이 반도체층의 성장인 경우, 전구체 가스는 복수의 화학 성분들의 혼합물로 될 수 있으며, 불활성의 비-전구체 가스 성분들을 포함할 수도 있다. 전구체 가스들의 어느 하나 또는 모두는 반응성 전구체 성분과 비반응성 가스와 같이 가스들의 혼합물을 포함할 수 있다. 본 발명의 회전 디스크형 반응기에 적용될 수 있는 재료의 종류에는 예를들어 GaAs, GaP, GaAs1-x Px, Ga1-y AlyAs, Ga1-yInyAs, AlAs, AlN, InAs, InP, InGaP, InSb, GaN, InGaN 등과 같은 CAS 방식의 IIIA-VA족 반도체화합물들(그러나 여기에만 한정되는 것은 아니다)이 포함된다. 더욱이, 이러한 반응기들에는 ZnSe, CdTe, HgCdTe, CdZnTe, CdSeTe등과 같은 (여기에만 한정되는 것은 아니다) IIB-VIA족 화합물; SiC, 다이아몬드 및 SiGe 등과 같은 IVA-IVA족 화합물; YBCO, BaTiO, MgO2, ZrO, SiO2, ZnO and ZnSiO등과 같은 산화물; Al, Cu 및 W와 같은 금속등을 포함하는 다른 계의 재료가 적용될 수 있다. 더욱이, 결과적인 재료들은 발광다이오드(LED's), 레이저, 태양전지, 포토캐소드, HEMT's 및 HESFET's를 포함한 (그러나 여기에 한정되는 것은 아니다) 광범위한 전자 및 광전자 분야에 이용될 수 있다.
캐리어 가스는 전구체 가스가 제공되어 기판에 부착되는 반응실내에서의 부착 반응에 참여하지 않는 운반 매체로서 불활성 가스나 반응에 참여하지 않는 가스등이 될 수 있다.
도 1의 반응기는 수직의 회전 디스크형 반응기로서 도시되어 있지만, 이 반응기는 단지 예시적인 것이며, 본 발명은 비회전 디스크형 반응기, 측방 유동형 반응기, 회전 인젝터형 반응기등과 같은 다른 종류의 반응기들에도 사용될 수 있음을 이해해야 한다. 또한, 하나 이상의 보조 가스 공급원, 가스 저장실 및 가스 인입구들을 통해 반응실로 공급될 수 있다. 본 실시예에서 설명된 패턴과 구조는 한가지 이상의 캐리어 가스와 함께 세가지, 네가지 또는 그 이상의 전구체들에 대해서도 용이하게 확장될 수 있다.
인젝터 헤드(150)와 관련 요소들의 기계적 구성이 도 2와 도 3에 도시되어 있다. 도 2 내지 도 4에 도시된 인젝터 헤드(150)는 반응기에 배치되고, 때로 "바닥면"으로 호칭되는, 반응기의 (반응실로 가스가 분사되는) 하부면은 하양되어 있고, (인젝터에 가스를 공급하는 가스 공급원으로부터의)인젝터의 상부면은 상향되어 있고 때로 "상면"으로 호칭된다.
인젝터 헤드(150)는 밀폐판과 가스 분배판(210)을 포함하며, 가스 분배판(21)은 밀폐판(205)의 요홈부속에 삽입되며 (도면에 도시되지 않은) 예를들어 다수의 스크류들에 의해 밀폐판(205)에 연결된다. 상기 밀폐판은 반응기(100)를 밀폐 하는 한편, 인젝터 헤드(150)를 반응기(100')에 유지시킨다. 가스 분배판(210)은 210 주위의 통로를 냉각수가 유동하도록 냉각채널(215)을 구비하고 있으며, 이에 대한 상세한 것은 후술한다(도 5, 21C 참조).
냉각수는 밀폐판(205)에 접합된 인입구(245)를 통하여 제공되고 O-링형 시일(225)에 의해 밀폐된다. 냉각수 유출을 위해 다른 구조나 유사한 구조가 사용될 수 잇다(도 12, 16 참조).
가스 분배판(210)은 (예를들어 진공 용접, 확산 용접, 볼트-시일 배치등과 같은) 진공 밀폐 연결방식으로 서로 연결되는 세가지 요소들의 결합체이다. 특히, 가스 분배판(210)은 통상적으로 상부판(240)과 중간판(235) 및 하부판(230)으로 구성되고, 그 일부분이 도 14-17에서 볼 수 있다.
중간판(235)은 제1 가스실(245)과 전구체 인입구(250)을 형성한다. 중간판(235)은 또한 냉각수 채널(215)들을 구비하는 것이 바람직하다. 제1 가스실(245)은 진공 밀폐연결수단에 의해 중간판(235)에 연결된 상부판(240)에 의해 밀봉된다.
전구체들은 상부판(240)에 용접되고 O-링 시일(225)에 의해 밀봉된 튜브(243)를 통해 제1 가스실(245)에 공급된다. 상기 전구체는 도관의 인입구(250)을 통해 반응기 내부 공간에 도달하게 된다.
캐리어 가스실(260)은 진공 밀폐 연결에 의해 중간요소(235)에 연결된다. 캐리어 가스실(260)은 다공성의 하부판(230)에 의해 밑이 밀폐된다. 캐리어 가스들은 부호 255 위치에 도시된 것과 유사한 밀폐된 캐리어 가스 인입 튜브(265)를 통해 가스실로 공급된다. 다공성의 하부판(230)은 캐리어 가스를 방출하는 (도 8B 참조) 표면(즉, 스크린)에 작은 구멍들을 포함한다. 캐리어 가스는 다공성의 하부판(230)을 통해 반응기 내부 공간에 도달한다. 대신에, (도면에 도시되지 않은) 커버판은 도 12-16에 도시된 바와같이 하부판 위로 배치될 수 있다.
두번째 조의 전구체 가스들은 3개의 다른 구역들에서 가스 분배 인젝터로 제공된다. 특히, 구획지어진 전구체실(270a-c)들은 상부판(240), O-링 시일을 구비한 원형의 연결구(275a-b)들, 및 밀폐판(205)들로 형성된다. 상기 구획지어진 전구체실(270a-c)들은 전구체 도관(280)을 통해 반응기로 전구체 반응물을 공급하기 위하여 사용되며, 각각의 전구체실(270a-c)은 유속에 대하여 독립적으로 제어될 수 있다. 원형의 연결구(275a-b)와 3개의 전구체 인입 튜브(285a-c)들은 도 12-16의 실시예들에서 설명된 바와같이, 3개의 독립적으로 제어되는 구역의 전구체 인입구들을 제공한다.
다공성 하부판(230)의 캐리어 스크린, 전구체 인입구(250), 및/또는 구획지어진 전구체 인입구 또는 도관(280)등은 인젝터의 내측(하부)면에 균일하게 분포되며, 밀도가 반경방향으로 변화되게 비균일하게 배치되거나, 또는 후술하는 바와같이, 비균일하게 분포되지만 반경방향으로 변화되는 집중도를 갖고 전구체가 공급된다.
도 4에 도시되어 있듯이, 전구체 도관들중 하나의 위치에 대체된 정위치 광학장치(295)의 구멍이 홀(290)에 제공되어 있다.
도 5에 도시된 바와같이, 구획지어진 전구체 인입구(280)들은 가스 분배판(210)의 바닥면을 따라 교대로 산재되어 있다. 예를들어, 물, 글리콜 등과 같은 냉각제가 인젝터로 들어와 통과하여 S형(꾸불꾸불한)의 냉각수 채널(215)을 거쳐 인젝터로 부터 배출된다. 이렇게 하여 균일한 증착에 필요한 (도면에서 도시되지 않은) 웨이퍼 캐리어(130) 위로 일정한 농도의 전구체가 제공된다.
캐리어 가스 인입구 패턴과 다수의 전구체 인입구 패턴들의 산재
도 6은 본 발명의 가스 분배 인젝터의 한 실시예의 단면도를 보여주고 있으며, 여기서 캐리어 가스는 다공판 보다는 제3 조의 인입구들을 통하여 공급된다. 본 발명의 가스 분배 인젝터가 화학적 기상 증착 회전판형 반응기에 포함되지만, 본 발명의 인젝터는 다른 화학적 기상 증착 반응기들을 포함한, 어떠한 수의 다른 환경, 산업 세정 환경에서도 사용가능하다.
회전판형 반응기(300)의 상단부는 반경방향의 단면도로 단순화된 형태로 도시된 바와같이 가스 분배 인젝터(310)를 포함한다. 제1 전구체 가스 공급원(330)은 파이프, 다기관 및 밸브망(350)을 통해 제어가능한 유속으로 인젝터의 하부면에 있는 일조의 제1 전구체 인입구(37)들로 제1 전구체 가스를 제공한다. 전가체 가스(390)는 예를들어 웨어퍼 화학적 기상 증착 처리를 위하여 반응기(300)속으로 분배된다.
제2 전구체 가스 공급원(335)은 제2 파이프, 다기관 및 밸브망(355)을 통해 dfl조의 제2 전구체 인입구(375)들로 제2 전구체 가스를 공급한다. 제2 전구체 가스(395)는 또한 인젝터의 하부면에서 반응기로 분배된다.
전구체 가스가 인젝터의 인입구로 역류되는 것을 방지하기 위하여, 본 실시예에서 인젝터(310)의 하부면에 있는 전구체 인입구들 사이의 공간(365)은 일조의 분리된 캐리어 가스 인입구(360)들을 포함한다. 캐리어 가스 공급원(320)은 파이프, 다기관 및 밸브망(340)을 거쳐 제2조의 인입구(360)들을 통해 반응기(300)로 분배된다. 캐리어 가스(380)는 (도면에 도시되지 않은) 밸브를 통하거나, 캐리어 가스 공급원(320)의 제어를 통하거나, 파이프, 다기관 및 밸브망(340)의 제어를 통해 수동으로 설정된 유량으로 반응기(300)로 분배된다.
전구체 가스 인입구(370, 375)들 사이의 공간(365)에서 인젝터(310)의 하부면을 관통하여 분균일하거나 방사상의 변화되는 밀도로 캐리어 가스 인입구(360)들을 제공함으로써, 캐리어 가스 흐름(380)은 각각의 제1 인입구로부터의 제1 전구체 가스 흐름(390)과, 인접한 제2 인입구들로부터 가장 가까운 제2 전구체 가스 흐름(395)들 사이에서 공급된다. 상기 캐리어 가스 흐름(380)은 인젝터 내측면(하부면)의 바로 인접하여 제1 전구체 가스 흐름(390)과 제2 전구체 가스 흐름(395)들의 혼합을 방지한다. 그렇게 하여, 캐리어 가스 흐름(380)은 역류가 최소화되고, 인젝터 표면 및 인젝터 인입구내에서 전구체 재료의 부착 및 성장이 감소된다.
도 7은 본 발명의 한 실시예의 가스 분배 인젝터의 (반응기내부로 부터) 저면에서 본 개략적인 평면도를 보여준다. 인젝터(400)는 "모자이크"형 인입구 패턴을 제공한다. 인젝터(400)는 하부(바닥)면(410)을 포함하며, 그 하부면에 전구체 인입구(420)들과 캐리어 가스 인입구(430)들이 배치되어 있다. 본 실시예에서, 각각의 전구체 인입구는 비전구체 인입구에 의해 사방이 둘러싸여 "모자이크" 패턴을 이루고, 각각의 전구체 인입구는 캐리어 가스 인입구들 또는 다공성의 캐리어 스크린에 의해 완전히 둘러싸여 있다. 그런 방식으로, 전구체 인입구들 사이의 공간에 는 비전구체/캐리어 가스 인입구들이 제공됨으로써 인젝터에서 역류(및 그 결과적인 잔류 전구체에 의한 빌트업)가 방지된다. 도 7에는 오직 하나의 전구체만 도시되어 있으나, 많은 수의 전구체들이 전구체 인입구들중에 있는 패턴으로 채용될 수 있다. 다시 말하자면, 일부의 전구체 인입구(420)들은 제1 전구체 가스용 제1 인인구들인 반면, 다른 전구체 인입구(420)들은 제2 전구체 가스용 제2 전구체 인입구들이다. 마찬가지로, 도 7이 캐리어 가스 인입구를 도시하고 있지만, 캐리어 가스들은 도 2에 도시된 스크린을 포함하는 다공판을 통하여 반응실로 주입될 수 있는 것으로 이해되어야 한다.
도 8A, 8B, 9들은 본 발명의 여러 실시예의 가스 분배 인젝터를 반응기 내부에서 하부측으로 본 예시적인 개략도로서, 인젝터의 여러 구조에서 전구체 인입구와 캐리어 가스 구멍들의 여러 배치 구성을 보여준다.
도 8A에서, 가스 분배 인젝터(500)는 인젝터 하부(바닥)면(510), 제1 패턴의 제1 전구체 인입구(520)들, 제2 패턴의 제2 전구체 인입구(530)들 캐리어 가스 인입구(540)들을 포함한다. 제1 전구체와 제2 전구체 인입구들은, 체크보드 패턴의 캐리어 가스 인입구들이 인젝터 자체 부근의 제1 및 제2 반응성 가스ㄷ르 사이의 간격을 최소화하도록 배치되어 자체에서의 역류와 전구체 생성물의 부착을 감소시키도록 되어 있다.
도 8B는 인젝터 바디(560)에서의 제1 전구체 인입구(570)들과 제2 전구체 인입구(580)들의 모자이크 패턴을 보여주고 있다. 다수의 전구체 인입구들 사이에는 다공판(590)의 다공성 스크린 구멍들이 산재되어 있어서 그로부터 캐리어 가스가 반응실 속으로, 도 1-4를 참고하여 기술된 바와같이 전구체 인입구들 사이의 공간으로 주입된다.
도 9는 다른 실시예의 가스 분배 인젝터(600)를 도시하고 있으며, 그 가스 분배 인젝터는 인젝터 내부의 하부(바닥)면(610), 제1 패턴의 제1 전구체 인입구(620), 제2 패턴의 제2 전구체 인입구(630) 및 캐리어 가스 인입구(640)들을 포함한다. 중앙의 구멍(650)은 광학적 조망장치(295)용 또는 반응기에서 사용되는 다른 가스 또는 재료들의 관통용 홀을 포함한다. 제1 전구체와 제2 전구체 인입구들은 캐리어 가스 인입구들과 모자이크 패턴으로 산재되어서, 인젝터 부근에서 제1 및 제2 반응성 가스들 사이에서의 상호 작용을 최소화함으로써 인젝터에서의 역류와 전구체 생성물의 형성을 감소시킨다.
인젝터의 중앙 구멍(650) 주변의 중앙부는 나머지 플랜지에서와 다른 인입구 배치를 갖도록 하여 회전 디스크형 반응기의 중심축 또는 중앙의 캐리어 가스 인입구에 대하여 보정이 이루어지도록 한다. 이러한 배치구조에서, 캐리어 가스 흐름은 구멍(650)에 바로 인접한 제1 및 제2 전구체 가스 인입구들 사이에 제공되지 않는다. 도면에 도시되지 않았지만, 다른 실시예들에서, 캐리어 가스 흐름은, 전체가 아닌 오직 일부의 인접한 제1 및 제2 전구체 인입구들 쌍들 사이에 제공되도록, 다른 영역들에서는 생략될 수 있다.
상술한 실시예들에서, 제1 및 제2 전구체 인입구들 사이의 공간에는 캐리어 가스 흐름이 공급된다. 그 결과 전구체들 사이의 전반응 및 전구체 인입구들에서 들러붙는 현상이 유익하게 감소된다.
더욱이, 전구체 가스 인입구들은 상당한 간격으로 서로 분리될 수 있다. 단지 예로서, 전구체 가스 인입구들은 10인입구/in2(1.55인입구/cm2) 정도의 인입구 밀도로 제공될 수 있다. 전구체 가스 흐름의 역류를 최소화하기 위하여 전구체 인입구들을 근접하게 배치할 필요가 없다. 따라서, 이러한 실시예들은 보다 신뢰할 수 있고 용이하게 제조할 수 있는 구조를 제공하며, 정위치용 광학적 조망구용 또는 다른 가스 관통용 공간을 제공한다. 그러나, 인입구들 사이의 다른 간격들도 사용될 수 있다.
가스 인입구들은 인젝터 중심축에 대하여 집중적으로 또는 반경방향으로 배치될 수 있다. 캐리어 가스들에 대한 전구체의 집중은 반경방향으로 변화될 수 있다. 대체적으로 부가적으로, 인젝터의 표면에서의 전구체와 캐리어 가스 인입구들의 밀도는 반경방향으로 변화될 수 있다.
산재된 캐리어 가스/전구체 가스 인입구들의 집중 구획화
불균일한 전구체 분해와 불균일한 웨이퍼 캐리어 온도와 같은 영향을 보정하기 위하여, 실시예에서 전구체에 대하여 다구역 주입이 제공된다. 반경방향의 3곳의 구역들이 제공되는 것이 바람직하지만, 본 발명의 보호 범위내에서 다른 배치 구성도 가능하다.
여러 주입 지점에 변화된 집중도로 반응실속에 전구체 가스를 주입함으로써 균일한 재료 부착이 증진된다. 다시 말하면, 전구체 집중은 전구체 가스 주입의 좌표기능을 한다. 따라서, 보다 높거나 낮은 전구체 집중도를 갖는 여러 반응실 구역 들은 보다 높거나 낮은 전구체 집중도 보정을 개선한다.
상술한 방법중 한가지는 가스 분배 인젝터를 여러 집중 구역들로 분할하도록 하는 것이다. 각 구역내의 전구체 집중도는 예를들면 반경 방향의 구역들로 전구체 집중도를 제어함으로써 독립적으로 제어된다. 대신에, 구역들마다 전구체 집중도를 제어함으로써 알려진 불균형 패턴을 갖도록 기능적으로 제어된 재료 부착이 향상될 수 있다. 변형예에서, 같은 효과를 얻기 위하여, 캐리어 가스 인입구들에 대한 전구체 인입구들의 집중도를 변화시키거나, 전체 전구체 인입구들의 집중도를 변화시킬 수 있다.
도 10은 본 발명의 실시예에 따라 부분적으로 분산된 인젝터(700)를 도시하고 있다. 도 10에 도시된 바와같이, 인젝터(700)의 하부면(바닥면)(710)에는 다수의 인입구(720)들이 형성되어 있다. 상기 하부면은 두개의 구역(725, 730)들로 구성된다. 도 10에 도시된 실시예에서, 하부면(710)은 원형이며, 상기 구역(725,730)들은 동심원적인 원들로 이루어진다. 원칙적으로, 하부면(710)은 어떠한 형상으로도 될 수 있으며, 반드시 평면적일 필요는 없다. 예를들어 구형, 반구형, 오목하거나 볼록한 형태로도 될 수 있다. 마찬가지로, 구역(725,730)들도 어떠한 형태로도, 예를들어 원형이나 동심원 형태로 될 수 있다.
각각의 구역(725,730)들의 인입구(720)들에는 독립된 저장조들로부터 나온 twc 전구체 가스들이 공급된다. 즉, 구역(725)의 인입구들에는 저장조(735,740)들로부터의 전구체 가스들이 공급되고, 구역(730)의 인입구들에는 저장조(745,750)으로부터의 전구체 가스들이 공급된다. 저장조(735,745)들 각각은 제1 전구체 rkm를 수용하지만, 저장조(735)에 수용된 전구체 가스는 저장조(745)의 전구체 가스와 농도 수준이 다르다. 마찬가지로, 저장조(740,750)들 각각은 제2 전구체 가스를 수용하지만, 역시 저장조(740)에 수용된 전구체 가스가 한 설정된 농도를 갖는 한편, 저장조(750)에 수용된 동일한 전구체 가스는 다른 농도를 갖는다. 따라서, 구역(725,730)들 각각에는 제1 및 제2 전구체 가스들이 공급되지만, 각각의 구역은 다른 농도 수준의 전구체 가스들을 주입한다. 구역들마다의 농도 변화는 발생될 수 있는 반응실 영역들에서의 농도 변화를 보정하기 위하여 사용될 수 이다.
요약하면, 인젝터(700)는 다수의 인입구(720)들이 형성된 인입면(710)을 포함한다. 인입구(720)들은 복수의 구역(725,730)들로 구성된다. 각각의 구역(725,730)들에 대하여, 부착된 반응실로 주입될 각각의 전구체 가스용 저장조가 존재한다. 이러한 구성의 결과, 구역(725,730)들 각각은 다른 농도의 전구체 가스들을 주입할 수 있다. 물론, 예를들어, 압력, 온도 또는 전구체의 이온 전하등을 구역마다 다르게 하는 것과 같이 구역들마다 변화를 줄 수 있다. 도 10에 도시된 인젝터(700)는 두가지 전구체 가스들이 각각 공급되는 두개의 구역(725,730)을 포함하지만, 인젝터(700)는 임의 종류의 전구체 가스들이 각각 공급되는, 임의의 수의 구역들을 포함할 수 있다. 주어진 구역으로 공급되는 모든 전구체 가스들은 동일한 농도 수준을 갖거나, 또는 다른 농도 수준을 가질 수도 있다. 각각의 전구체 가스가 구역마다 독립적으로 다른 농도 수준을 갖도록 하는 것은 전구체들이 분해율이 서로 다른 것을 보정하기 위하여 중요하다. 인젝터(700)의 하부면(710)의 인입구들은 캐리어 가스 인입구들이 분산된 형태이거나 상술한 바와같이 다공성 요소 형태의 인입구들을 포함할 수 있으며, 한가지 이상의 전구체들에 대하여 일개조 이상의 전구체 가스 인입구들을 가질 수도 있다.
도 11은 도 10의 공간적으로 분산된 인젝터(700)에 사용될 수 있는 인젝터(800)의 사시도이다. 도 11에 도시된 바와같이, 인젝터(800)의 하부면(바닥면)(810)에는 다수의 인입구(820)들이 형성되어 있다. 인젝터(800)는 또한 후술하는 냉각수실을 통하여 물과 같은 냉각수가 통과하는 냉각수 인입관(830)과 냉각수 배출관(835)을 포함한다. 도 11-16들은 가스 분배가 상부 구조를 향한 방향으로, 즉 도 1-4의 인젝터에서의 가스 분배 방향과 반대로, 이루어지는 가스 분배 인젝터를 보여준다. 인입구(820)들은 세곳의 집중 구역(840,850,860)들로 분할되어 있다.
도 12는 도 11에 도시된 인젝터(800)의 사시 단면도로서, 인입구(820)들 각각은 인젝터(800) 바디에 형성되는 두개의 실린더형 챔버(900, 910)들중 하나에 연결된다. 상기 챔버(900)는 환형의 부속 챔버(920a, 920b, 920c)들로 분할되고, 챔버(910)도 환형의 부속 챔버(930a, 930b, 930c)로 분할된다. 각각의 구역(840, 850, 860)은 챔버(900)의 부속 챔버(920a, 920b, 920c)들중 하나와 그리고 챔버(910)의 부속 챔버(930a, 930b, 930c)중 하나와 관련된다. 예를들어, 부속 챔버(920a, 930a)들은 구역(860)에 해당된다. 따라서, 구역(860)내의 인입구들은 부속챔버(920a, 930a)에 연결된다. 마찬가지로, 구역(850)내의 인입구들은 부속챔버(920b, 930b)들에 연결되며, 구역(840)내의 인입구들은 부속챔버(920c, 920c)들에 연결된다.
부속 챔버(920a-c, 930a-c)들은 독립적인 "챔버"라기 보다는 부속실로서 언 급되는데, 그 이유는 이들 부속 챔버들은 단일의 챔버(900 또는 910)에서 복수의 벽들에 의해 많은 "부속실"로 분할된 것이기 때문이다. 인젝터(800)의 상세한 것은 후술된다. 도 12에 도시된 바와같이, 각각의 부속 챔버(920a-c, 930a-c)들 각각은 도관(940a-c, 950a-c)들에 연결된 구멍을 각각 갖는다. 상기 구멍과 도관의 결합은 전구체 가스가 부속챔버(920a-c, 930a-c)들로 주입될 수 있게 한다. 따라서, 각각의 부속 챔버(920a-c, 930a-c)들에는 각자의 전구체 공급원으로부터 전구체가 공급된다.
실린더형 냉각실(960)은 도면에 도시되지 않은 반응실과 제1 및 제2 챔버(900, 910)들 사이에 위치된다. 물과 같은 냉각수는 냉각실(960)을 통해 순환된다. 인입구(820)는 냉각실(960)을 통과하여 반응실(960)로 이어진다. 따라서, 전구체가스들은 (서로 연통되지 않고) 냉각실을 관통하여 증착 반응을 위한 임계치 밑의 온도로 냉각된다. 물과 같은 냉각수는 냉각실(960)로 유입 및 배출되어 냉각수 인입구(970)와 냉각수 배출구(980)들을 통해 순환된다.
도 13은 도 12의 단면도 일부를 확대하여 보여주고 있다. 도 13에 도시되어 있듯이, 각각의 인입구(820)는 제1 주입관(1040)이 제2 주입관(1050) 주변에 배치되는 형태로 이루어진 동축의 주입관을 구비한다. 예를들어, 주입관(1040)은 내측 주입관(1050)을 포함한다. 상기 내측 주입관(1050)은 부속실(920a)내의 전구체 가스가 반응실로 이동하는 통로를 제공한다. 내측 주입관(1050) 주변에 외측 주입관(1060)이 있다. 그 외측 주입관(1060)은 부속실(930a)내의 전구체 가스가 반응실로 이동하는 통로를 제공한다. 내측 및 외측의 주입관(1050, 1060)들은 동심원적으 로 배치되어 있다. 따라서, 도 17에 도시된 바와같이, 하부면(810)의 각각의 인입구(820)는 독축 벽(1390)에 의해 분할된 내측의 주입관 구멍(1370)과 외측 주입관 구멍(1380)들을 포함하는 동축의 주입관으로 궝된다. 동축의 주입관(1030)은 다른 인입구(820)를 부속실(930a, 920a)에 연결하고, 동축의 주입관(1020, 1010)들은 인입구들을 부속실(930b, 920b)에 연결하며, 동축의 주입관(1000)은 다른 인입구를 부속실(930c, 920c)들에 연결한다. 내측 및 외측 주입관의 단면적은 동일하거나 다를 n 있다. 이들 면적의 비율은 구역 마다 다르게 하거나 한 구역내에서 균일하게 될 수 있다. 동축의 주입관 구성은 전구체 가스가 그 각각의 부속실로부터 전구체들 사이의 서로간의 교통없이 반응실로 이동될 수 있게 한다. 더욱이, 동심원적 주입관은 표면(810)에서의 부착물 형성을 최소화할 수 있다. 각각의 주입관으로부터 나온 두가지의 전구체 가스들은 서로 혼합되지만, 가장 외측의 주입관(1000)에서 나온 전구체 가스 흐름의 가장 외측 부분은 인젝터 하부면(810) 내측에서 하류쪽으로 제한된 거리동안 혼합되지 않은 상태로 잔류되는 것으로 사료된다. 표면(810)을 향한 가스의 어떠한 역류는 이러한 가장 외측부분으로부터의 가스 흐름에서 주로 발생된다.
도 11-13에 도시된 인젝터는 상술한 바와같은 분리된 내측의 캐리어 가스 공급을 제공하지 않는다. 그러나, 그러한 캐리어 가스는, 유출구(820)들 사이에서 표면(810)의 일부분을 형성하는 다공성 요소나 분산된 캐리어 가스 유출구중 어느 하나로, 후술하는 바와같이 공급됨으로써 역류를 최소화한다. 동축의 주입관 구조를 사용함으로써 요구되는 시일부분을 감소시킬 수 있어서 인젝터의 구성을 단순화할 수 있다. 더욱이, 동축의 주입관 구조의 사용은 전구체 재료의 더욱 균일한 분배를 가능하게 한다. 물론, 도 10-13들의 구획화된 배치는 도 1-4에 도시된, 분리된 제1 및 제2 전구체 인입구들에 채용될 수 있다. 특히, 본 변형예에 도시된 바와같이, 제1 전구체 인입구들은 부속실(920a-c)들에 연결되는 한편, 제2 전구체 인입구들은 부속실(930a-c)들에 연결된다. 마찬가지로, 동축의 주입관들은 한가지 이상의 전구체 가스들을 교대로 또는 전술한 것과 다른 패턴으로 내측 주입관을 통해 분산하는 한편, 캐리어 가스는 각각 동축 주입관의 외측 주입관을 통해 분산하도록 이용될 수 있다.
도 14-16들은 도 10의 인젝터(700)가 구성되는 일 조의 판부재들의 단면 사시도이다.
도 14에는 상부판(1100)이 도시되어 있다. 상기 상부판(1100)은 원형인 것이 바람직하며, 3개의 요홈부(1110, 1120, 1130)들을 포함한다. 동심원적인 원형의 벽(1140, 1150)들은 상기 세개의 요홈부(1110, 1120, 1130)들을 구분한다. 전체적으로 상기 요홈부들은 도 12에 도시된 제1 챔버(900)를 구성한다. 요홈부(1110)는 부속실(920c)을 구성한다. 마찬가지로, 요홈부(1120, 1130)들은 부속실(920b, 920a)를 각각 구성한다. 이러한 도 14 구성에 기초하여, 챔버(900)는 대체로 원통형의 형상으로서 3개 일조의 동심원적으로 원통형 부속실(1110, 1120, 1130)로 분할됨을 알 수 있다. 제1조의 주입관(940a-c)들은 각각 요홈부(1130, 1120, 1110)들로부터 (반응기 외측의 가스 공급원을 향하여) 상부로 연장되어 있다. 상기 주입관(940a-c)들은 통로로서 작용하여 전구체 가스들이 요홈부(1110, 1120, 1130)들로 형성된 여러 부속실들로 주입될 수 있게 한다. 제2조의 주입관(950a, 950b, 950c)들은 상부판(1100)을 관통해 연장된다. 제2조의 주입관은 동심원적인 벽(1140, 1150)들의 것과 같은 높이로 상부판(1100)으로부터 하방으로 (반응기를 향해) 돌출되어 있다. 각 요홈부에 대해 하나 이상의 주입관이 있으며, 주입관의 수는 각 요홈부에 따라 다를 수 있다.
도 15는 상부판(1100) 위에 적층된 중간판(1200)을 도시하고 있다. 상기 중간판(1200)은 상부판(1100)에 형성된 원통형의 벽(1140, 1150)들 위에 안착된다. 상부판(1100)과 같이 중간판(1200)도 또한 요홈부(1210, 1220, 1230)들을 포함한다. 상기 요홈부(1210, 1220, 1230)들은 원형의 벽(1240, 1250)들에 의해 분리된다. 상기 요홈부(1210, 1220, 1230)들은 전체적으로 제2 챔버(910)를 구성하며, 각각의 요홈부는 부속실(930a, 930b, 930c)들을 구성한다. 이러한 도 15의 구성에 기초하여, 제1 및 제2 운통형 챔버(900, 910)들은 서로 적층되어 있고, 공통의 면, 즉 중간판(1200)을 공유하며 공통의 종방향 축을 공유한다. 상기 중간판(1200)은 제2조의 주입관(950a, 950b, 950c)들 각각을 상부판(1100)으로부터 하방으로 (반응실로 향해) 돌출되도록 연결한다. 따라서, 제2 조의 주입관(950a, 950b, 950c)들은 요홈부(1210, 1220, 1230)들로 형성되는 여러 부속실들로 전구체 가스가 주입되는 통로들로서 작용한다.
더욱이, 각 요홈부 마다 복수개의 주입관들이 있을 수 있으며, 그 주입관들의 수는 요홈부들에 따라 변화될 수 있다. 중간판(1200)은 또한 복수개의 주입관(1260)들을 포함하며, 상기 주입관은 중간판(1200)으로부터 반응실을 향해 원형의 벽(1240, 1250)들의 높이 이상으로 하부로 돌출된다. 주입관(126)의 전체 높이는 도 16에 도면의 간략화를 위해 도시되어 있지 않다.
도 16은 하부판(1300)을 도시하고 있으며, 그 하부판은 중간판(1200) 위에 적층된다. 하부판(1300)은 중간판(1200)에 형성된 원형의 벽(1240,1250)들 위에 안착된다. 상기 하부판은 도 12에 도시된 냉각실(960)의 일부를 형성한다. 이러한 도 16의 구성에 기초하여, 원통형의 냉각실(960)과 제2 원통형 챔버(910)는 서로 적층되고, 공통의 면, 즉 하부판(1300)과 공통의 종방향축을 공유한다.
도 12와 13에 도시된 바와같이, 냉각실(960)은 인젝터(1100)의 내부 또는 하부면(810)이 형성된 하부판(1300)과 상부판(805) 사이에 배치된다. 본 실시예에서, 주입관(1320)은 냉각실을 통과하지만, 냉각실과 연통하지는 않는다. 도 16에 도시된 바와같이, 하부판(1300)의 측부는 냉각실(960)용 인입구(1330)와 배출구(1340)를 제공한다. 상기 인입구(1330)와 배출구(1340)는 인입관(830)과 배출관(835)에 연결되어 있다. 따라서, 인입구와 배출구 그리고 인입관(830)과 배출관(835)들은 냉각수가 인젝터를 통해 순환될 수 있게 냉각실과 협동한다. 냉각수 순환을 위한 냉각실은 도 16에 도시된 바와같이 개방형 챔버이거나, 도 5에 도시된 바와같은 이차원적 또는 삼차원적인 구조로 될 수 있다.
하부판(1300)은 복수개의 주입관(1320)들을 포함하며, 그 주입관들은 하부판(1300)으로부터 반응실을 향하여 하부로 돌출되어 중간판(1200)에 의해 연결된 주입관(1260)과 같은 높이로 연장되어 있다. 하부판(1300)에 연결된 주입관(1320)은 중간판에 연결된 주입관(1260)을 중심으로 형성되어 있고, 도 13과 도 17을 참 고하여 설명된 동축 주입관 구조로 된다. 도 11, 12, 13들에 도시되어 있듯이, 커버판(805)은 하부판(1300) 위에 배치되어 도 11에 도시된 주입면(810)이 형성되고, 도 11에 도시된 바와같이 복수의 인입구(820)들이 형성되어 있다. 더욱이, 커버판(805)은 밀폐된 인젝터를 밀봉한다. 인입구(820)들에서, 커버판(805)은 주입구(1320)에 밀봉되어 있다. 도 17에 도시된 동축 인입구의 한 실시예는 커버판(805)의 주입면(하부면(810)의 동축 인입구(820)를 보여준다. 외측의 동축 인입구(1380)은 외측의 동축 벽(1360)과 내측의 동축벽(1390)에 의해 한정된다. 외측의 동축 인입구(1380)는 내측의 동축벽(1390)에 의해 한정되는 내측의 동축 인입구(1370)를 부분적으로 또는 완전히 둘러싼다. 외측의 동축 인입구(1380)와 내측의 동축 인입구(1370)는 제1 및 제2 전구체 가스를 분배하거나, 대신에 내측의 동축 인입구(1370)이 전구체 가스를 분배하는 한편, 외측의 동축 인입구(1380)는 전구체 가스를 둘러싸는 캐리어 가스를 분배한다. 반대로, 캐리어 가스가 내측의 동축 인입구(1370)에 의해 공급될 수도 있다.
III. 구획화된 인입구들과 복수-전구체 인입구들(동축 또는 이중 루멘)을 구비한 가스 분배 인젝터
도 18은 본 발명의 한 실시예를 보여주며, 여기서 복수의 전구체들이 균일한 캐리어 가스 인입구들로 분산된 인입구들을 통해 공급된다. 하부의 (내부의) 주입면(1400)은 복수의 구역(1410, `1420, 1430)들로 분할된다. 각각의 구역내에서, 제1 전구체 가스 인입구(1440), 제2 전구체 가스 인입구(1450), 및 캐리어 가스 인입구(1460)들의 체크보드 패턴이 제공되어 인젝터 자체로 역류되지 않고 반응기의 웨 이퍼 캐리어로 전구체들을 균일하게 분배한다.
도 19에서는, 도 18의 구조의 변형이 제공되며, 여기에서 제1 전구체 인입구와 제2 전구체 인입구들이 이중의 루멘 인입구들로 결합된다. 특히, 하부의 내부 주입면(1500)은 복수의 구역(1510, 1520, 1530)들로 분할된다. 각각의 구역내에서, 체크보드 패턴의 이중 루멘 전구체 가스 인입구(1540)와 캐리어 가스 인입구(1550)들이 제공되어 인젝터 자체로 재료가 역류되지 않고 반응기의 웨이퍼 캐리어로 전구체 가스를 균일하게 분배하도록 한다.
도 20에 도시된 바와같이, 각각의 이중 루멘 전구체 가스 인입구(1540)는, 제1 전구체 가스(1570)와 제2 전구체 가스(1575)를 공급하는 보다 작은 인입구(1560, 1565)들로 분할되고, 상기 제1 전구체 가스와 제2 전구체 가스가 반응실로 들어가기 전에 분리하는 루멘 벽(1580)에 의해 분할된다. 이중의 루멘 인입구(1540)들은 도 13-17을 참고하여 위에서 설명한 바와같이 동축의 인입구(1590)들로 대체될 수 있다. 도 18-19들중 어느 하나의 실시예에서, 캐리어 가스 인입구들은 도 2에 도시된 바와같이 캐리어 가스용 다공판으로 대체될 수 있다. 도 21A-G들은 (도면의 간략화를 위해 캐리어가스용 다공판이 제거된) 본 발명의 인입구들의 실시예들의 단면도이다. 도시된 바와같이, 인입구는 반응실로 하방으로 개방되어 있다. 도 21A는 단순한 체크보드 패턴으로 분산된 캐리어 가스 인입구(1603)들과 전구체 가스 인입구(1606)들을 포함하는 단면부(1600)를 보여준다. 도 21BF에서, 단면부(1610)는 (도 20에 도시된 형태의) 이중 루멘 전구체 가스 인입구(1616)들과 냉각수 채털 단면부(1618)들로 체크보드 패턴으로 분산되어 있다.
도 21C에서, 단면부(1620)는 냉각수 채널 단면부(1828)와 (도 17에 도시된 형태의) 동축 전구체 가스 인입구(1626)들의 체크보드 패턴으로 동축의 전구체 가스 인입구(1626)들을 보여주고 있다. 도 21B에서, 단면부(1610)는 제2 전구체 가스 주입관으로부터 제1 전구체 가스 주입관을 밀봉하도록 선형의 방벽(1615)을 포함하는 이중의 루멘 전구체 인입구(1616)들을 보여준다. 마찬가지로, 도 21C에서, 동축 전구체 가스 인입구(1626)들은 주변의 제2 전구체 주입관으로부터 제1 전구체 주입관을 밀봉하는 반경방향의 방벽(1625)에 의해 부분적으로 한정된다.
단면부(1600, 1610, 1620)를 보여주는 도 21A-C 각각은 인입구들의 모서리에서 직각임을 보여주며, 인젝터의 내부의 하부면과 인입구들 사이에 직각의 경계를 제공함으로써 역류를 더욱 감소시킬 수 있다. 따라서, 도 21D에서, 단면부(1630)는 단순한 체크보드 패턴으로 분산되고 경사게 하여 역류를 더욱 감소시킨 전구체 가스 인입구(1636)들과 캐리어 가스 인입구(1633)들을 보여준다. 도 21E에서, 단면부(1640)는 단면부(1630)과 유사하지만, 이 예에서는 오직 전구체 가스 인입구(1646)들이 경사져 있고, 캐리어 가스 인입구(1643)들은 정상적으로 남아 있는 점에서 차이가 있다. 도 21F에서, 단면부(1650)는 캐리어 가스 인입구(1653)들과의 체크보드 패턴에 선형의 방벽(1655)이 개재된 이중 루멘 전구체 가스 인입구(1656)를 보여주며, 이중 루멘 인입구(1656)와 캐리어 가스 인입구(1653)들 모두는 45도로 경사져서 점성을 최소화하였다. 끝으로, 도 21G에서, 단면부(1660)는 캐리어 가스 인입구(1663)과의 체크보드 패턴에 반경방향의 방벽(1665)이 개지되어 동축의 전구체 가스 인입구(1666)들을 보여준다. 냉각수 채널 단면부(1668)들은 동축의 전 구체 가스 인입구(1666) 또는 캐리어 가스 인입구(1663)와 가스 교통이 이루어지지 않지만, 인입구(1666, 1663)들과 열전달은 이루어질 수 있어서 공정중의 인젝터의 온도를 완화시켜준다.
도 21F와 도 21G에서는 단면부(1650, 1660)들이 도시되어 있으며, 방벽(1655, 1665)들은 또한 특정 인젝터용의 개별 구조에 따라 경계에서 또는 그 너머에서 종결되지만, 선형의 방벽(1655)들과 반경방향의 방벽(1665)들은 인입구와 반응실 앞의 경계전에 경사지는 것이 바람직하며, 이로써 점성과 역류 현상이 최소화된다.
IV. 맞춤가능한 포트와 오리피스 크기를 허용하는 대체가능한 인입구 요소들을 구비한 인젝터
도 22는 본 발명의 가스 분배 인젝터의 다른 실시예의 단순화된 부분단면도이다. 증착 반응기에 배치되는 인젝터(1700)는 상부판(1710), 중간판(1720) 및 하부판(1730)들로 구성되며, 그 상부판과 중간판 및 하부판들은 예를들어 진공 용접, 접합 또는 볼트와 시일재 배치 구조와 같은 밀봉과정을 통해 함께 연결된다. 인젝터는 통상적으로 반응실의 (도 2 참조) 밀봉판(1701)에 연결된다. 도 23은 반응실에 가스 교통을 위해 사용되는 통기 스크류를 포함하며 복수의 가스 분배판들을 채용한 본 발명의 가스 분배 인젝터의 실시예의 분해도이다. 가스 분배 인젝터는 제1 반응 가스 다기관을 형성하는(도 2 참조), 예를들어 (도면에 도시하지 않은) 반응기 밀봉판 밑에 배치되며, 웨이퍼 캐리어는 (도 1 참조, 도시되지 않음) 가스 분배 인젝터 밑의 중앙에 위치되도록 반응실내에 배치되는 것이 바람직하다.
도 22에 도시된 바와같이, 상부판(1710)은 상부면(1740)과 하부면(1745)을 포함한다. 제1 반응 가스 다기관(1702)을 한정하는 공간은 상부판(1710)의 상부면(1740)과 밀봉판(1701)들 (도 2의 270a-c 참조) 사이에 위치한다. 하나 이상의 가스 인입구 요소들, 이 경우 통기 스크류(1760)는 상부판(1710)의 상부면(1740)과 동일 평면을 이루는 것이 바람직하며, 가스 인입구(1770)는 각각의 통기 스크류(1760)속의 중심에 위치된다. 상기 통기 스크류(1760)들은 상부판(1710)의 상부면(1740)의 하나 이상의 스크류 홀(1765)를 통해 상부판(1710)의 상부면(1740)에 고정되며, 상기 스크류 홀(1765)들은 제1 반응 가스 통로와 일직선으로 정렬된다.
도 23에 있어서, 도 22에서 설명된 상부판(1710), 중간판(1720) 및 하부판(1730)들이 각각 도시되어 있다. 도 23에서 도시된 바와같은 상부판(1710)에서, 복수의 통기 스크류(1760)들은 통기 스크류 홀(1875)들에 고정되어 인입구를 제공하여 제1 가스 다기관으로 부터 가스 분배 인젝터로의 제1 반응 가스용 인입구를 제공한다. 가스 분배 인젝터로의 가스 공급원의 교통용 또는 광학적 포트용의 인젝터 밀봉 포트(1870)들은 상면(1740)에 위치한다. 냉각수 관통 구멍(1895)들은 냉각수 유입 및 배출관들이 상부판(1710)의 구조물을 관통하도록 허용한다. 끝으로, 볼트 홀(1890)들은 다른 인젝터 판들과 반응기의 밀봉판들의 밀봉을 허용한다.
도 24A는 도 22에 도시된 가스 분배 인젝터의 실시예의 상부판의 보다 상세한 사시도이다. 도시된 상부판(1710)은 상부면(1740)과 거기에 복수의 통기 스크류 홀(1875)들을 포함한다. 더욱이, 일조의 냉각수 관통 구멍(1895)들은 상부판을 통하여 냉각수 채널이 위치한 (도면에 도시하지 않은) 중간판으로의 냉각수 유입 및 배출관을 허용한다. 가스의 교통을 위하여 또는 가스 분배 인젝터로의 광학적 포트용으로 복수의 밀봉 포트(1870)들이 제공된다. 특히, 제2 반응 가스 밀봉 포트(1872)들은 제2 반응 가스가 상부판(1710)을 통하여, 제2 반응 가스 다기관(1790)이 형성되는 (도면에 도시되지 않은) 중간판의 상부면과 상부판의 하부면(1745) 사이의 영역으로 유동하도록 제공된다.
도 24B는 도 22에 도시된 가스 분배 인젝터의 실시예의 상부판의 저면도로서, 상부판(1710)의 하부면(1745)을 보다 상세히 보여준다. 전술한 바와같이, 상부판(1710)은 복수의 냉각수 관통 구멍(1895)들, 제1 반응 가스 통로용 가스 통기 스크류 홀(1875), 밀봉 포트(1870)용 통로 및 상부판과 중간판 및 하부판들을 함게 연결하기 위한 볼트 체결용 홀(1890)들을 포함한다.
제2 반응 가스 밀봉 포트는 제2 반응 가스 다기관(1790)의 바디로 제2 반응 가스를 연통시키는 제2 반응 가스 밀봉 포트 배출구(1873)를 포함한다. 제2 반응 가스 다기관(1790)내에는 선택적으로 반경방향의 방벽(1878)이 제2 반응 가스 다기관(1790)의 두 영역들 즉, 제2 반응 가스 밀봉 포트 배출구(1873)에 의해 처음에 제2 반응 가스가 연통되는 외측 링(1878)과, 제2 반응 가스가 중간판(1720)속으로 연통되는 내측의 다기관 영역(1883)으로 한정한다. 상기 외측 링(1878)과 내측의 다기관 영역(1883)은 다수의 오리피스(1882)를 통해 연통되어 제2 반응 가스 다기관(1790)의 내측 다기관 영역(1883) 속에 제2 반응 가스 다기관(1790)의 내측 다기관 영역(1883)속에서 제2 반응 가스의 가스 압력이 균일화하는 작용을 한다.
도 22에 있어서, 상기 중간판(1720)은 상부면(1750)과 하부면(1755)을 포함 한다. 상부판(1710)과 중간판(1720)은 상부판(1710)과 중간판(1720) 사이의 접촉부(1860)에서 예를들어 진공 용접 또는 볼트-시일 구조에 의해 함께 연결될 수 있다. 상부판(1710)의 하부면(1745)의 일부는 중간판(1720)의 상부면(1750)과 함께 반응실로의 제2 반응 가스의 인도를 위하여 제2 반응 가스 다기관(1790)을 형성한다. (하나 이상의 통기 스크류 홀(1805)에 고정되는 하나 이상의 통기 스크류(1800)을 선택적으로 통하여) 가스 인입구(1810)가 중간판(1720)의 상부면(1750)에 형성된다.
중간판(1720)의 상부면(1750)속으로 냉각수 채널(1840)이 형성된다(도 5와 도 25A-C 참조). 냉각수 채널(1840)의 상단부는 밀봉되고 가스 분배 인젝터(1700)의 다른 요소들로부터 분리되며, 특히 중간판(1720)의 상부면(1750)에서의 연속면을 형성하도록 바람직하게 진공 용접된 냉각수 채널 커버요소(1850)를 통하여 중간판(1720)의 상부면(1750)으로 부터 밀봉되어서 도 25A-C에서 보다 상세히 설명된 바와같이 연속된 냉각수 채널(1840)을 형성한다.
중간판(1720)의 하부면(1755)에는 반응기로의 분배를 위한 비반응성 캐리어 가스를 수용하는 하나 이상의 캐리어 가스 다기관(1830)들이 형성된다. 또한, 중간판(1720)의 하부면(1755)에는 제1 가스 인입구(1785)를 속에 포함하는 제1 가스 배출 통기 스크류(1780) 고정용 통기 스크류 홀(1795)들이 형성된다. 제1 가스 배출 통기 스크류(178)와 제1 가스 배출구(1785)들은 제1 가스 통로(1775)의 말단부로서 작용함으로써, 제1 반응 가스가 제1 가스 다기관으로부터 반응실로 전달되는 것을 허용한다. 중간판(1720)의 하부면(1755)에는 제2 가스 배출구(1820)가 형성되며, 그 제2 가스 배출구는 제2 가스 통로(1815)용 말단부로 작용하여서 제2 반응 가스가 제2 가스 다기관(1790)으로 부터 반응실로 전달되는 것을 허용한다. 대신에, 제2 가스 배출구(1820)는 제1 가스 배출구(1785)용으로 사용되는 것과 유사한 통기 스크류 구조로 부터 형성될 수 있다.
도 23에 분해도로 도시된 바와같이, 중간판(1720)은 용접된 상부면 박판(1840)과 하부면(1755)을 포함하며, 중간판(1720)속에 위치한 냉각수 채널로 물과 같은 냉각수를 공급하는 냉각수 인입 및 배출 파이프(1880)들에 연결된다. 가스 인입구(1810)들은 중간판(1720)의 상부면 박판(1840)에 위치하며, 그중 일부는 상부판(1720)의 제1 가스 인입구들에 연결되고, 또 다른 일부는 중간판(1720)의 상부면(1840)과 상부판(1745)의 하부면 사이에 형성된 제2 가스 다기관으로부터 제2 가스를 직접 받게 되어 있다. 볼트 홀(1900)들은 인젝터의 다른 판 부재들에 중간판을 밀봉하도록 한다.
도 25는 도 22에 도시된 가스 분배 인젝터 실시예의 중간판의 보다 상세한 사시도이다. 중간판(1720)의 상부면(1750)은 (제2 가스 분배 다기관과 연통하지 않고 관통하는 제1 가스 통로용 및) 제2 반응 가스용의 가스 인입구(1800)들을 포함하고 제2 가스 분배 다기관(1790)의 하단부를 형성한다. 중간판(1720)은 또한 가스 분배 인젝터용 냉각수 채널(1840)을 포함한다. 상기 중간판은 또한 상부판, 중간판, 및 하부판들을 함께 고정하기 위한 볼트 홀(1900)들과, 가스 분배장치속의 가스 연통 또는 광학적 조망구용의 밀봉 포트 관통구(1910)를 더 포함한다.
도 26A는, 냉각수 채널(1840)을 보다 상세히 보여주도록, 상부면에 냉각수 채널 커버부재(1850)를 (도 26B 참조) 용접하기전에, 도 22에 도시된 가스 분배 인젝터 실시예의 중간판의 사시도이다. 중간판(1720)의 상부면(1750)에 있는 반응 가스 인입구(1820)는 실선으로 도시되어 있고, 하부면(1755)의 반응 가스 인입구(1820)의 배출구들은 점선으로 도시되어 있다. 도 26B는 도 22에 도시된 가스 분배 인젝터 실시예의 중간판의 사시도로서, 상부면에 냉각수 채널 커버부재가 용접된 다음의 모습을 보여준다. 냉각수 도관(1930)은 도 26A에 도시된 냉각수 채널(1840)로 물과 같은 냉각수의 인입 및 배출을 하기 위하여 제공된다.
도 22로 돌아가서, 하부판(1730)은 단일 또는 복수의 침투가능한 또는 천공된 구역(1735)들을 포함하는 박판으로 될 수 있다. 하부판(1730)은 예를들어 진공 용접 또는 볼트-밀봉 배치와 같은 공정을 통해 중간판(1720)의 하부면(1755)에 연결된다. 하부판(1730)의 천공된 구역(1735)들은 중간판(1720)의 하부면(1755)의 캐리어 가스 다기관(1830)과 일치하도록 되어 하부판(1730)의 하부에 위치한 반응실로의 캐리어 가스 분배를 허용한다.
하부판(1730)에서, 제1 반응실 가스 통로(1775)들은 하부판(1730)에 위치한 가스 배출구(1785)로 종결되거나 가스 통기 스크류(1780)과 같은 제거가능한 장치속에서 종결된다. 선택적으로, 가스 배출용 통기 스크류(1780)들이 하부판(1730)에 고정되어서 중간판(1720)의 하부면(1755)과 가스 배출 통기 스크류(1780) 사이에서 하부판(1730)을 고정하도록 한다. 제2 반응 가스 배출구(1820)를 통하여 제2 가스 통로(1815)가 종결되며, 제2 반응 가스를 반응실로 분배하도록 하부판(1730)을 통해 전체적으로 연통되는 것이 바람직하다.
도 23의 다른 사시도로 도시된 바와같이, 하부판(1730)은 복수의 홀(1820)들을 포함하며, 그 홀들을 통해 중간판(1720)의 하부면(1755)로부터의 제1 가스 배출구와 제2 가스 배출구들이 반응실과 연통할 수 있다. 끝으로, 복수개의 가스 배출용 통기 스크류(1780)들이 중간판(1720)의 저면(1755)에서 배출 통기 스크류 홀에 고정되어서 (도 2 참조) 가스 배출 통기 스크류(1780)들과 중간판(1720)들 사이에 하부판(1730)을 더 고정하도록 한다. 가스 배출 통기 스크류들은 도 22에 도시된 바와같이 제1 반응 가스 배출구용으로 채용되지만, 선택적으로 제2 반응 가스 배출구용으로 채용될 수 있다. 끝으로, 하부판의 볼트 홀(1940)들은 상부판과 중간판 및 하부판들을 함께 볼트 체결하고 밀봉하거나 달리 연결하도록 상부판의 볼트 홀(1890)들과 중간판의 볼트 홀(1900)을 일직선으로 정렬하는 것이 바람직하다. 하부판에는 도 27에 도시된 바와같이, 반응 가스 배출구들 사이의 영역에 캐리어 가스를 분배하는 캐리어 가스 스크린이 제공되는 것이 바람직하다.
도 27은 도 22에 도시된 가스 분배 인젝터 실시예의 하부판을 반응기 내측에서 (하방으로) 본 도면이다. 하부판(1730)은 관통하는 캐리어 가스가 침투가능하거나 다공성인 캐리어 가스 스크린(1735)을 포함한다. 캐리어 가스 스크린(1735)은 단일의 연속된 영역으로 도시되어 있지만, 예를들어 캐리어 가스 다기관(1830)에 수직으로 인접하여 위치한 복수의 불연속의 영역들로, 불연속의 가스 인입구들로서, 복수의 동축의 내측 반응 가스 인입구들 각각에 대한 복수의 외측의 동축 인입구들로서 제공될 수 있다. 제1 가스 통기 홀(1795)들용으로, 하부판(1730)을 통한 제2 가스 배출구(1820)들용으로 오리피스들이 제공된다. 하부판(1730)의 외측 영 역(1945)은 고체인 것이 바람직하며 스크린을 구성하지 않는다. 상부판과 중간판 및 하부판들을 서로 그리고 반응기에 고정하기 위하여 볼트 홀(1940)들이 제공된다.
도 28은 본 발명의 가스 분배 인젝터의 한 실시예의 단면도이며, 가스 분배 인젝터는 압력차를 발생시키도록 반응 가스 인입 통로에 배치된 다공성 재료를 포함한다. 달리 도 22의 실시예와 유사하게, 도 28은 가스 압력을 제어하기 위하여 침투가능한 재료(1960)의 제1 가스 통로(1775)속에 도입되는 것과, 전술한 제1 가스 배출-통기 스크류(1780)과 같이 제2 가스 배출(1975)용 제2 가스 배출 통기 스크류(1970)의 사용을 보여주고 있다.
침투가능한 재료(1960)는 예를들면 카본 필터나, 통과하는 제1 반응가스가 반응하지 않는 다른 침투가능한 재료일 수 있으며, 제1 가스 인입구(1770)와 제1 가스 배출구(1785) 사이에서 압력차가 발생하도록 작용한다. 대신에, 침투가능한 재료는 제2 가스 통로로서 사용될 수도 있다.
더욱이, 침투가능한 재료 대신에 또는 부가적으로, 통기 스크류(1760, 1785)들의 내경 또는 다른 제거가능한 가스 인입장치가 예를들어 제1 가스 인입구 통기 스크류(1760)의 제1 가스 인입구(1770)의 구멍 크기를 증가 또는 감소시킴으로써, 및/또는 제1 가스 배출 통기 스크류(1780)의 가스 배출구(1785)의 크기를 증가 또는 감소시킴으로써 마찬가지로 압력차를 각각 변경시킬 수 있다.
또한, 가스 배출 통기 스크류는 제1 반응 가스와 제2 반응 가스 모두의 분배용으로 도 28에 채용될 수 있다. 특히, 제2 가스 배출 통기 스크류(1970)들은, 전 술한 제1 가스 배출 통기 스크류(1780)이 제1 가스 배출구(1785)용으로 제공된 것과 같이 제2 가스 배출구(1975)를 위하여 제공된다. 통기 스크류의 물림 깊이를 포함하여 통기 스크류의 구조를 변경시킴으로써, 통기 스크류의 헤드가 하부판의 표면을 얼마나 멀리 초과하는지, 또는 각각의 통기 스크류들의 중심에 위치되는 가스 인입구와 배출구들의 직경과, 통기 스크류의 가스 배출 오리피스 크기 및 치수등은 가스 인젝터의 다른 구성 요소들을 대체할 필요없이 반응기와 인젝터의 구조에 기초하여 주문될 수 있는 잇점이 있다.
도 29는 동축의 반응 가스 인입구와 통기 스크류를 채용하는 본 발명의 가스 분배 인젝터의 한 실시예의 내부의 가스 분배 표면의 단면도이다. 동축의 가스 배출 통기 스크류(2000)가 하부판(1730)과, 중간판(1720)의 동축의 반응 가스 통로(2005)에 연결된다. 동축의 반응 가스 통로(2005)는 제1 가스용 외측 통로(2010)와 제2 가스용 내측 통로(2020)를 포함하며, 상기 내측과 외측의 통로들은 내측의 반경방향의 벽(2030)에 의해 분리된다. 전술한 바와같이, 중간판(1720)은 캐리어 가스 다기관(1830)을 포함하며, 상기 캐리어 가스 다기관은 캐리어 가스 통로(1980)로부터 캐리어 가스를 받고, 하부판(1730)의 다공성 스크린(1735)을 통하여 가스 분배 인젝터에서 가스를 분배한다. 중간판(1720)의 냉각수 채널(1990)의 단면이 도시되어 있다.
도 30은 비동축성의 이중 루멘 반응성 가스 인입구와 통기 스크류 및 보충 반응 가스 인입구를 채용한, 본 발명의 가스 분배 인젝터의 한 실시예의 내측 가스 분배면의 단면도이다. 이중 루멘 가스 배출용 통기 스크류(2040)가 하부판(1730) 과, 중간판(1720)의 이중 루멘 반응 가스 통로(2045)에 연결된다. 상기 이중 루멘 반응 가스 통로(2045)는 제1 가스용 좌측 통로(2050)와 제2 가스용 우측 통로(2060)를 포함하며, 상기 우측과 좌측 통로들은 중앙의 벽(2070)에 의해 분리된다. 도면에 명백히 도시되었듯이 보충 반응 가스 배출구(2090)는, 동축의 이중 루멘 또는 통기 스크류 구성, 도 21A-G에 도시된 것을 포함하여 본 명세서에서 설명된 여러 인입구와 배출구 구성을 사용하지 않는 보충 반응 가스 통로(2080)에 연결되고, 여러가지의 통기 스크류, 인입구 직경들 및 배출구 형상들이 가스 분배 인젝터에 결합될 수 있있어서 가스 분배 구조의 많은 다양성을 허용한다. 캐리어 가스 스크린(1735)을 대신하여, 예를들어 제1 및 제2 동축 인입구가 제1 및 제2 전구체 가스를 분배하도록 제공될 수 있으며, 상기 제1 및 제2 전구체 가스들은 각각의 동축의 인입구의 내측의 동축 채널을 통하여 분배되며, 캐리어 가스는 각각의 동축 인입구의 외측의 동축 채널을 통해 분배된다.
도 31은 본 발명의 가스 분배 인젝터의 한 실시예에 사용될 통기 스크류의 사시도이다. 단일 통로의 통기 스크류(1780)는 가스 분배 인젝터의 판들중 하나의 통기 스크류(1780)를 고정하도록 나사부(1788)를 포함한다. 중앙의 가스 배출구(1785)는 통기 스크류(1780)의 바디를 통해 연장되어서, 통기 스크류(1780)가 가스 분배 장치의 판의 가스 배출구 단부에 고정되면 스크류를 통하여 가스가 완전히 배기될 수 있게 된다. 도 32는 반응 가스의 동축 분배 방식을 채용한 본 발명의 가스 분배 인젝터의 한 실시예에 사용되는 동축의 통기 스크류의 사시도이다. 상기 통기 스크류는 통기 스크류 길이의 부분적으로, 또는 전체로 연장된 중앙의 반경방 향의 벽(2030)을 포함하며, 상기 통기 스크류에서 아암들이 내측 벽을 통기 스크류 바디의 나머지 부분에 연결한다. 중앙의 반경방향의 벽(2030)은 내측의 가스 배출구(2020)로부터 외측의 가스 배출구(2010)를 분리하며, 통기 스크류가 예를들어 나사부(2040)를 통해 고정되는 판의 동축 가스 통로에 바람직하게 연결된다.
본 발명은 전술한 목적과 잇점들을 달성하도록 구성되어 있음이 명백하다. 발명의 설명을 위하여 상기한 여러 바람직한 실시예들을 기술하였지만, 이들 실시예들은 단지 본 발명의 원리와 적용의 예일 뿐이며, 이들에 대한 여러 변경 및 변형이 본 발명의 범위를 벗어나지 않고 이루어질 수 있을 것이다. 예를들어, 증착장치는 어떠한 형상의 것일 수도 있고, 임의의 형상의 임의 수의 구역들로 분할될 수도 있다. 부가적으로, 전구체 농도외의 다른 변화들은 구역마다 제어될 수 있다. 예를들어, 전구체 압력 또는 국소 플라즈마 증가등은 구역마다 제어될 수 있다. 많은 다른 변경들은 본 기술 분야의 숙련자들에 의해 용이하게 제안될 수 있으며, 이러한 제안들은 본 명세서에서 개시되고 첨부된 청구범위에 의해 한정된 발명의 기술적 사상 및 범위내에 포함된다.
본 발명은 회전 디스크형 반응기와 같은 화학적 기상 증착 반응기에 산업상 이용 가능성을 가지지만, 예를 들어 에칭과 같은 세정 장치와, 다른 산업의 화학적 기상 증착에도 적용될 수 있다.

Claims (50)

  1. 화학적 기상 증착 방법으로서,
    (a) 가스 분배 인젝터에서 복수의 이격된 전구체 인입구를 통하여 반응실로 하나 이상의 전구체 가스를 복수의 흐름들로서 배출하여 그 흐름들이 반응실에 배치된 하나 이상의 기판을 향하여 인젝터로부터 하방으로의 속도 성분을 갖게 하는 단계로서, 상기 하나 이상의 전구체 가스는 하나 이상의 기판에 CAS 방식의 ⅡB-ⅥA족 반도체화합물, ⅢA-ⅤA족 반도체화합물 및 ⅣA-ⅣA족 반도체화합물로 이루어지는 군에서 선택된 반응 증착물을 형성하도록 반응하는 것인 배출 단계와;
    (b) 상기 배출 단계와 동시에, 인젝터로부터 반응실로 하나 이상의 전구체 가스들과 반응하지 않는 하나 이상의 캐리어 가스를 배출하는 단계를 포함하고,
    상기 캐리어 가스는 상기 모든 전구체 가스와 별개로 다공성 구조로부터 배출되며, 상기 다공성 구조는 상기 전구체 인입구와 별개인 구멍에 의해 형성되고, 상기 구멍은 전구체 인입구보다 작으며 전구체 인입구보다 서로 가깝게 이격되어 있고, 상기 다공성 구조는 전구체 인입구들 중 인접한 인입구들 사이에서 연장됨으로써 캐리어 가스가 인접한 전구체 인입구들 사이로 배출되는 것인 화학적 기상 증착 방법.
  2. 삭제
  3. 삭제
  4. 제1항에 있어서, 하방으로 연장된 축을 중심으로 상기 반응실 내의 하나 이상의 기판들을 회전시키는 단계를 더 포함하는 것인 화학적 기상 증착 방법.
  5. 제4항에 있어서, 상기 축으로부터의 반경 거리에 따라 상기 캐리어 가스와 전구체 가스 중 하나 이상의 가스들의 단위 면적당 유량을 변화시키는 단계를 더 포함하는 것인 화학적 기상 증착 방법.
  6. 제1항에 있어서, 상기 하나 이상의 전구체 가스를 배출하는 단계는 제1 전구체 가스를 배출하고, 제1 전구체 가스와 반응하는 제2 전구체 가스를 배출하는 단계를 포함하는 것인 화학적 기상 증착 방법.
  7. 제6항에 있어서, 상기 제1 및 제2 전구체 가스들을 배출하는 단계는 서로 이격된 복수의 제1 전구체 인입구들을 통하여 제1 전구체 가스를 배출하고, 제1 전구체 인입구들과 분산된 복수의 제2 전구체 인입구들을 통해 제2 전구체 가스를 배출하는 단계를 포함하며, 상기 캐리어 가스 배출 단계는 각각의 제1 및 제2 전구체 인입구와 인접한 모든 제1 및 제2 전구체 인입구 사이에서 캐리어 가스를 배출하는 단계를 포함하는 것인 화학적 기상 증착 방법.
  8. 제6항에 있어서, 상기 제1 및 제2 전구체 가스들을 배출하는 단계는 제1 전구체 가스 및 제2 전구체 가스를 복수의 동심원적 흐름으로서 배출하는 단계를 포함하고, 그러한 각각의 동심원적 흐름은 전구체 인입구들 중 별개의 전구체 인입구를 통해 배출되며, 그러한 각각의 동심원적 흐름은 제1 전구체 가스의 흐름을 적어도 부분적으로 둘러싸는 제2 전구체 가스의 흐름을 포함하는 것인 화학적 기상 증착 방법.
  9. 삭제
  10. 삭제
  11. 제6항에 있어서, 상기 제1 전구체 가스를 배출하는 단계와 제2 전구체 가스를 배출하는 단계는 적어도 부분적으로 서로 비동시적으로 발생하는 것인 화학적 기상 증착 방법.
  12. 제6항에 있어서, 상기 하방으로 연장된 축을 중심으로 반응실내의 하나 이상의 기판들을 회전시키는 단계를 더 포함하며, 상기 제1 전구체 가스를 배출하는 단계와 제2 전구체 가스를 배출하는 단계는 제1 및 제2 전구체 가스 중 하나 이상이 상기 축으로부터의 반경방향 거리에 따라 변화되는 단위 면적당 유량을 갖도록 수행되는 것인 화학적 기상 증착 방법.
  13. 제1항에 있어서, 상기 인입구들중 적어도 일부의 개별 인입구들과 관련된 개별 유량 제한 장치에 의해 상기 흐름의 적어도 일부의 유량을 개별적으로 제어하는 단계를 더 포함하는 것인 화학적 기상 증착 방법.
  14. 화학적 기상 증착 반응기용 가스 분배 인젝터로서,
    수평 방향의 연장부를 갖고 하방을 향하는 내측면을 한정하는 구조와, 수평으로 이격된 전구체 인입구 위치에서 상기 내측면을 향해 개방된 복수의 전구체 인입구, 하나 이상의 전구체 가스 연결부, 상기 전구체 가스 연결부를 전구체 인입구들과 연결하는 하나 이상의 전구체 다기관을 포함하고, 상기 내측면 한정 구조는 상기 전구체 인입구와 별개인 구멍에 의해 형성되는 다공성 요소를 포함하고, 상기 구멍은 전구체 인입구보다 작으며 전구체 인입구보다 서로 가깝게 이격되어 있고, 상기 다공성 요소는 제1 표면 및 제2 표면을 가지며, 상기 다공성 요소의 제2 표면은 각각의 전구체 인입구와 인접한 모든 전구체 인입구 사이에서 연장되는 내측면의 적어도 일부를 형성하고, 상기 내측면 한정 구조는 캐리어 가스 다기관과 연통하는 하나 이상의 캐리어 가스 연결부 및 다공성 요소의 제1 표면에 의해 적어도 부분적으로 경계가 정해지는 캐리어 가스 다기관을 형성하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  15. 제14항에 있어서, 상기 복수의 전구체 인입구들은 제1 전구체 인입구 위치들에서 내측면으로 개방된 제1 전구체 인입구들과 제2 인입구 위치들에서 내측면으로 개방된 제2 전구체 인입구들을 포함하며, 상기 하나 이상의 전구체 가스 연결부들은 하나 이상의 제1 전구체 가스 연결부들과 하나 이상의 제2 전구체 가스 연결부들을 포함하며, 상기 하나 이상의 전구체 다기관들은 하나 이상의 제1 전구체 가스 연결부들을 제1 전구체 인입구들과 연결하는 하나 이상의 제1 전구체 다기관과, 제2 전구체 가스 연결부들을 상기 제2 전구체 인입구들과 연결하는 하나 이상의 제2 전구체 다기관을 포함하며, 상기 제1 및 제2 전구체 인입구 위치들의 적어도 일부는 내측면의 수평 연장부의 적어도 일부에 걸쳐 서로 분산되어 있고, 상기 다공성 요소는 각각의 제1 및 제2 전구체 인입구와 인접한 모든 제1 및 제2 전구체 인입구 사이에서 연장되는 것인 화학적 기상 증착 반응기용 가스 분배 인젝터.
  16. 제14항에 있어서, 상기 내측면 한정 구조는 하나 이상의 냉각수 통로를 더 형성하고, 상기 냉각수 통로는 냉각수 통로용의 S형 경로를 형성하는 냉각수 통로 벽에 의해 경계가 정해지고, 상기 냉각수 통로는 전구체 인입구들 또는 캐리어 가스 다기관과 유체 연통되지 않도록 되며, 상기 전구체 인입구들은 냉각수 통로 벽들을 관통하여 연장되고, 상기 냉각수 통로는 냉각수 인입구와 배출구에 냉각수가 통하도록 연결되는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  17. 제16항에 있어서, 상기 캐리어 가스 다기관은 다공성 요소와 하나 이상의 냉각수 통로들 사이에 배치되는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  18. 제16항에 있어서, 상기 하나 이상의 냉각수 통로는 상기 캐리어 가스 다기관과 상기 하나 이상의 전구체 가스 다기관 사이에 배치되는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  19. 제15항에 있어서, 상기 제1 전구체 인입구들은 내측면에 복수의 동심원적 구역들에 배치되고, 상기 하나 이상의 제1 전구체 가스 연결부는 복수의 제1 전구체 가스 연결부들을 포함하며, 상기 하나 이상의 제1 전구체 다기관은 상기 구역들중 하나에서 제1 전구체 인입구들에 각각 연결되는 복수의 제1 전구체 다기관을 포함하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  20. 제19항에 있어서, 상기 제1 전구체 다기관은 서로 동심원적인 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  21. 제19항에 있어서, 상기 제2 전구체 인입구들은 복수의 구역들에 배치되고, 상기 하나 이상의 제2 전구체 가스 연결부는 복수의 제2 전구체 가스 연결부들을 포함하며, 상기 하나 이상의 제2 전구체 다기관들은 상기 구역들중 하나에서 제2 전구체 인입구들에 각각 연결되는 복수의 제2 전구체 다기관을 포함하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  22. 제14항에 있어서, 상기 전구체 가스 연결부들은 하나 이상의 전구체 다기관들에 각각의 전구체 인입구를 연결하는 개별적인 도관을 형성하고, 상기 도관중 적어도 일부와 관련된 개별적인 흐름 제한 요소를 포함하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  23. 제15항에 있어서, 상기 전구체 가스 연결부는 하나 이상의 전구체 다기관들에 각각의 전구체 인입구를 연결하는 개별적인 도관을 형성하며, 상기 도관중 적어도 일부와 관련된 개별적인 흐름 제한 요소를 포함하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  24. 제22항에 있어서, 상기 개별적인 흐름 제한 요소는 오리피스와 다공체로 이루어진 군에서 선택되는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 화학적 기상 증착 반응기용의 가스 분배장치로서,
    제14항에 따른 가스 분배 인젝터와,
    CAS 방식의 ⅡB-ⅥA족 반도체화합물, ⅢA-ⅤA족 반도체화합물 및 ⅣA-ⅣA족 반도체화합물로 이루어지는 군에서 선택된 반응 증착물을 형성하도록 반응하는 하나 이상의 전구체 가스를 공급하도록 전구체 가스 연결부에 연결되는 하나 이상의 전구체 가스 공급원과,
    하나 이상의 전구체로부터 형성되는 증착물이 내측면에 증착하는 것을 캐리어 가스가 저지하도록 하나 이상의 전구체 가스와 반응하지 않는 하나 이상의 캐리어 가스를 다공성 요소의 구멍에 공급하도록 캐리어 가스 연결부에 연결되는 하나 이상의 캐리어 가스 공급원
    을 포함하는 화학적 기상 증착 반응기용의 가스 분배장치.
  35. 삭제
  36. 삭제
  37. 내부 공간이 형성된 반응실과, 내측면이 내부 공간을 향하고 상기 인입구들의 구멍이 내부 공간과 연통되게 상기 반응실에 연결된 제14항의 인젝터를 포함하는 반응기.
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 제14항에 있어서, 상기 전구체 인입구들중 적어도 일부는 이중-포트 인입구들이며, 각각의 이중-포트 인입구는 나란히 연장된 제1 주입 채널과 제2 주입채널 및 상기 제1 주입 채널과 제2 주입 채널을 서로 분리시키는 공통 벽을 포함하며, 상기 하나 이상의 전구체 가스 연결부는 상기 제1 주입 채널에 연결되는 제1 전구체 가스 연결부와 상기 제2 주입 채널에 연결되는 제2 전구체 가스 연결부를 포함하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  45. 제14항에 있어서, 상기 전구체 인입구들중 적어도 일부는 동심원적 인입구들이며, 그러한 각각의 동심원적 인입구는 제1 주입 채널과 이 제1 주입 채널을 둘러싸는 제2 주입 채널을 포함하며, 상기 하나 이상의 전구체 가스 연결부는 상기 제1 주입 채널에 연결되는 제1 전구체 가스 연결부와 상기 제2 주입 채널에 연결되는 제2 전구체 가스 연결부를 포함하는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  46. 화학적 기상 증착 반응기로서,
    제34항의 가스 분배 장치와, 반응실과, 인젝터로부터 하류측의 반응실에 장착되는 기판 캐리어를 포함하고, 상기 기판 캐리어는 하방으로 연장되는 축을 중심으로 회전될 수 있는 것인 화학적 기상 증착 반응기.
  47. 제1항에 있어서, 상기 인젝터의 하나 이상의 냉각수 통로를 통해 냉각수를 통과시키는 단계를 더 포함하고,
    상기 냉각수 통로는 냉각수 통로용의 S형 경로를 형성하는 냉각수 통로 벽에 의해 경계가 정해지고, 상기 냉각수 통로는 전구체 인입구들 또는 다공성 구조와 유체 연통되지 않도록 되며, 상기 전구체 인입구들은 냉각수 통로 벽들을 관통하여 연장되고, 상기 냉각수 통로는 냉각수 인입구와 냉각수 배출구에 냉각수가 통하도록 연결되며,
    상기 냉각수 통로는 상기 가스 분배 인젝터를 포함하는 다른 판들과 함께 적층된 냉각판 내에서 획정되고, 상기 냉각판은 냉각수 통로 벽이 안에서 돌출된 오목한 판과 상기 오목한 판의 개방된 면에 고정되어 상기 오목한 판의 개방된 단부를 밀봉하는 커버부재로부터 만들어지는 것인 화학적 기상 증착 방법.
  48. 제7항에 있어서, 상기 인젝터의 하나 이상의 냉각수 통로를 통해 냉각수를 통과시키는 단계를 더 포함하고,
    상기 냉각수 통로는 냉각수 통로용의 S형 경로를 형성하는 냉각수 통로 벽에 의해 경계가 정해지고, 상기 냉각수 통로는 전구체 인입구들 또는 다공성 구조와 유체 연통되지 않도록 되며, 상기 전구체 인입구들은 냉각수 통로 벽들을 관통하여 연장됨으로써 상기 냉각수 통로 벽 중 적어도 하나는 냉각수 통로 벽을 통해 연장하는 적어도 하나의 제1 전구체 인입구와 적어도 하나의 제2 전구체 인입구를 포함하고 상기 냉각수 통로는 냉각수 인입구와 냉각수 배출구에 냉각수가 통하도록 연결되는 것인 화학적 기상 증착 방법.
  49. 제16항에 있어서, 상기 냉각수 통로는 상기 가스 분배 인젝터를 포함하는 다른 판들과 함께 적층된 냉각판 내에서 획정되고, 상기 냉각판은 냉각수 통로 벽이 안에서 돌출된 오목한 판과 상기 오목한 판의 개방된 면에 고정되어 상기 오목한 판의 개방된 단부를 밀봉하는 커버부재로부터 만들어지는 것인 화학적 기상 증착 반응기용의 가스 분배 인젝터.
  50. 화학적 기상 증착 반응기용의 가스 분배장치로서,
    제15항에 따른 가스 분배 인젝터;
    하나 이상의 제1 전구체 가스를 공급하도록 제1 전구체 가스 연결부에 연결되는 하나 이상의 제1 전구체 가스 공급원과, 하나 이상의 제2 전구체 가스를 공급하도록 제2 전구체 가스 연결부에 연결되는 하나 이상의 제2 전구체 가스 공급원; 및
    상기 하나 이상의 제1 및 제2 전구체 가스로부터 형성되는 증착물이 내측면에 증착하는 것을 캐리어 가스가 저지하도록 하나 이상의 제1 및 제2 전구체 가스와 반응하지 않는 하나 이상의 캐리어 가스를 다공성 요소의 구멍에 공급하도록 캐리어 가스 연결부에 연결되는 하나 이상의 캐리어 가스 공급원; 을 포함하고,
    상기 가스 분배 인젝터가 하나 이상의 냉각수 통로를 포함하며,
    상기 냉각수 통로는 냉각수 통로용의 S형 경로를 형성하는 냉각수 통로 벽에 의해 경계가 정해지고, 상기 냉각수 통로는 전구체 인입구들 또는 다공성 요소와 유체 연통되지 않도록 되며, 상기 전구체 인입구들은 냉각수 통로 벽들을 관통하여 연장됨으로써 상기 냉각수 통로 벽 중 적어도 하나는 냉각수 통로 벽을 통해 연장하는 적어도 하나의 제1 전구체 인입구와 적어도 하나의 제2 전구체 인입구를 포함하고 상기 냉각수 통로는 냉각수 인입구와 냉각수 배출구에 냉각수가 통하도록 연결되는 것인 화학적 기상 증착 반응기용의 가스 분배장치.
KR1020077005170A 2004-08-02 2005-07-29 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터 KR101309334B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US59817204P 2004-08-02 2004-08-02
US60/598,172 2004-08-02
PCT/US2005/026891 WO2006020424A2 (en) 2004-08-02 2005-07-29 Multi-gas distribution injector for chemical vapor deposition reactors

Publications (2)

Publication Number Publication Date
KR20070048233A KR20070048233A (ko) 2007-05-08
KR101309334B1 true KR101309334B1 (ko) 2013-09-16

Family

ID=35908034

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077005170A KR101309334B1 (ko) 2004-08-02 2005-07-29 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터

Country Status (6)

Country Link
US (2) US20060021574A1 (ko)
JP (1) JP5519105B2 (ko)
KR (1) KR101309334B1 (ko)
CN (2) CN102154628B (ko)
TW (1) TWI319783B (ko)
WO (1) WO2006020424A2 (ko)

Families Citing this family (271)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4714021B2 (ja) 2003-08-20 2011-06-29 ビーコ・インストゥルメンツ・インコーポレイテッド 基板の表面に均一なエピタキシャル層を成長させる方法および回転ディスク式反応器
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
ITMI20050962A1 (it) * 2005-05-25 2006-11-26 Lpe Spa Dispositivo per introurre gas di reazione in una camera di reazione e reattore epitassiale che lo utilizza
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
CN101137266B (zh) * 2006-08-28 2012-04-11 北京北方微电子基地设备工艺研究中心有限责任公司 气体注射装置
JP2008066413A (ja) * 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
WO2008041991A1 (en) * 2006-10-06 2008-04-10 Veeco Instruments Inc. Density-matching alkyl push flow for vertical flow rotating disk reactors
TWI410518B (zh) * 2006-10-24 2013-10-01 Applied Materials Inc 用於原子層沉積的渦流室蓋
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
KR101464227B1 (ko) * 2007-01-12 2014-11-21 비코 인스트루먼츠 인코포레이티드 가스 처리 시스템
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US8092599B2 (en) 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
KR100920417B1 (ko) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
JP2011500961A (ja) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
JP5587205B2 (ja) 2007-12-20 2014-09-10 ソイテック エピタキシャル成長基板に前駆体ガスを送出するための装置
KR101444873B1 (ko) * 2007-12-26 2014-09-26 주성엔지니어링(주) 기판처리장치
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
KR100994920B1 (ko) * 2008-06-05 2010-11-17 주식회사 소로나 기상 자기조립 단분자막 코팅장치
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
JP2010027868A (ja) * 2008-07-18 2010-02-04 Toshiba Corp 気相成長装置及び気相成長方法
CN102099505A (zh) * 2008-07-30 2011-06-15 京瓷株式会社 沉积膜形成装置及沉积膜形成方法
CN100568453C (zh) * 2008-08-22 2009-12-09 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、气体分配装置以及气体输送方法
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US9121096B2 (en) * 2008-10-10 2015-09-01 Alta Devices, Inc. Concentric showerhead for vapor deposition
US20100104754A1 (en) * 2008-10-24 2010-04-29 Applied Materials, Inc. Multiple gas feed apparatus and method
US8895107B2 (en) 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
CN103352206B (zh) * 2008-12-04 2015-09-16 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
TW201043724A (en) * 2009-03-16 2010-12-16 Alta Devices Inc Heating lamp system and methods thereof
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
DE102009043840A1 (de) * 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
CN102471886A (zh) * 2009-08-28 2012-05-23 京瓷株式会社 沉积膜形成装置及沉积膜形成方法
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101313262B1 (ko) 2010-07-12 2013-09-30 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 반도체 에피 박막의 제조 방법
US9303319B2 (en) * 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
MY173889A (en) 2010-12-23 2020-02-26 Element Six Ltd Controlling doping of synthetic diamond material
GB201021870D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
TWI534291B (zh) * 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US20120272892A1 (en) * 2011-04-07 2012-11-01 Veeco Instruments Inc. Metal-Organic Vapor Phase Epitaxy System and Process
DK2524974T3 (da) * 2011-05-18 2014-08-11 Riber Injektor til et vakuuminddampningssystem
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
TWI512139B (zh) * 2011-09-16 2015-12-11 Kern Energy Entpr Co Ltd 薄膜製程設備及其製作流程
CN103014667B (zh) * 2011-09-23 2015-07-01 理想能源设备(上海)有限公司 化学气相沉积装置
CN103031534B (zh) * 2011-09-28 2015-05-13 核心能源实业有限公司 薄膜工艺设备及其制作方法
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
TW201335418A (zh) * 2012-02-17 2013-09-01 Tokyo Electron Ltd Mocvd反應器用淋灑頭、mocvd反應器、mocvd裝置、以及潔淨方法
CN102586739A (zh) * 2012-03-14 2012-07-18 无锡康力电子有限公司 真空镀膜用布气系统
TWI498273B (zh) * 2012-04-02 2015-09-01 Nat Applied Res Laboratories 微型篩網裝置及其製造方法
JP2013229493A (ja) * 2012-04-26 2013-11-07 Sharp Corp Iii族窒化物半導体積層基板およびiii族窒化物半導体電界効果トランジスタ
US20130295283A1 (en) * 2012-05-07 2013-11-07 Pinecone Material Inc. Chemical vapor deposition apparatus with multiple inlets for controlling film thickness and uniformity
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140061039A1 (en) * 2012-09-05 2014-03-06 Applied Materials, Inc. Target cooling for physical vapor deposition (pvd) processing systems
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10174422B2 (en) * 2012-10-25 2019-01-08 Applied Materials, Inc. Apparatus for selective gas injection and extraction
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
JP6134522B2 (ja) * 2013-01-30 2017-05-24 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6115244B2 (ja) 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
JP6065762B2 (ja) 2013-06-21 2017-01-25 株式会社デンソー 炭化珪素半導体成膜装置およびそれを用いた成膜方法
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6058515B2 (ja) * 2013-10-04 2017-01-11 漢民科技股▲分▼有限公司 気相成膜装置
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
CN103911657A (zh) * 2013-11-25 2014-07-09 东莞市中镓半导体科技有限公司 一种化合物半导体大面积气相外延用喷口分布方式
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN104752275B (zh) * 2013-12-29 2018-01-09 北京北方华创微电子装备有限公司 工艺腔室以及半导体加工设备
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
JP6237264B2 (ja) 2014-01-24 2017-11-29 東京エレクトロン株式会社 縦型熱処理装置、熱処理方法及び記憶媒体
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
TWI545224B (zh) * 2014-02-26 2016-08-11 國立中央大學 用於有機金屬化學氣相沉積設備之進氣系統
US9284644B2 (en) * 2014-02-27 2016-03-15 Lam Research Corporation Apparatus and method for improving wafer uniformity
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
CN105940142A (zh) * 2014-03-15 2016-09-14 威科Ald有限公司 通过将清洁气体注射到沉积装置中来清洁沉积装置
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
DE102014106523A1 (de) * 2014-05-09 2015-11-12 Aixtron Se Vorrichtung und Verfahren zum Versorgen einer CVD- oder PVD-Beschichtungseinrichtung mit einem Prozessgasgemisch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9840777B2 (en) * 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
FR3029939A1 (fr) * 2014-12-16 2016-06-17 Saint-Gobain Lumilog Reacteur de depot chimique en phase vapeur
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
TW201623681A (zh) * 2014-12-26 2016-07-01 Advanced Micro Fab Equip Inc 氣體噴淋頭及沉積裝置
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6811732B2 (ja) * 2015-06-17 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ中のガス制御
TWI723997B (zh) * 2015-06-19 2021-04-11 美商應用材料股份有限公司 用於批次處理之注射器及使用方法
JP5961733B1 (ja) * 2015-07-30 2016-08-02 雅彰 高野 脈動流体または断続流体の生成装置
US9748113B2 (en) 2015-07-30 2017-08-29 Veeco Intruments Inc. Method and apparatus for controlled dopant incorporation and activation in a chemical vapor deposition system
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10266947B2 (en) 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP2018093150A (ja) * 2016-12-07 2018-06-14 東京エレクトロン株式会社 成膜装置及び成膜方法
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
CN107195526A (zh) * 2017-06-08 2017-09-22 上海华力微电子有限公司 一种减少机台的部件之间摩擦的方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
DE102017124456A1 (de) 2017-10-19 2019-04-25 Heraeus Noblelight Gmbh Beheizbarer Gasinjektor
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
KR20200087267A (ko) 2017-12-08 2020-07-20 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
KR20200091491A (ko) * 2017-12-20 2020-07-30 램 리써치 코포레이션 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102329735B1 (ko) 2018-08-24 2021-11-22 주식회사 엘지화학 코팅기
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
TWI689618B (zh) * 2018-10-04 2020-04-01 漢民科技股份有限公司 應用於半導體設備之氣體噴射裝置
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111101117B (zh) * 2018-10-29 2022-07-22 北京北方华创微电子装备有限公司 匀气装置和半导体处理设备
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
JP7175169B2 (ja) * 2018-11-30 2022-11-18 昭和電工株式会社 SiCエピタキシャル成長装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
DE102019119019A1 (de) * 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
US11564292B2 (en) * 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
EP4067532A4 (en) * 2019-11-27 2023-08-16 Sino Nitride Semiconductor Co, Ltd GAN MATERIAL GROWTH LINEAR SPRAY HEAD
US11225716B2 (en) * 2019-11-27 2022-01-18 Tokyo Electron Limited Internally cooled multi-hole injectors for delivery of process chemicals
US11486039B2 (en) 2020-05-18 2022-11-01 Ohio State Innovation Foundation Laser-assisted metal-organic chemical vapor deposition devices and methods of use thereof
KR20230049716A (ko) * 2020-08-18 2023-04-13 매슨 테크놀로지 인크 냉각 시스템을 갖는 급속 열 처리 시스템
DE102020123076A1 (de) 2020-09-03 2022-03-03 Aixtron Se Gaseinlassorgan eines CVD-Reaktors mit zwei Einspeisestellen
CN112813417A (zh) * 2020-12-28 2021-05-18 厦门理工学院 一种沉积装置及系统
US11846024B2 (en) 2021-03-15 2023-12-19 Ohio State Innovation Foundation Laser-assisted metal-organic chemical vapor deposition devices and methods of use thereof for suppressing background carbon incorporation
JP7315607B2 (ja) * 2021-03-16 2023-07-26 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
CN115404463B (zh) * 2022-10-31 2023-03-24 上海星原驰半导体有限公司 原子层沉积设备及原子层沉积喷淋装置
WO2024118472A1 (en) * 2022-11-28 2024-06-06 Veeco Instruments Inc. Multi-disc chemical vapor deposition system with cross flow gas injection

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0891989A (ja) * 1994-06-14 1996-04-09 Thomas Swan & Co Ltd 化学蒸着に関する改良
US6428850B1 (en) 1998-05-13 2002-08-06 Tokyo Electron Limited Single-substrate-processing CVD method of forming film containing metal element
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US20040050328A1 (en) * 2002-09-17 2004-03-18 Akira Kumagai Film-forming system and film-forming method

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3297501A (en) * 1963-12-31 1967-01-10 Ibm Process for epitaxial growth of semiconductor single crystals
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US3888705A (en) * 1973-12-19 1975-06-10 Nasa Vapor phase growth of groups iii-v compounds by hydrogen chloride transport of the elements
JPS5152208A (en) * 1974-10-30 1976-05-08 Koden Electronics Co Ltd Jushinkino toratsukinguhoho
JPS6047202B2 (ja) * 1976-01-13 1985-10-21 東北大学金属材料研究所長 超硬高純度の配向多結晶質窒化珪素
CH628600A5 (fr) * 1979-02-14 1982-03-15 Siv Soc Italiana Vetro Procede pour deposer en continu, sur la surface d'un substrat porte a haute temperature, une couche d'une matiere solide et installation pour la mise en oeuvre de ce procede.
IT1134153B (it) * 1979-11-21 1986-07-31 Siv Soc Italiana Vetro Ugello per depositare in continuo su un substrato uno strato di una materia solida
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
JPS58176196A (ja) * 1982-04-06 1983-10-15 Matsushita Electric Ind Co Ltd 化合物結晶成長装置
JPS6081093A (ja) * 1983-10-06 1985-05-09 Ulvac Corp 気相エピタキシヤル成長用化学反応装置
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US5322568A (en) * 1985-12-28 1994-06-21 Canon Kabushiki Kaisha Apparatus for forming deposited film
JPS62199019A (ja) * 1986-02-27 1987-09-02 Oki Electric Ind Co Ltd ウエハ処理装置
US4839145A (en) * 1986-08-27 1989-06-13 Massachusetts Institute Of Technology Chemical vapor deposition reactor
FR2604917B1 (fr) * 1986-10-09 1989-01-27 Aerospatiale Procede, cellule et dispositif de cristallogenese, notamment par vaisseau spatial
DE3869793D1 (de) * 1987-01-27 1992-05-14 Asahi Glass Co Ltd Gaszufuehrungsrohr fuer die reaktive abscheidung aus der gasphase.
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JPH0644986B2 (ja) * 1988-05-08 1994-06-15 忠弘 大見 プロセスガス供給配管装置
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
DE69006809T2 (de) * 1989-09-12 1994-09-15 Shinetsu Chemical Co Vorrichtung für die Verdampfung und Bereitstellung von Organometallverbindungen.
US4983358A (en) * 1989-09-13 1991-01-08 Sverdrup Technology, Inc. Niobium-aluminum base alloys having improved, high temperature oxidation resistance
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US5094974A (en) * 1990-02-28 1992-03-10 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Growth of III-V films by control of MBE growth front stoichiometry
US4985111A (en) * 1990-03-02 1991-01-15 Chemcut Corporation Process and apparatus for intermittent fluid application
JP2626925B2 (ja) * 1990-05-23 1997-07-02 三菱電機株式会社 基板処理装置および基板処理方法
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
EP0502209B1 (en) * 1990-09-21 1997-05-14 Fujitsu Limited Method and apparatus for growing compound semiconductor crystals
JPH04287912A (ja) * 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JPH0766919B2 (ja) * 1991-02-20 1995-07-19 株式会社半導体プロセス研究所 半導体製造装置
JPH05144753A (ja) * 1991-11-21 1993-06-11 Nissin Electric Co Ltd 薄膜気相成長装置
US5336324A (en) * 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
JPH069297A (ja) * 1991-12-09 1994-01-18 Sumitomo Electric Ind Ltd 成膜装置
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
TW289839B (ko) * 1993-02-09 1996-11-01 Gen Instrument Corp
US5578129A (en) * 1993-03-17 1996-11-26 Tokyo Electron Limited Gas supplying head and load lock chamber of semiconductor processing system
JPH07142394A (ja) * 1993-11-12 1995-06-02 Sony Corp Cvd方法及びcvd装置
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US6409828B1 (en) * 1994-10-31 2002-06-25 Texas Instruments Incorporated Method and apparatus for achieving a desired thickness profile in a flow-flange reactor
US5516722A (en) * 1994-10-31 1996-05-14 Texas Instruments Inc. Method for increasing doping uniformity in a flow flange reactor
JPH08255795A (ja) * 1995-03-15 1996-10-01 Sony Corp 半導体製造方法および装置
JP3534940B2 (ja) * 1995-04-20 2004-06-07 株式会社荏原製作所 薄膜気相成長装置
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5683517A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5653807A (en) * 1996-03-28 1997-08-05 The United States Of America As Represented By The Secretary Of The Air Force Low temperature vapor phase epitaxial system for depositing thin layers of silicon-germanium alloy
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3360539B2 (ja) * 1996-07-12 2002-12-24 信越半導体株式会社 ガス供給装置及び気相成長用設備
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
KR100242982B1 (ko) * 1996-10-17 2000-02-01 김영환 반도체 장비의 가스 공급 장치
US6039812A (en) * 1996-10-21 2000-03-21 Abb Research Ltd. Device for epitaxially growing objects and method for such a growth
US5992463A (en) * 1996-10-30 1999-11-30 Unit Instruments, Inc. Gas panel
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US6280793B1 (en) * 1996-11-20 2001-08-28 Micron Technology, Inc. Electrostatic method and apparatus for vaporizing precursors and system for using same
US6136186A (en) * 1997-01-31 2000-10-24 Lynntech, Inc. Photocatalytic oxidation of organics using a porous titanium dioxide membrane and an efficient oxidant
JPH10306377A (ja) * 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
GB9724168D0 (en) * 1997-11-14 1998-01-14 Air Prod & Chem Gas control device and method of supplying gas
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
KR100282853B1 (ko) * 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6120606A (en) * 1998-06-26 2000-09-19 Acer Semiconductor Manufacturing Inc. Gas vent system for a vacuum chamber
US6080241A (en) * 1998-09-02 2000-06-27 Emcore Corporation Chemical vapor deposition chamber having an adjustable flow flange
KR100273474B1 (ko) * 1998-09-14 2000-12-15 이경수 화학기상 증착장치의 가스 공급장치와 그 제어방법
US6261374B1 (en) * 1998-09-29 2001-07-17 Applied Materials, Inc. Clog resistant gas delivery system
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
NL1011017C2 (nl) * 1999-01-13 2000-07-31 Asm Int Inrichting voor het positioneren van een wafer.
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US20010047756A1 (en) * 1999-05-17 2001-12-06 Bartholomew Lawrence Duane Gas distribution system
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP2001064777A (ja) * 1999-08-30 2001-03-13 Ebara Corp ガス噴射ヘッド
JP4327319B2 (ja) * 1999-12-24 2009-09-09 株式会社アルバック 雛壇形シャワーヘッド、及びそのシャワーヘッドを用いた真空処理装置
JP4246343B2 (ja) * 2000-01-06 2009-04-02 株式会社荏原製作所 ガス雰囲気形成装置及びガス雰囲気形成方法
JP3654142B2 (ja) * 2000-01-20 2005-06-02 住友電気工業株式会社 半導体製造装置用ガスシャワー体
US7011710B2 (en) * 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6602346B1 (en) * 2000-08-22 2003-08-05 Novellus Systems, Inc. Gas-purged vacuum valve
AU2001294588A1 (en) * 2000-09-13 2002-03-26 Applied Materials, Inc. Processing chamber with multi-layer brazed lid
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
US6598610B2 (en) * 2001-02-05 2003-07-29 Dalsa Semiconductor Inc. Method of depositing a thick dielectric film
JP2004524150A (ja) * 2001-02-28 2004-08-12 ポーター・インストゥルメント・カンパニー・インコーポレイテッド 噴霧装置
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
JP4050483B2 (ja) * 2001-05-14 2008-02-20 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP4720019B2 (ja) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
KR20030065810A (ko) * 2002-02-01 2003-08-09 필터레이 화이버 옵틱스 인코퍼레이티드 광학박막 제조 장치 및 방법
US20030159653A1 (en) * 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
US6743736B2 (en) * 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6749906B2 (en) * 2002-04-25 2004-06-15 Eastman Kodak Company Thermal physical vapor deposition apparatus with detachable vapor source(s) and method
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
JP4036292B2 (ja) * 2002-11-20 2008-01-23 古河機械金属株式会社 気相成長装置のガス吹き出し部
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0891989A (ja) * 1994-06-14 1996-04-09 Thomas Swan & Co Ltd 化学蒸着に関する改良
US6428850B1 (en) 1998-05-13 2002-08-06 Tokyo Electron Limited Single-substrate-processing CVD method of forming film containing metal element
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US20040050328A1 (en) * 2002-09-17 2004-03-18 Akira Kumagai Film-forming system and film-forming method

Also Published As

Publication number Publication date
WO2006020424A3 (en) 2007-06-28
CN102154628A (zh) 2011-08-17
US20100300359A1 (en) 2010-12-02
JP5519105B2 (ja) 2014-06-11
TWI319783B (en) 2010-01-21
KR20070048233A (ko) 2007-05-08
CN101090998A (zh) 2007-12-19
CN101090998B (zh) 2013-10-16
US20060021574A1 (en) 2006-02-02
TW200619415A (en) 2006-06-16
CN102154628B (zh) 2014-05-07
JP2008508744A (ja) 2008-03-21
WO2006020424A2 (en) 2006-02-23

Similar Documents

Publication Publication Date Title
KR101309334B1 (ko) 화학적 기상 증착 반응기용 멀티 가스 분배 인젝터
TWI390608B (zh) 氣體處理系統
US8298370B2 (en) Apparatus for chemical vapor deposition (CVD) with showerhead
US9315897B2 (en) Showerhead for film depositing vacuum equipment
US10130958B2 (en) Showerhead assembly with gas injection distribution devices
JP5859004B2 (ja) ガス注入分散デバイスを備えるシャワーヘッドアセンブリ
US20100263588A1 (en) Methods and apparatus for epitaxial growth of semiconductor materials
US8882913B2 (en) Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
EP1129234B1 (en) Dual channel gas distribution plate
TWI490366B (zh) Cvd腔室之流體控制特徵結構
US8216419B2 (en) Drilled CVD shower head
CN110904432B (zh) 一种mocvd反应器
KR100944186B1 (ko) 화학기상증착 반응기의 가스분사장치
CN108728821B (zh) Mocvd处理装置以及用于mocvd的气体供应装置
JP3168277B2 (ja) 半導体結晶成長装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170830

Year of fee payment: 5