JP2008508744A - Cvdリアクタ用マルチガス供給インジェクタ - Google Patents

Cvdリアクタ用マルチガス供給インジェクタ Download PDF

Info

Publication number
JP2008508744A
JP2008508744A JP2007524857A JP2007524857A JP2008508744A JP 2008508744 A JP2008508744 A JP 2008508744A JP 2007524857 A JP2007524857 A JP 2007524857A JP 2007524857 A JP2007524857 A JP 2007524857A JP 2008508744 A JP2008508744 A JP 2008508744A
Authority
JP
Japan
Prior art keywords
precursor
gas
inlet
injector
inlets
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007524857A
Other languages
English (en)
Other versions
JP5519105B2 (ja
JP2008508744A5 (ja
Inventor
アーマー,エリック・エイ
ガラリー,アレックス
カディンスキー,レフ
ドッペルハマー,ロバート
トンパ,ゲイリー・エス
カッツ,ミハイル
Original Assignee
ビーコ・インストゥルメンツ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ビーコ・インストゥルメンツ・インコーポレイテッド filed Critical ビーコ・インストゥルメンツ・インコーポレイテッド
Publication of JP2008508744A publication Critical patent/JP2008508744A/ja
Publication of JP2008508744A5 publication Critical patent/JP2008508744A5/ja
Application granted granted Critical
Publication of JP5519105B2 publication Critical patent/JP5519105B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

CVDリアクタ100用のガス供給インジェクタ150は、基板135に向かって下流に面する内面155上に離間した位置に配置された前駆物質ガスインレット160,165を有し、また、前駆物質ガスインレット160,165の間に配置されたキャリヤガス開口部167を有している。1つ以上の前駆物質ガス180,185が、前駆物質ガスインレット160,165を介して導入され、また、該前駆物質ガスとは実質的に反応しないキャリヤガス187が、キャリヤガス開口部167を介して導入されるようになっている。該キャリヤガスは、インジェクタ150上での堆積物生成を最小限にしている。該キャリヤガス開口部は、該面を画定する多孔質プレート230によって、又は、前駆物質インレット間に散りばめられたキャリヤインレット167を介して形成することが可能である。ガスインレットは、除去可能1780又は同軸1360であってもよい。

Description

関連出願の相互参照
本出願は、2004年8月2日に提出された米国仮特許出願第60/598,172号の出願日の特典を主張し、該明細書の開示は参照により本明細書に組み込まれるものである。
発明の分野
本発明は、化学気相成長等の反応性気相処理のためのシステムに関し、より具体的には、そのようなリアクタ用のマルチガス供給インジェクタの構造に関する。
化学気相成長(chemical vapor deposition;「CVD」)リアクタは、反応室の内部に配置されたウェーハキャリヤ上に載置されたウェーハの処理を可能にするものである。FLOWFLANGEという商標の、本出願の譲受人によって販売されているもの等のガス供給インジェクタと呼ばれるコンポーネントが、該ウェーハキャリヤに対向して取付けられている。このインジェクタは、典型的には、化学気相成長用の反応室へ、1つ以上の前駆物質ガスのある組合せを供給する複数のガスインレットを備えている。一部のガス供給インジェクタは、化学気相成長プロセス中に、層流ガスフローを生成するのに役に立つシュラウドガス又はキャリヤガスを供給し、この場合、該キャリヤガスは、典型的には、化学気相成長に関与しない。多くのガス供給インジェクタは、シャワーヘッド上に一定のパターン状に離間されたガスインレットを含むシャワーヘッドデザインを有している。
ガス供給インジェクタは、典型的には、インジェクタ面上のガスインレットからの前駆物質ガスの、上記反応室の特定のターゲット領域への方向指示を可能にし、この場合、ウェーハは、材料物質層のエピタキシャル成長等のプロセスのために処理することが可能である。理想的には、前駆物質ガスは、該前駆物質ガスが、可能な限りウェーハの近くで反応し、その結果、ウェーハ表面における反応プロセス及びエピタキシャル成長を最大限にするような方法で、ウェーハキャリヤに向けられている。
多くの有機金属化学気相成長(metal organic chemical vapor deposition;MOCVD)プロセスにおいては、例えば、前駆物質ガスと、有機金属又は金属水素化物、又は金属塩化物等の膜前駆物質から構成される気体との組合せが、上記インジェクタを介して反応室に導入される。また、水素、窒素、あるいは、アルゴン又はヘリウム等の不活性ガス等のプロセスを容易にするキャリヤガスは、インジェクタを介してリアクタに導入されてもよい。前駆物質ガスは、反応室内で混合して、該反応室内に保持されたウェーハ上に堆積物を形成するように反応し、該キャリヤガスは、典型的には、ウェーハキャリヤでの層流を維持するのに役に立っている。
このようにして、例えば、GaAs、GaN、GaAlAs、InGaAsSb、InP、ZnSe、ZnTe、HgCdTe、InAsSbP、InGaN、AlGaN、SiGe、SiC、ZnO及びInGaAlP等の半導体化合物のエピタキシャル成長を実現することができる。
しかし、多くの現存するガス供給システムは、有効な動作又は蒸着さえも妨げる可能性があるという問題を有している。例えば、現存するガス供給インジェクタシステムにおける前駆物質噴射パターンは、相当な「デッドスペース」(インジェクタ面上のガスインレットからの活性フローがない空間)を含む可能性があり、インジェクタの近くに再循環パターンを生じていた。
これらの再循環パターンは、前駆化学物質の事前反応を生じて、(本明細書において、「リバースジェッティング(reverse jetting)」と呼ぶ)反応物質の上記インジェクタインレット上での好ましくない堆積を引き起こす可能性がある。このことも、低い効率及びメモリ効果をもたらす可能性がある。
約100/in2(15.5/cm2)以上のインレット密度が、典型的には、現在のシステムで用いられている(その結果、典型的な大規模生産MOCVDシステムの場合、約10,000個のインレットを生じることになる)。インレット間の距離を大きくするこれまでの試みは、より大きなデッドゾーン及びさらなるリバースジェッティングにつながる場合がある。しかし、大量のインレットを要するシステムは、製造及び一貫性における困難を引き起こす場合がある。この大きなインレット密度は、いくつかの構造において、1つのインレットから他のインレットへの前駆物質の侵入を生じて、該前駆物質の相互作用による寄生反応生成物で当該インレットを詰まらせる可能性がある。また、インレット間が小さな距離であるインジェクタデザインは、いくつかの構造において、現在のMOCVD設備に必要な多種類のその場でのキャラクタリゼーション装置に要する光学ビューポートのための十分なスペースを許容しない可能性がある。
また、(例えば、マルチウェーハシステムの場合)上記キャリヤ及びウェーハの上での反応室内において異なる前駆物質の分解速度の違いは、均一なインレット分布等の他の解決法に常に従うとは限らない。同様に、均一な分布だけでは、上記ウェーハキャリヤにおいて存在する場合がある小さな温度不均一性に対処できない可能性がある。これらの追加的な問題は、いくつかのシステムにおいて、不均一な厚さ及びドーピングレベルの成長したエピタキシャル層を生じる可能性がある。一様でない配分をもたらす表面マイグレーション、蒸発及びガスの枯渇等の問題は、有効な蒸着をさらに妨げる可能性がある。
上記ガス供給インジェクタ及びそのインレットの構造に加えて、温度、滞留時間、及び触媒効果及び表面反応性を含むプロセス化学の他の微妙な差異を含むその他の要因もまた、MOCVDリアクタ内に配置されたウェーハ上の材料物質層の成長に影響を及ぼしている。
また、未反応の前駆物質が、一様でない蒸着の一因になる可能性もある。その結果として、副生成物及び/又は未反応の前駆物質の割合が、マルチウェーハキャリヤ上の1枚のウェーハ又は異なるウェーハの異なる領域よりも小さく又は大きくなる可能性があり、蒸着は、均一な材料物質の蒸着という目的に反して、それらの領域において効率が悪くなったり、よくなったりすることとなる。
反応物質の堆積により、現在入手可能なガス供給インジェクタは、クリーニングのために、回転ディスクリアクタから、頻繁に取り外さなければならない。頻繁なインジェクタのクリーニングは、効率的なリアクタ稼動を妨げる可能性があり、また、当該クリーニングプロセス中に、廃棄物の増大した処理及び処分を要する可能性がある。このことは、低下した歩留まり及び増大したコストをもたらす可能性がある。
従って、当分野における全ての努力にもかかわらず、さらなる改善が望ましいことになる。
本発明の一つの態様による化学気相成長の方法は、複数のストリームが、インジェクタから、反応室内に配置された1つ以上の基板へ向かう下流方向への速度成分を有するように、少なくとも1種類の前駆物質ガスを、ガス供給インジェクタの複数の離間した前駆物質インレットを介して複数のストリームとして反応室内に放出することであって、少なくとも1種類の前駆物質ガスが、1つ以上の基板上に反応堆積物を形成するように反応することと、同時に、少なくとも1種類の前駆物質ガスとは実質的に反応しない少なくとも1種類のキャリヤガスを、前駆物質インレットのうちの複数の隣接するインレットの間のインジェクタから反応室内に放出することを含んでいる。好ましくは、少なくとも1種類のキャリヤガスを放出するステップは、前駆物質インレットの隣接するインレット間に延在している、インジェクタ内の多孔質構造物を介してキャリヤガスを放出することを含んでもよく、又は、少なくとも1種類のキャリヤガスを放出するステップは、前駆物質インレットの隣接するインレット間に配置されたインジェクタ内の複数の離間したキャリヤインレットを介してキャリヤガスを放出することを含んでもよい。
一つの態様においては、下流方向に向いている内面を画定し、かつ水平方向の広がりを有する構造と、水平方向に離間した前駆物質インレット位置において、該内面に対して開口した複数の前駆物質インレットと、1つ以上の前駆物質ガス接続部と、該1つ以上の前駆物質ガス接続部と該前駆物質インレットとを接続する1つ以上の前駆物質マニホールドとを備え、該構造が、第1及び第2の面を有する多孔質要素を備え、該多孔質要素の第2の面が、前駆物質インレット位置の少なくとも一部の間に、該内面の少なくとも一部を画定し、上記構造がさらに、多孔質要素の第1の面に少なくとも部分的に隣接するキャリヤガスマニホールドを画定し、少なくとも1つのキャリヤガス接続部が、該キャリヤガスマニホールドと連通している、化学気相成長リアクタ用のガス供給インジェクタが提供されている。
一つの態様において、上記インジェクタはさらに、第1の前駆物質インレット位置において、内面に対して開口した第1の前駆物質インレットと、第2の前駆物質インレット位置において、内面に対して開口した第2の前駆物質インレットとを備え、1つ以上の前駆物質ガス接続部は、1つ以上の第1の前駆物質接続部及び1つ以上の第2の前駆物質接続部を備え、1つ以上の前駆物質マニホールドは、1つ以上の第1の前駆物質接続部と第1の前駆物質インレットとを接続する1つ以上の第1の前駆物質マニホールドと、第2の前駆物質接続部と第2の前駆物質インレットとを接続する1つ以上の第2の前駆物質マニホールドとを備え、該第1及び第2の前駆物質インレット位置のうちの少なくともいくつかは、内面の水平方向の広がりの少なくとも一部の上で互いに散在されており、多孔質要素は、該第1及び第2の前駆物質インレット位置のうちの少なくともいくつかの間に延在している。
一つの態様において、上記インジェクタはさらに、1つ以上の冷却剤流路を備え、該冷却剤流路は、そこを流れる冷却剤のための蛇行経路を画定する冷却剤流路壁に囲まれており、冷却剤流路は、前駆物質インレット又はキャリヤガスマニホールドと連通しておらず、該前駆物質インレットは、冷却剤流路壁を貫通して延在しており、冷却剤流路は、そこを流れる冷却剤の伝達のための冷却剤流入ポート及び冷却剤排出ポートに結合されている。
一つの態様において、上記インジェクタは、またさらに、第1の前駆物質インレットが、内面の複数の同心ゾーンに配置されている箇所を備え、1つ以上の第1の前駆物質ガス接続部は複数の第1の前駆物質接続部を備え、上記1つ以上の第1の前駆物質マニホールドは複数の第1の前駆物質マニホールドを備え、前記各第1の前駆物質マニホールドは、前記ゾーンのうちの1つの第1の前駆物質インレットに接続されている。
別の態様において、化学気相成長リアクタ用インジェクタは、下流方向に面する内面を画定し、かつ該下流方向と直角な水平方向に延びる構造を備え、該構造はさらに、水平方向に離間するストリーム位置で、内面を貫通して開口する複数の同心ストリームインレットを画定し、各同心ストリームインレットは、第1のポートにおいて、内面に対して開口した第1のガス流路と、該第1のポートを実質的に囲んでいる第2のポートにおいて、該内面に対して開口した第2のガス流路とを備え、構造はさらに、第1のガス流路に接続された少なくとも1つの第1のガスマニホールドと、第2のガス流路に接続された少なくとも1つの第2のガスマニホールドとを備えている。
別の態様においては、上記インジェクタはさらに、内面によって少なくとも部分的に囲まれ、かつ複数の同心ストリームインレット間の該内面の領域内の該内面上の多孔質スクリーンを含むキャリヤガスマニホールドを備え、該キャリヤガスマニホールドは、多孔質スクリーンに接続されており、又は、一つの態様において、上記インジェクタはさらに、第3のガスマニホールドを備え、同心ストリームインレットの各々は、上記第1のポートを実質的に囲んでいる第3のポートにおいて、内面に対して開口した第3のガス流路を備え、該構造はさらに、第3のガス流路に接続された第3のガスマニホールドを備え、第1、第2及び第3のガスインレットのうちの少なくとも1つはキャリヤガスインレットであり、第1、第2及び第3のガスマニホールドのうちの少なくとも1つはキャリヤガスマニホールドである。
本発明は、回転ディスクリアクタ等の化学気相成長リアクタのための産業上の用途を有するが、例えば、エッチング等の他の工業用化学蒸着及びクリーニング装置にも適用することができる。
同様の符号が同様の構成要素を示す図面について説明すると、図1は、本発明の一実施形態によるマルチガスインジェクタを組み込んだ回転ディスクリアクタを示している。
図1に概略的に示すように、この装置は、ステンレス鋼壁105で形成された円筒形反応室100と、ベースプレート110と、排気ポート115と、回転スピンドル125を密封する回転真空フィードスルー120とを備え、該スピンドルの上部には、基板ウェーハ135を伴うウェーハキャリヤ130が設置されている。このウェーハキャリヤは、所定の回転速度(β)で、円筒形反応室100と同軸の軸137(α)周りに回転可能となっている。
加熱サセプタ145は、典型的には、限定するものではないが、例えば、モリブデン、タングステン又はレニウム等の耐熱性金属、又は、グラファイト等の非金属から形成された加熱要素140のセットによって加熱され、該加熱要素は、複数の加熱ゾーンに分割されていてもよい。加熱要素用の金属は、実行される反応、及び特定のリアクタ及び化学気相成長チャンバに必要な加熱特性に基づいて、選択することが可能である。ヒートシールド190は、有利には、加熱要素140及びサセプタ145の下に配置されている。別法として、ウェーハキャリヤ130は、放射加熱要素140により、直接加熱されてもよい。
加熱要素140及びリアクタ100は、一般に、外部の自動又は手動コントローラ193を介して制御され、光学アクセスポート195は、有利には、必要に応じて、第2のチャンバ(図示せず)から、リアクタ100内の配置のために、ウェーハ135及びウェーハキャリヤ130へのアクセスを可能にするように機能している。当該リアクタの構成要素は、例えば、Veeco Instruments Inc.によるTURBODISC(登録商標)という商標で販売されているリアクタに用いられている種類のものであってもよい。本明細書には、アクセスポート195が図示されているが、他のリアクタは、例えば、該リアクタの取外し可能な上部又は底部を介した、ウェーハのトップローディング又はボトムローディング等の他のアクセス装置を有してもよい。
ガス供給インジェクタヘッド150は、反応室100の上流端部に配設されている(図1を見て分かるように、図面の上部の方の端部)。ガス供給インジェクタヘッド150は、下流方向(図1を見て分かるように、図面の底部のほうへ向かう、軸137に沿った方向)に向いている内面155を画定する構造を備え、また、第1の前駆物質ガスチャンバ又はマニホールド170に接続された複数の第1のガスインレット160を備えている。
各第1のガスインレット160は、上記インジェクタの内面155に対して開口している流路の下流端部で、ポート内で終わる該流路を備えている。すなわち、各第1のガス流路は、第1の前駆物質インレット位置において、内面155及び反応室100の内部と連通している。このインジェクタの構造はさらに、第2の前駆物質ガスチャンバ又はマニホールド175に接続された複数の第2のガスインレット165を画定している。各第2のガスインレットは、該インジェクタの内面155に対して開口している流路の下流端部で、ポート内で終わる該流路も備え、そのため、第2のガスインレット165もまた、第2の前駆物質インレット位置において、反応室100の内部と連通している。第1の前駆物質マニホールド170は、第1の前駆物質ガスのソース180に接続されているのに対して、第2の前駆物質マニホールド175は、上記第1の前駆物質ガスと反応する第2の前駆物質のソース185に接続されている。
上記第1及び第2の前駆物質インレット位置(インレット160及び165の下流端部)は、上記インジェクタの内面の上に延在する配置からなる配列を形成するように、水平方向に(該下流方向と直角で、かつ軸137と直角な、内面155に沿った方向に)互いに離間している。上記第1及び第2の前駆物質の配置は、互いに散りばめられている。以下にさらに説明するように、該インレット位置は、軸137と同心の位置160,165からなるいくつかのリングを備え、概して円形の配列で配置されていてもよく、内面155の上でランダムに配置されていてもよく、又は、チェッカー盤、モザイク又は他のパターンで配置されていてもよい。
また、上記インジェクタ構造は、第1及び第2の前駆物質インレット位置の間に、内面155の部分を画定する多孔質要素167も備えている。別の言い方をすれば、該多孔質要素は、各第1の前駆物質インレット位置160と、最も近い第2の前駆物質インレット位置165との間に延在している。上記構造はさらに、多孔質要素167と連通する、符号177で概略的に示すキャリヤガスマニホールドを備えている。該キャリヤガスマニホールドは、反応室100内の状況下で、好ましくは、ソース180及び185によって供給される上記第1及び第2の前駆物質ガスと実質的に反応しないキャリヤガスのソース187に接続されている。「実質的に反応しない」という表現は、本開示で用いる場合、該キャリヤガスが、寄生付加化合物からなる固体の堆積物を形成するように、該前駆物質ガスの一方又は両方と、少しも反応しないことを意味している。さらに、非反応性であってもよく、かつ堆積しないが、所望の堆積プロセスの効率を低下させる可能性がある、寄生性の気相付加化合物も生成される可能性があり、また、該キャリヤガスは、他の方法で、該前駆物質ガスとかなり反応する可能性があるが、好ましくは避ける。該インジェクタを出るガスは、該インジェクタから、該反応室内のウェーハキャリヤに向かって下流に放出されるようになっている。本実施形態は、堆積プロセスのために、基板を保持するウェーハキャリヤを備えて示されているが、ウェーハキャリヤは必要なく、ウェーハキャリヤが当該基板を保持することなく、該基板を直接、チャック等の回転リアクタ面上に配置してもよいことが想定される。本明細書で言う下流方向とは、インジェクタからウェーハキャリヤへ向かう方向であり、重力に対するいずれかの特定の方向である必要はない。本明細書に示す実施形態は、当該下流方向を、反応室の上部から、該反応室の底部へ向かう方向であるとしているが、インジェクタは、(下流方向が、反応室の側部から、水平方向に反応室の中心に向かう方向になるように)該反応室の側部に配置してもよく、あるいは、該インジェクタは、(該下流方向が、該反応室の底部から、上流の該反応室の中央に向かう方向になるように)該反応室の底部に配置されていてもよい。また、排気ポート115は、反応室の底部に示されているが、該排気ポートは、反応室の他の部分に配設されていてもよい。
動作時においては、1つ以上のウェーハ135が、サセプタ145の真上で、ウェーハキャリヤ130内に保持される。ウェーハキャリヤ130は、モータ120により駆動される回転スピンドル125上で、速度βで、軸137周りに回転する。例えば、βは、典型的には、約500RPM以上であるが、速度βは、変化させてもよい。他の実施形態においては、該ウェーハキャリヤは回転せず、また例えば、上記インジェクタが代わりに回転してもよい。電力は、加熱要素140で熱に変換されて、主に放射熱伝達により、サセプタ145へ伝達されることになる。サセプタ145も、ウェーハキャリヤ130及びウェーハ135を加熱する。
上記ウェーハが、堆積反応のための所望の温度にある場合、第1の前駆物質ソース180が作動して、第1のマニホールド170及び第1の前駆物質インレット160を介して第1の前駆物質ガスが供給され、それによって、該第1の前駆物質インレットから、反応室100内の概して下流方向へ第1のキャリヤガスのストリームが放出される。同時に、第2の前駆物質ソース185が作動して、マニホールド175及び第2の前駆物質インレット165を介して第2の前駆物質ガスが供給され、それによって、該第2の前駆物質インレットから、上記基板又はウェーハ130に向かって、概して下流方向へ該第2の前駆物質ガスのストリームが放出される。当該第1及び第2の前駆物質のストリームは、正確に下流方向に、かつ正確に軸137と平行に向く必要はない。前駆物質ガスの供給と同時に、キャリヤガス供給部187は、キャリヤガスをマニホールド177に流し、その結果、該キャリヤガスは、多孔質要素167を通過して、内面155から離れて、概して下流方向へ流れることになる。
上記キャリヤガス及び上記第1及び第2の前駆物質ガスは、下流方向に向かって基板又はウェーハ135へ流れる。このようなストリームの間に、それらのガスは互いに混合し、その結果、それらの前駆物質ガスは、該基板で、及び該基板の近傍で反応して、該基板の露出面上に堆積する反応生成物を形成することになる。
上述した実施形態においては、2種類の前駆物質ガスが同時に供給される。しかし、他の実施形態においては、該前駆物質ガスは、逐次的に及び/又は重なったパルスで供給される。例えば、原子層エピタキシーにおいては、該前駆物質ガスのパルスが、交互に印加され、その結果、一方のキャリヤガスのパルスは、他方のガスのパルスが始まる前に終了する。マイグレーションエンハンストエピタキシーと呼ばれるプロセスにおいては、異なるキャリヤガスのパルスが、交互に、かつ互いに時間が重なるように供給される。逐次的前駆物質ガスフローを用いたプロセスにおいては、キャリヤガスフローは、1つ以上の該前駆物質ガスで同時に供給することが可能である。
上記キャリヤガスは、上記インジェクタに対する反応生成物の堆積を抑制している。本発明は、いかなる動作理論にも限定されないが、該キャリヤガスフローが、内面155の近傍での該前駆物質ガスの逆方向又は上流へのフローを抑制するものと考えられる。また、このキャリヤガスフローが、当該内面の近傍における第1の前駆物質ガスと第2の前駆物質ガスとの混合を低減し、それによって、該インジェクタの近傍における反応生成物の形成を抑制するものと考えられる。
上記前駆物質ガスは、化学気相成長プロセスでの使用に適したどのような前駆物質ガスであってもよい。様々な実施形態における前駆物質ガスは、上記リアクタ内での基板の処理に関与するどのようなガス、気体又は物質を含んでもよい。より具体的には、該前駆物質ガスは、上記基板の表面を処理するのに適しているどのようなガスであってもよい。例えば、所望の堆積が、エピタキシャル層の成長等の半導体層の成長である場合、該前駆物質ガスは、複数の化学的活性種の混合物であってもよく、また、不活性の非前駆物質ガス成分を含んでもよい。これらの前駆物質ガスのいずれか又は両方は、反応性前駆物質成分及び非反応性ガス等のガスの組合せを含んでもよい。本発明の回転ディスクリアクタを適用することができる材料系の種類は、例えば、限定するものではないが、GaAs、GaP、GaAs1-XX、Ga1-yAlyAs、Ga1-yInyAs、AlAs、AlN、InAs、InP、InGaP、InSb、GaN、InGaN等のIII〜V族の半導体を含むことが可能である。また、これらのリアクタは、限定するものではないが、ZnSe、CdTe、HgCdTe、CdZnTe、CdSeTe等のII〜VI族の化合物、SiC、ダイヤモンド及びSiGe等のIV〜IV族の化合物、ならびにYBCO、BaTiO、MgO2、ZrO、SiO2、ZnO、ZnSiO等の酸化物、及びAl、Cu及びW等の金属を含む他の材料系にも適用することが可能である。さらに、結果として生じる物質は、限定するものではないが、発光ダイオード(LED)、レーザ、太陽電池、光電陰極、HEMT及びMESFETを含む幅広い電子及び光電子用途を有することになる。
上記キャリヤガスは、不活性ガス、又は、上記堆積反応に関与しないガス等の前駆物質ガスが上記基板に適用されることを前提として、上記反応室内での堆積反応に関与しないどのようなキャリヤであってもよい。
図1のリアクタは、垂直回転ディスクリアクタとして示されているが、このリアクタは、単に例示として記載されており、また、本発明は、非回転ディスクリアクタ、側方フローリアクタ、回転インジェクタリアクタ等の他の種類のリアクタと共に用いることが可能であることは、理解されよう。また、追加的な前駆物質ガスは、1つ以上の補助ガスソース、ガスチャンバ及びガスインレットを介して上記反応室に供給されてもよい。それに伴って、本明細書に記載した上記パターン及び構造は、1種類以上のキャリヤガスと共に、3種類、4種類又はそれ以上の種類の前駆物質に対して容易に拡張されることが可能である。
インジェクタヘッド150及び関連する構成要素の機械的構造は、図2及び図3に示されている。インジェクタヘッド150は、図2〜図4を見て分かるように、「底部」面と呼ばれる場合もある(そこからガスが上記反応室内に噴射される)インジェクタの下流面が下向きで、かつ「上部」面と呼ばれる場合もある(ガスソースが、そこからガスを該インジェクタに供給する)インジェクタの上流面を上に向けるように、上記リアクタ内に位置している。
インジェクタヘッド150は、シーリングプレート及びガス供給プレート210を備え、該ガス供給プレート210は、シーリングプレート205のアンダーカット内に挿入され、例えば、複数のねじ(図示せず)によって、シーリングプレート205に接続されている。該シーリングプレートは、有利には、リアクタ100を密封すると共に、インジェクタヘッド150をリアクタ100に対して保持している。ガス供給プレート210は、ガス供給プレート210の周りの経路をたどり、また、以下により詳細に説明する、水冷のための冷却流路215(図5及び図21C参照)を有している。
冷却水は、好ましくは、シーリングプレート205に溶接され、かつOリング式シール225によって密封されたインレット245を介して供給されるようになっている。同様の又は他のデザイン(例えば、図12及び図16を参照)は、冷却水の流出のために用いられてもよい。
ガス供給プレート210は、好ましくは、(例えば、真空ロウ付け、拡散溶接、ボルトシール構成等の)真空気密によって互いに接続された3つの要素からなる組合せである。具体的には、ガス供給プレート210は、典型的には、上流プレート240と、中間プレート235と、下流プレート230とを備え、その1ゾーン式の実施形態を図14〜図17に見ることができる。
中間プレート要素235は、第1のガスチャンバ245及び前駆物質インレット250を構成している。また、中間プレート要素235は、好ましくは、冷却用の水路215を有している。第1のガスチャンバ245は、真空気密接続によって中間プレート235に接続された上流プレート240で囲まれている。
前駆物質は、上流プレート240に溶接され、かつOリングシール225によって密封されたチューブ243を介して第1のガスチャンバ245に供給されるようになっている。これらの前駆物質は、導管(インレット)250を通って内部のリアクタ空間に達している。
キャリヤチャンバ260は、真空気密接続によって中間要素235に接続されている。キャリヤチャンバ260は、多孔質下流プレート230によって下が囲まれている。キャリヤガスは、位置255に示すのと同様の密封されたキャリヤインレットチューブ265を介してキャリヤチャンバ260に供給されるようになっている。多孔質下流プレート230は、キャリヤガスを放出する面(すなわち、スクリーン)上に、小さなアパーチャを含んでいる(例えば、図8B参照)。キャリヤガスは、多孔質下流プレート230を通って内部のリアクタ空間に達している。別法として、カバープレート(図示せず)は、図12〜図16に示すように、当該下流プレートも覆って配置されていてもよい。
前駆物質ガスの第2のセットは、3つの別々のゾーン内の上記ガス供給インジェクタに供給されるようになっている。具体的には、ゾーン式前駆物質チャンバ270a〜270cは、上流プレート240、Oリングシールを有する円形コネクタ275a〜275b、及びシーリングプレート205によって形成されている。ゾーン式前駆物質チャンバ270a〜270cは、前駆反応物質を前駆物質導管280を介して上記リアクタへ供給するのに用いられ、この場合、各前駆物質チャンバ270a〜270cは、流量に対して別々に制御することが可能である。円形コネクタ275a,275b及び3つの前駆物質インレットチューブ285a〜285cは、以下に、図12〜図16の実施形態でさらに説明するように、前駆物質インレットの3つが独立して制御されるゾーンを形成している。
多孔質下流プレート230のキャリヤスクリーン、前駆物質インレット250及び/又はゾーン式前駆物質インレット又は導管280は、上記インジェクタの内側(下流)面上に均一に配置されていてもよく、密度が半径方向に変化するように非均一に配列されていてもよく、あるいは、以下に説明するように、均一に分布しているが、濃度が半径方向に変化するように前駆物質及びキャリヤが供給されていてもよい。
図4を見ると最もよく分かるように、現場での光学装置295の開口は、前駆物質導管のうちの1つの代わりに置換されているホール290によって設けられている。
図5を見ると最もよく分かるように、ゾーン式前駆物質インレット280は、ガス供給プレート210の底部(下流)面に沿って交互のパターンで、前駆物質インレット250と共に散りばめられている。例えば、水、グリコール等の冷却剤は、蛇行した(曲がりくねった)水路215を通って上記インジェクタに入り、そこを通過して出るようになっている。光学的ビューポート(図示せず)のためのホール290も設けられている。このようにして、均一な堆積に必要な、ウェーハキャリヤ130(図示せず)の面上の一定の濃度の前駆物質が供給されることになる。
I.多数の前駆物質インレットのパターンとキャリヤインレットのパターンの散在
図6は、本発明のガス供給インジェクタの一実施形態の断面図を示し、上記キャリヤガスは、多孔質プレートではなく、インレットの第3のセットを介して供給されるようになっている。当該ガス供給インジェクタの本実施形態は、CVD回転ディスクリアクタに含まれているが、当該インジェクタは、異なる化学気相成長リアクタを含む他の様々な環境、工業用クリーニング環境等で使用可能であることを理解すべきである。
回転ディスクリアクタ300の上流端部は、半径方向断面で単純化された構造で示されているガス供給インジェクタ310を備えている。第1の前駆物質ガスソース330は、該インジェクタの下流面上の第1の前駆物質インレット370のセットに対して制御可能な流量で、パイプ、マニホールド及びバルブネットワーク350を介して第1の前駆物質ガスを供給している。前駆物質ガス390は、この場合、ウェーハのCVD処理のために、リアクタ300内に供給されるようになっている。
第2の前駆物質ガスソース335は、第2のパイプ、マニホールド及びバルブネットワーク355を介して第2の前駆物質ガス395を、第2の前駆物質インレット375のセットへ供給している。第2の前駆物質ガス395もまた、該インジェクタの下流面上の該リアクタ内に供給されるようになっている。
上記インジェクタのインレット上への又は該インレット内への前駆物質のリバースジェッティングを防止するために、本実施形態におけるインジェクタ310の下流面上の前駆物質インレット間の空間365は、個々のキャリヤインレットからなるセットを含んでいる。キャリヤガスソース320は、パイプ、マニホールド及びバルブネットワーク340を介して、インレット360の第2のセットを通してキャリヤガス380を供給している。キャリヤガス380は、バルブ(図示せず)、キャリヤガスソース320、又は、パイプ、マニホールド及びバルブネットワーク340の制御を介して、手動により設定された流量で、リアクタ300内に供給されるようになっている。
キャリヤガスインレット360を均一に、又は半径方向密度を変えて設けることにより、インジェクタ310の内部の下流面全体における前駆物質ガスインレット370,375間の空間365内において、キャリヤガスフロー380が、各第1のインレットからの第1の前駆物質ガスストリーム390と、各隣接する第2のインレットからの、最も近い第2の前駆物質ガスストリーム395との間に生成されることになる。ここでもまた、キャリヤガスフロー380は、該インジェクタの内部(下流)面のすぐ近くにおいて、第1の前駆物質ガスストリーム390と第2の前駆物質ガスストリーム395との混合を防いでいる。従って、キャリヤガスフロー380は、リバースジェッティングを最小化するのに役に立ち、また、該インジェクタ面上での、及びインジェクタインレット内での前駆物質の堆積が低減されることになる。
図7は、上記下流面から(リアクタ内から)見た本発明の一実施形態のガス供給インジェクタの概略平面図を示している。インジェクタ400は、「モザイク」インレットパターンを提供する。インジェクタ400は、その上に前駆物質インレット420及びキャリヤインレット430が配設されている下流(底部)面410を備えている。本実施形態において、各前駆物質インレットは、全ての側部を非前駆物質インレットで囲まれており、各前駆物質インレットが、キャリヤインレット又は多孔質キャリヤスクリーンで完全に囲まれている「モザイク」タイルパターンを形成している。このように、前駆物質インレット間の空間には、非前駆物質/キャリヤインレットが設けられており、その結果、リバースジェッティング(及びその結果として生じる残留前駆物質の堆積)が該インジェクタにおいて防止されることになる。図7は、単に、一つの前駆物質を示しているが、該前駆物質インレットの中で、1つのパターン内に、どのような数の前駆物質を用いてもよいことは理解されよう。別の言い方をすれば、前駆物質インレット420のうちのいくつかは、第1の前駆物質ガスのための第1のインレットとし、他の前駆物質インレット420は、第2の前駆物質ガスのための第2の前駆物質インレットとしてもよい。同様に、図7は、キャリヤインレットを示しているが、キャリヤガスもまた、図2の場合に説明したように、スクリーンを含む多孔質プレートを介して、該反応室に注入することができることは理解されよう。
図8A、図8B及び図9は、上記インジェクタに対する様々な構成における前駆物質インレット及びキャリヤ開口部の様々な組合せを用いたものであって、リアクタ内の下流側から見た本発明の様々な実施形態のガス供給インジェクタの例示的な概略図を示している。
図8Aにおいて、ガス供給インジェクタ500は、下流(底部)インジェクタ面510と、第1のパターンの第1の前駆物質インレット520と、第2のパターンの第2の前駆物質インレット530と、キャリヤインレット540とを備えている。第1及び第2の前駆物質インレットには、該インジェクタ自体の近傍での、該第1の反応性ガスと第2の反応性ガスとの相互作用を最小化し、それによって、該インジェクタ自体に対するリバースジェッティング及び前駆物質の生成物堆積を低減するために、チェッカー盤パターンで、該キャリヤインレットが散りばめられている。
図8Bは、インジェクタボディ560上に、第1の前駆物質インレット570及び第2の前駆物質インレット580からなるモザイクパターンを有するインジェクタ550を示している。複数の前駆物質インレット間のスペースには、図1〜図4に関して上述したように、キャリヤガスを前駆物質インレット間のスペース内の反応室に注入する、多孔質プレート590内の多孔質スクリーン開口が散りばめられている。
同様に、図9は、別の実施形態を示し、この場合、ガス供給インジェクタ600は、インジェクタ内部下流(底部)面610と、第1のパターンの第1の前駆物質インレット620と、第2のパターンの第2の前駆物質インレット630と、キャリヤインレット640とを備えている。中央アパーチャ650は、光学ビューポート装置295のための、又は、上記リアクタによって用いられる他のガス又は材料物質の通過のためのホールを含んでいる。該第1の前駆物質インレット及び第2の前駆物質インレットには、該インジェクタ自体の近傍での該第1の反応性ガスと第2の反応性ガスとの相互作用を最小限にして、それにより該インジェクタに対するリバースジェッティング及び前駆物質の生成物堆積を低減するために、該キャリヤインレットがモザイクパターンで散りばめられている。
中央アパーチャ650の周囲で、上記インジェクタの中心領域は、回転ディスクリアクタ又は中央キャリヤガスインレットの中心軸を補正するために、フランジの残りの部分とは異なるインレット位置を有していてもよい。この配置においては、キャリヤガスフローは、アパーチャ650のすぐ近くにある第1及び第2の前駆物質インレット間には生成されていない。他の実施形態(図示せず)においては、該キャリヤガスフローは、他の領域においては省略してもよく、その結果、キャリヤガスフローは、隣接する第1及び第2の前駆物質インレットの全てではなく、一部の間にのみ生成されることになる。
上述した実施形態において、上記第1の前駆物質インレットと第2の前駆物質インレットとの間のスペースは、キャリヤフローガスによって浄化されている。その結果として、前駆物質間の事前反応及び該前駆物質インレットの目詰まりが有利に低減されることになる。
また、上記前駆物質ガスインレットは、かなりの距離、互いに離されていてもよい。単に例証として、該前駆物質ガスインレットは、10インレット/in2(1.55インレット/cm2)程度のインレット密度で設けられていてもよい。リバースジェッティングを最小限にするのに、該前駆物質インレットを接近して密集させる必要はない。従って、これらの実施形態は、より高い信頼性の製造可能なデザインを実現でき、また、現場での光学ビューポート又は他のガスの通過のためのスペースを提供することになる。しかし、他のインレット間の距離が用いられてもよい。
上記ガスインレットは、上記インジェクタの中心軸に対して、同心円状に、又は、放射状に配置することが可能である。キャリヤガスに対する前駆物質の濃度は、半径方向に変化させてもよい。別法として、又は追加的に、該インジェクタの上記面上での前駆物質インレット及びキャリヤインレットの密度は、半径方向に変化させてもよい。
II.散りばめられたキャリヤ/前駆物質インレットの濃度のゾーン分け
前駆物質のためのマルチゾーン注入は、一実施形態において、不均一な前駆物質の分解や不均一なウェーハキャリヤ温度等の影響を補償するように実行されている。好ましくは、3つの放射状ゾーンが設けられているが、他の構成も本発明の範囲内にある。
均一な材料物質の堆積は、前駆物質ガスを、多様な濃度レベルで、様々な注入ポイントに注入することによって促進することが可能である。別の言い方をすれば、前駆物質の濃度は、前駆物質注入の座標の関数を生成することが可能である。すなわち、より高い又はより低い前駆物質濃度を他に有する上記反応室の領域は、補償として、より低い又はより高い前駆物質濃度で「強化する」ことが可能である。
上述したスキームを実施することができる一つの方法は、上記ガス供給インジェクタを同心ゾーンに分割することである。各同心ゾーンは複数のインレットを含み、該複数のインレットが、前駆物質ガスを反応室に注入している。各ゾーン内の前駆物質ガスの濃度は、例えば、放射状ゾーンごとの前駆物質濃度を制御することにより、独立して制御されている。別法として、公知の不均一なパターンを有する機能的に制御される材料物質の堆積は、ゾーンごとに前駆物質濃度を制御することによって促進することが可能である。代替の実施形態においては、キャリヤインレットに対する前駆物質インレットの濃度は、変化させてもよく、又は、前駆物質インレット全体の濃度は、同じ効果を実現するように変化させてもよい。
図10は、本発明の実施形態による、空間的に分布させた注入システム700を示している。図10を見て分かるように、インジェクタ700の下流(底部)面710は、複数のインレット720を画定している。面710は、2つのゾーン725及び730で構成されている。図10に示す特定の実施形態において、面710は円形であり、ゾーン725及び730は、同心の円である。原則として、面710は、どのような形状であってもよく、また、平面である必要はない(該面は、例えば、球状、半球状、凹状又は凸状であってもよい)。同様に、ゾーン725及び730は、どのような形状であってもよく、また、円形又は同心である必要はない。
各ゾーン725及び730のインレット720には、別々のリザーバ起源の2種類の前駆物質ガスが供給され、ゾーン725内のインレットには、リザーバ735及び740からの前駆物質ガスが供給され、ゾーン730内のインレットには、リザーバ745及び750からの前駆物質ガスが供給されるようになっている。リザーバ735及び740にはそれぞれ、第1の前駆物質ガスが含有されている。しかし、リザーバ735に含有される前駆物質ガスは、1つの濃度であり、一方、同じ前駆物質ガスが、異なる濃度レベルでリザーバ745に含有されている。同様に、リザーバ740及び750には、それぞれ第2の前駆物質ガスが含有されている。ここでもまた、リザーバ740に含有される前駆物質ガスは、1つの濃度であり、一方、同じ前駆物質ガスが、異なる濃度レベルでリザーバ750に入っている。従って、各ゾーン725及び730には、第1及び第2の前駆物質ガスが供給されるが、各ゾーンは、異なる濃度レベルのそれらの前駆物質を注入するようになっている。ゾーンごとの濃度の変化は、別の理由で発生する上記反応室の領域における濃度の変動を補償するのに用いることが可能である。
要約すると、インレットシステム700は、複数のインレット720を画定するインレット面710を備えている。インレット720は、複数のゾーン725及び730に分かれて構成されている。各ゾーン725及び730には、取付けられた反応室に注入される各前駆物質ガスのためのリザーバがある。このスキームの結果として、各ゾーン725及び730は、異なる濃度の前駆物質ガスを注入してもよい。当然、ゾーンごとに変化させる他の変形例も同様に可能である(例えば、該前駆物質の圧力、温度又はイオン電荷がゾーンごとに変化してもよい)。図10に示す注入システム700は、それぞれに2種類の前駆物質ガスが供給される2つのゾーン725及び730を含有しているが、注入システム700は、それぞれに、何種類の前駆物質ガスでも供給することができるいくつのゾーンでも備えることが可能である。所与のゾーンに供給される全ての前駆物質ガスは、単一の濃度レベルであってもよく、又は、様々な濃度レベルであってもよい。各前駆物質が、ゾーンごとに独立して、その濃度を変化させることができることは、一つの前駆物質から他の前駆物質への分解速度の変化を補償するのに重要である。インジェクタ700の下流面710上のインレットは、上述したような個別のキャリヤインレット又は多孔質要素の形態のキャリヤインレット、及び1種類以上の前駆物質のための前駆物質インレットの1つ以上のセットを含んでいてもよい。
図11は、図10の空間的に分布させた注入システム700に用いることができるインジェクタ800の斜視図である。図11を見て分かるように、インジェクタ800の下流方向に向いている(底部)内面810は、複数のインレット820を画定している。また、インジェクタ800は、上述したように、(水等の)冷却流体を冷却チャンバを介して流すための冷却剤インレット導管830及び冷却剤アウトレット導管835も有している。図11〜図16は、その構造の上部へ向かう下流方向を有する、すなわち、図1〜図4のインジェクタと逆方向を有するガス供給インジェクタを示している。インレット820は、3つの同心ゾーン840,850及び860に分けられている。
図12は、図11に示すインジェクタ800の断面斜視図を示している。インレット820の各々は、インジェクタ800のボディによって画定されている2つの円筒形チャンバ900及び910のうちの一方に接続されている。チャンバ900は、環状サブチャンバ920a,920b及び920cに分かれており、それにより、チャンバ910は、環状サブチャンバ930a,930b及び930cに分かれている。各ゾーン840,850及び860は、チャンバ900の1つのサブチャンバ920a〜920c及びチャンバ910の1つのサブチャンバ930a〜930cに関連付けられている。例えば、サブチャンバ920a及び930aは、ゾーン860につながっている。従って、ゾーン860内のインレットは、サブチャンバ920a及び930aに接続されている。同様に、ゾーン850内のインレットは、サブチャンバ920b及び930bに接続されている。ゾーン840内のインレットは、サブチャンバ920c及び930cに接続されている。
サブチャンバ920a〜920c及び930a〜930cは、単一のチャンバ900又は910を、複数の壁部によって多数の「サブチャンバ」に区分化したものであるため、個々の「チャンバ」ではなく、サブチャンバと呼ばれている。インジェクタ800のこの態様を以下により詳細に説明する。図12に示されているように、サブチャンバ920a〜920c及び930a〜930cの各々は、それぞれ、導管940a〜940c及び950a〜950cに接続されたオリフィスを有している。該オリフィスと導管の組合せは、前駆物質ガスのサブチャンバ920a〜920c及び930a〜930cへの注入を可能にしている。従って、各サブチャンバ920a〜920c及び930a〜930cには、前駆物質ガスのそれ自体のソースを供給することが可能となる。
円筒形冷却チャンバ960は、反応室(図示せず)と、第1及び第2のチャンバ900及び910との間に配設されている。例えば、水等の冷却流体は、冷却チャンバ960を介して循環されている。インレット820は、冷却チャンバ960を通って、該反応室に達している。従って、該前駆物質ガスは、(それと通ずることなく)冷却チャンバ960を通過し、それによって、堆積反応のための閾値以下の温度まで冷却されることになる。水等の冷却剤は、水インレット970及び水アウトレット980を介して再循環されるように、冷却チャンバ960に入って、そこを出るようになっている。
図13は、図12に示す断面図の一部の拡大図を示している。図13を見ると最もよく分かるように、各インレット820は、第2の導管の周りに位置する第1の導管によって形成された同軸注入導管を有している。例えば、注入導管1040は、内側導管1050を含んでいる。内側導管1050は、サブチャンバ920a内の前駆物質ガスがそれによって上記反応室へ移動することができる流路を形成している。内側導管1050の周りには、外側導管1060がある。外側導管1060は、サブチャンバ930a内の前駆物質ガスがそれによって上記反応室へ移動することができる流路を形成している。内側導管1050及び外側導管1060は、好ましくは、同心である。従って、図17に示すように、下流面810における各インレット820は、内側導管開口1370と、同軸壁部1390によって隔てられている外側導管開口1380とを含む同軸導管を備えている。同軸導管1030は、別のインレット820をサブチャンバ930a及び920aに接続し、同軸導管1020及び1010は、インレットをサブチャンバ930b及び920bに接続し、同軸導管1000は、別のインレットをサブチャンバ930c及び920cに接続している。これら内側導管と外側導管の断面積は、等しくても、等しくなくてもよい。それらの面積の比は、ゾーンごとに、又は、1つのゾーン内でも変えることが可能である。同軸導管スキームは、前駆物質間の相互伝達を伴うことなく、前駆物質ガスをそれぞれのサブチャンバから該反応室へ移すことを可能にしている。また、同軸導管は、面810上での堆積物生成を最小限にすることができる。各導管から出る2つの前駆物質ガスは、互いに混合するが、外側導管1000から出る前駆物質ガスのストリームの最も外側の部分は、内側の下流インジェクタ面810から下流の限定された距離に対して、混合されないままである。リバースジェッティング、又は、面810方向への逆流は、いずれも、主にこの最も外側の部分からのガスから構成されることになる。
図11〜図13に示す特定のインジェクタは、上述したような独立した内側キャリヤガス供給のための装備を備えていない。しかし、アウトレット820間の面810の部分を画定する多孔質要素を有するか、又は、個別のキャリヤガスアウトレットを有するかのいずれかのそのようなキャリヤガス供給は、以下に説明するように、リバースジェッティングをさらに最小限にするために設けられてもよい。同軸導管の使用は、必要なシーリングの量を低減することができるという点で、該インジェクタの構造を単純化することが可能となる。加えて、同軸スキームの使用は、前駆物質材料のより均一な供給を可能にしている。当然、図10〜図13の区分け構成は、図1〜図4に示すような別々の第1及び第2の前駆物質インレットを用いることができる。具体的には、この代替例に示すように、第1の前駆物質インレットは、サブチャンバ920a〜920cに接続されており、一方、第2の前駆物質ガスインレットは、サブチャンバ930a〜930cに接続されている。同様に、同軸導管は、本明細書において上述したように、該内側導管を介して、1つ以上の前駆物質ガスを、交互のパターン、又は、他のパターンで分散させると共に、各同軸導管の外側導管を介して、キャリヤガスを分散させるのに用いることが可能である。
図14〜図16は、図10のインジェクタ700を、それによって構成することができるプレートのセットの断面斜視図である。
図14には、上流プレート1100が示されている。上流プレート1100は、好ましくは円形であり、3つの凹部領域1110,1120及び1130を含有している。同心円壁部1140及び1150は、凹部領域1110,1120及び1130を隔てている。凹部領域1110,1120及び1130は、集合的に、図12に示す第1のチャンバ900を形成している。凹部領域1110は、サブチャンバ920cを形成している。同様に、凹部領域1120及び1130は、それぞれ、サブチャンバ920b及び920aを形成している。図14のこの理解に基づいて、チャンバ900が概して円形形状であり、3つの同心円形サブチャンバ1110,1120及び1130からなるセットに分けられていることが理解される。導管940a〜940cからなる第1のセットは、それぞれ、凹部領域1130,1120及び110から(上記リアクタの外部のガスソースの方へ向かって)上流に延在している。導管940a,940b及び940cは、前駆物質ガスをそれによって、凹部領域1110,1120及び1130で形成された様々なサブチャンバ内へ注入することができる流路として機能している。導管950a,950b及び950cからなる第2のセットは、上流プレート1100を貫通して延在している。該導管の第2のセットは、同心円壁部1140及び1150の高さとほぼ等しい高さで、上流プレート1100から(該リアクタの方へ向かって)下流に突出している。領域ごとに1つより多くの導管があり、該導管の数は、領域ごとに変えてもよい。
図15は、上流プレート1100の上部に重ねられた中間プレート1200を示している。中間プレート1200は、上流プレート1100によって形成された円形壁部1140及び1150の上に載っている。上流プレート1100と同様に、中間プレート1200もまた、凹部領域1210,1220及び1230を含有している。凹部領域1210,1220及び1230は、円形壁部1240及び1250によって隔てられている。凹部領域1210,1220及び1230はまとまって、第2のチャンバ910を形成し、また個別にそれぞれ、サブチャンバ930a,930b及び930cを形成している。図15のこの理解によって分かるように、第1の円形チャンバ900及び第2の円形チャンバ910は、互いに積み重ねられており、共通の面(中間プレート1200)及び共通の長手方向軸を共有していることが分かる。中間プレート1200は、上流プレート1100から(上記反応室の方へ向かって)下流に突出する導管950a,950b及び950cからなる第2のセットの各々を結合している。従って、導管950a,950b及び950cからなる第2のセットは、前駆物質ガスをそれによって、凹部領域1210,1220及び1230で形成された様々なサブチャンバ内に注入することができる流路として機能している。
また、領域ごとに多数の導管があってもよく、該導管の数は、領域ごとに変えてもよい。中間プレート1200は、複数の注入導管1260も備え、該注入導管は、プレート1200から(上記反応室の方へ向かって)下流に突出し、円形壁部1240及び1250の高さを超えて延在している。注入導管1260の全高は図16には示されておらず、それらの導管の部分は、説明の簡略化のため省いてある。
図16は、中間プレート1200の上に重ねられた下流プレート1300を示している。下流プレート1300は、中間プレート1200によって形成された円形壁部1240及び1250の上に載っている。該下流プレートは、図12に示すように、冷却チャンバ960の下流部分を構成している。図16のこの理解によって分かるように、円筒形冷却チャンバ960及び第2の円筒形チャンバ910は、互いに積み重ねられており、共通の面(下流プレート1300)及び共通の長手方向軸を共有していることが分かる。
図12及び図13を見て最もよく分かるように、冷却チャンバ960は、下流プレート1300と、インジェクタ1100の面810に対向する内部又は下流を画定するカバープレート805との間に位置している。本実施形態において、導管1320は、該冷却チャンバを通過するが、該冷却チャンバと連通していない。図16を見て分かるように、下流プレート1300の側部は、冷却チャンバ960のための入口オリフィス1330及び出口オリフィス1340を提供している。入口オリフィス1330及び出口オリフィス1340は、入口導管830と出口導管835とをつないでいる。従って、オリフィス1330及び1340と、導管830及び835とは、冷却剤の流体をそれによって該インジェクタを介して循環させることができる冷却チャンバに対して協働するようになっている。該冷却剤を循環させるチャンバは、図16に示すように、オープンチャンバであってもよく、又は、例えば、図5に示すように、他の2次元又は3次元の形状を経て進んでもよい。
下流プレート1300は、複数の注入導管1320を含有し、該注入導管は、当該プレート1300から上記反応室の方へ向かって下流に突出し、中間プレート1200によって結合された注入導管1260と同じ高さまで延在している。下流プレート1300に結合された導管1320は、該中間プレートに結合された導管1260の周囲に形成されており、それによって、図13及び図17を参照して説明した同軸導管構造を形成している。図11、図12及び図13に最も良く示されているように、カバープレート805は、下流プレート1300に重なっており、また、図11に示す注入面810を画定し、図11に示す複数のインレット820も画定している。さらに、カバープレート805は、上記インジェクタを密封している。インレット820において、カバープレート805は、注入導管1320に対して密封されている。図17に詳細に示す同軸インレットの一つの実施形態は、カバープレート805の注入(下流)面810上の同軸インレット820を示している。外側同軸インレット1380は、外側同軸壁部1360及び内側同軸壁部1390によって画定されている。外側同軸インレット1380は、内側同軸壁部1390によって画定されている内側同軸インレット1370を部分的に、又は完全に囲んでいる。外側同軸インレット1380及び内側同軸インレット1370は、第1及び第2の前駆物質ガスを供給することができ、又は、別法として、内側同軸インレット1370が前駆物質ガスを供給し、一方、外側同軸インレット1380が、該前駆物質ガスを取り囲むキャリヤガスシュラウドを供給してもよい。キャリヤガスが、内側同軸インレット1370によって運ばれる逆の場合も可能である。
III.ゾーン式インレット及びマルチ前駆物質インレット(同軸又は二重管)を有するガス供給インジェクタ
図18は、多数の前駆物質が、キャリヤインレットの均一な領域内に散りばめられているインレットを介して供給される、本発明の一実施形態を示している。下流(内部)インジェクタ面1400は、複数のゾーン1410、1420及び1430に分けられている。各ゾーン内には、該インジェクタ自体への材料物質のリバースジェッティングを引き起こすことなく、リアクタ内のウェーハキャリヤに前駆物質を一様に供給するために、第1の前駆物質インレット1440、第2の前駆物質インレット1450及びキャリヤインレット1460からなるチェッカー盤パターンが設けられている。
同様に、図19においては、図18の構成の変形例が記載されており、第1の前駆物質インレットと第2の前駆物質インレットとが、二重管インレットに一体化されている。具体的には、下流内部インジェクタ面1500が、多数のゾーン1510、1520及び1530に分割されている。各ゾーン内には、該インジェクタ自体への材料物質のリバースジェッティングを引き起こすことなく、リアクタ内のウェーハキャリヤに前駆物質を一様に供給するために、二重管前駆物質インレット1540及びキャリヤインレット1550からなるチェッカー盤パターンが設けられている。
図20に示すように、各二重管前駆物質インレット1540は、第1の前駆物質1570及び第2の前駆物質1575を運び、かつ該第1及び第2の前駆物質が上記反応室に入るまで、該第1の前駆物質と第2の前駆物質とを分離する管壁1580によって隔てられているより小さな導管(インレット)1560及び1565に分けられている。二重管インレット1540は、上記の図13〜図17に示すような同軸インレット1590と置き換えてもよい。図18、図19のいずれかの実施形態において、該キャリヤインレットは、有利には、図2に示すようなキャリヤ多孔質プレートと置き換えることができる。
図21A〜図21Gは、(明確にするために、上記キャリヤ多孔質プレートを除いた)本発明の上記インレットのいくつかの実施形態の断面図を示している。図示するように、該インレットは、上記反応室に向かって下流に開口している。図21Aは、単純なチェッカー盤パターンで散りばめられたキャリヤインレット1603及び前駆物質インレット1606を含む断面1600を示している。図21Bにおいては、断面1610は、(図20に示すタイプの)二重管前駆物質インレット1616を伴う、チェッカー盤パターンで散りばめられたキャリヤインレット1613と、冷却流路断面1618とを示している。図21Cにおいて、断面1620は、冷却流路断面1628を有するキャリヤインレット1623を有するチェッカー盤パターンの(図17に示すタイプの)同軸前駆物質インレット1626を示している。図21Bにおいて、断面1610は、二重管前駆物質インレット1616を示し、該第1の前駆物質導管を第2の前駆物質導管からシールするリニアバリア1615を含んでいる。同様に、図21Cにおいて、同軸前駆物質インレット1626は、その一部が、該第1の前駆物質導管を周囲の第2の前駆物質導管からシールする放射状バリア1625によって画定されている。
それぞれ断面1600,1610及び1620を示す図21A〜図21Cは、上記インレットの縁部における略通常の角度をそれぞれ示すが、該インレットと、上記インジェクタの内部下流面との間に、角度の付いた境界を設けることにより、場合によって、ジェッティングをさらに低減することが可能である。すなわち、図21Dにおいて、断面1630は、単純なチェッカー盤パターンで散りばめられ、かつジェッティングをさらに低減するように傾斜した前駆物質インレット1636及びキャリヤインレット1633を示している。図21Eにおいて、断面1640は、本実施例において、前駆物質インレット1646のみが傾斜しており、キャリヤインレット1643はノーマルなままであることを除いて、断面1630と同じである。図21Fにおいては、断面1650は、チェッカー盤パターンでリニアバリア1655及びキャリヤインレット1653が散りばめられた二重管前駆物質インレット1656を示し、この場合、二重管インレット1656及びキャリヤインレット1653は共に、粘性をさらに最小化するように、約45度、傾斜している。最後に、図21Gにおいて、断面1660は、放射状バリア1665及びキャリヤインレット1663がチェッカー盤パターンで散りばめられた同軸前駆物質インレット1666を示している。冷却流路断面1668は、同軸前駆物質インレット1666又はキャリヤインレット1663と通気していないが、動作中の該インジェクタの温度を抑えるために、インレット1666及び1663と熱的に連通している。
それぞれ、断面1650及び1660を示す図21F及び図21Gにおいて、リニアバリア1655及び放射状バリア1665は、好ましくは、粘性及びジェッティングをさらに最小化するために、上記インレット及び上記反応室の前の境界の前方でわずかに端部に対して傾斜しているが、バリア1655又は1665も、特定のインジェクタの個々の構造により、該境界で、又は、該境界を越えて終わってもよい。
IV.カスタマイズ可能なポート及びオリフィスサイズを可能にする交換可能なインレット要素を有するインジェクタ
図22は、本発明のガス供給インジェクタの別の実施形態の単純化した部分断面図である。堆積リアクタ内への配置のためのインジェクタ1700は、例えば、真空ロウ付け、溶接、又は、ボルト及びシール構成等の密封プロセスによって、一緒に結合されている上流プレート1710、中間プレート1720及び下流プレート1730から形成されている。該インジェクタは、典型的には、上記反応室のシーリングプレート1701に結合されている(図2参照)。図23は、多数のガス供給プレートを用い、かつガスの該反応室への連通に用いられる通気ねじを備える、本発明のガス供給インジェクタの実施形態の分解組立図である。該ガス供給インジェクタは、例えば、それを用いて第1の反応物質ガスマニホールド(図2参照)を形成するリアクタシーリングプレート(図示せず)の下に配設され、また、好ましくは、ウェーハキャリヤ(図示せず、図1参照)が、該ガス供給インジェクタの下で中心に配設されるように、反応室(図示せず、図1参照)内に配設されている。
図22に示すように、上流プレート1710は、上流面1740及び下流面1745を含んでいる。第1の反応物質ガスマニホールド1702を画定する空間は、典型的には、上流プレート1710の上流面1740と、シーリングプレート1701との間に位置している(例えば、図2の270a〜270c参照)。好ましくは、1つ以上のガスインレット要素、この場合においては、ガスインレット1770と互いに各通気ねじ1760内の中心に設けられた通気ねじ1760が、上流プレート1710の上流面1740と同一平面になっている。通気ねじ1760は、上流プレート1710の上流面1740内の1つ以上のねじ穴1765を介して、上流プレート1710の上流面1740に固着されており、この場合、ねじ穴1765は、第1の反応物質ガス流路と位置合わせされている。
図23において、図22に示す上流プレート1710、中間プレート1720及び下流プレート1730は、斜視図で示されている。図23に示すような上流プレート1710においては、複数の通気ねじ1760が、通気ねじ穴1875に固着されて、上記第1のガスマニホールドから上記ガス供給インジェクタへの第1の反応物質のためのインレットが設けられている。光学ポート又は該ガス供給インジェクタ内へのガスソースの連通のためのインジェクタシーリングポート1870は、上面1740に設けられている。冷却剤通過開口1895は、冷却剤の入口ライン及び出口ラインが上流プレート1710の構造体を通過するのを可能にしている。そして、ボルト穴1890は、該上流プレートの他のインジェクタプレート及び上記リアクタのシーリングプレートに対するシーリングを可能にしている。
図24Aは、図22に示すガス供給インジェクタの実施形態の上流プレートのより詳細な斜視図である。上流プレート1710は、目に見えるその上面1740及び目に見える複数の通気ねじ穴1875を備えて示されている。また、冷却剤通過開口1895のセットは、該上流プレートを介した中間プレート(図示せず)への冷却剤導管の出入りを可能にし、この場合、冷却流路が設けられている。複数のシーリングポート1870は、該ガス供給インジェクタ内、又は該ガス供給インジェクタを通るガス及び/又は光学ポートの連通のために設けられている。具体的には、第2の反応性ガスシーリングポート1872が、上流プレート1710を介して、該上流プレートの下流面1745と、第2の反応物質ガスマニホールド1790を画定する該中間プレート(図示せず)の上流面との間の領域へ第2の反応性ガスを通すために設けられている。
図24Bは、上流プレート1710の下流面1745をより詳細に示すものであり、図22に示すガス供給インジェクタの実施形態の上流プレートの下から見た図である。上述したように、上流プレート1710は、複数の冷却剤通過開口1895と、第1の反応ガス流路を通すためのガス通気ねじ穴1875と、シーリングポートのための通路1870と、該上流、中間及び下流プレートを一緒に結合するボルト穴1890とを備えている。
上記第2の反応性ガスシーリングポートは、第2の反応性ガスを、第2の反応性ガスマニホールド1790の本体へ通す第2の反応性ガスシーリングポートアウトレット1873を備えている。状況に応じて、第2の反応性ガスマニホールド1790内では、放射状バリア1878が、第2の反応性ガスマニホールド1790の2つの領域、すなわち、該第2の反応性ガスが、第2の反応性ガスシーリングポートアウトレット1873により、最初に通る外側リング1878と、本明細書に記載したように、該第2の反応性ガスが中間プレート1720内に流れる内側マニホールド領域1883とを画定している。外側リング1878と内側マニホールド領域1883とは、第2の反応性ガスマニホールド1790の内側マニホールド領域1883内の第2の反応性ガスのガス圧力を一様にすべく機能する複数のオリフィス1882を介して連通している。
図22を参照すると、中間プレート1720は、上流面1750及び下流面1755を含んでいる。上流プレート1710と中間プレート1720は、上流プレート1710と中間プレート1720との間の接触の箇所1860で、例えば、真空溶接又はボルト及びシール構成によって一緒に結合することが可能となっている。上流プレート1710の下流面1745の一部は、中間プレート1720の上流面1750と共に、第2の反応性ガスの上記反応室内への導入のための第2の反応性ガスマニホールド1790を形成している。状況に応じて、1つ以上の通気ねじ穴1805に固着された1つ以上の通気ねじ1800を介したガスインレット1810が、中間プレート1720の上流面1750に形成されている。
中間プレート1720の上流面1750には、冷却流路1840が形成されている(例えば、図5及び図25A〜図25C参照)。冷却流路1840の上流端部は、密封され、また、ガス供給インジェクタ1700の他の構成要素から隔離されており、特に、中間プレート1720の上流面1750上に連続的な面を形成して、それによって、図25A〜図25Cにより詳細に示すような連続水冷流路1840を形成するために、中間プレート1720の上流面1750に好ましくは真空溶接された冷却流路カバー片1850を介して、中間プレート1720の上流面1750からシールされている。
中間プレート1720の下流面1755には、上記リアクタ内への供給のための好ましくは非反応性のキャリヤガスを入れる1つ以上のキャリヤガスマニホールド1830が形成されている。また、中間プレート1720の下流面1755には、その中に第1のガスアウトレット1785を含む第1のガスアウトレット通気ねじ1780を固定するための通気ねじ穴1795も形成されている。第1のガスアウトレット通気ねじ1780及び第1のガスアウトレット1785は、第1のガス流路1775のための末端として機能し、それによって、第1の反応ガスを該第1のガスマニホールドから該アウトレットを通って該反応室内へ送ることが可能となっている。さらに、中間プレート1720の下流面1755には、第2のガス流路1815のための末端として機能する第2のガスアウトレット1820が形成されており、それによって、第2の反応ガスを第2のガスマニホールド1790から該アウトレットを通って該反応室内へ送ることが可能となっている。別法として、第2のガスアウトレット1820は、第1のガスアウトレット1785に用いるのと同じ通気ねじ構造で形成されていてもよい。
図23の分解組立図に示すように、かつ異なる視点から説明すると、中間プレート1720は、溶接された上流面シート1840及び下流面1755を備え、また、本明細書に記載したように、中間プレート1720内に設けられた冷却流路に水等の冷却剤を供給する冷却剤インレット及びアウトレットパイプ1880に結合されている。ガスインレット1810は、中間プレート1720の上流面シート1840に設けられており、そのうちのいくつかは、上流プレート1720の第1のガスインレットに結合されており、また、そのうちのいくつかは、上流プレート1745の下流面と、中間プレート1720の上流面1840との間に形成された第2のガスマニホールドから第2のガスを直接受け入れるようになっている。ボルト穴1900は、上記インジェクタの他のプレートに対する中間プレートのシーリングを可能にしている。
図25は、図22に示すガス供給インジェクタの実施形態の上記中間プレートのより詳細な斜視図である。中間プレート1720の上流面1750は、上記第2の反応ガスのための(及び、上記第2のガス供給マニホールドを貫通するが、連通していない上記第1のガス流路のための)ガスインレット1800を含む、第2のガス供給マニホールド1790の下流端部を画定するように機能している。また、中間プレート1720は、該ガス供給インジェクタのための冷却流路1840も備えている。該中間プレートはさらに、該上流、中間及び下流プレートをまとめて固定するボルト穴1900と、光学ビューポートのため、又は、該ガス供給システム内のガスの連通のためのシーリングポートライン開口1910とを備えている。
図26Aは、中に設けられた冷却流路1840をより明確に示すため、冷却流路カバー片1850(図26B参照)の、その上の上流面への溶接前で、図22に示すガス供給インジェクタの実施形態の上記中間プレートの斜視図である。中間プレート1720の上流面1750上の反応ガスインレット1820は実線で示されており、下流面1755上の反応ガスインレット1820のアウトレットは、点線で示されている。図26Bは、冷却流路カバー片1850の、その上の該上流面への溶接後で、図22に示すガス供給インジェクタの実施形態の当該中間プレートの斜視図である。冷却剤導管1930は、図26Aに示す冷却流路1840内への水等の出入り口を形成している。
再び図22戻って説明すると、下流プレート1730は、その中に配置された単一の又は複数の透過性の又は穿孔された領域1735を含む薄いシートであってもよい。下流プレート1730は、例えば、真空溶接又はボルト及びシール構成等のプロセスを介して、中間プレート1720の下流面1755に結合されている。下流プレート1730の穿孔された領域1735は、下流プレート1730の下流に設けられた上記反応室への上記キャリヤガスの供給を可能にするように、少なくとも、中間プレート1720の下流面1755のキャリヤガスマニホールド1830と同一の空間を占めている。
下流プレート1730において、第1の反応ガス流路1775は、単独で、下流プレート1730上に配設され、又は、ガスアウトレット通気ねじ1780等の取外し可能なデバイス内の該下流プレート上に配設されたガスアウトレット1785で終わるようになっている。必要に応じて、ガスアウトレット通気ねじ1780は、有利には、ガスアウトレット通気ねじ1780と、中間プレート1720の下流面1755との間に下流プレート1730を固定するために、下流プレート1730に固定されてもよい。第2のガス流路1815がそこを通って終わる第2の反応ガスアウトレット1820は、好ましくは、第2の反応ガスを上記反応室へ供給するように、下流プレート1730を完全に貫通して連通している。
図23の別の斜視図に示すように、下流プレート1730は、中間プレート1720の下流面1755からの第1のガスアウトレット及び第2のガスアウトレットが、そこを通って上記反応室と連通することができる複数の穴1820を備えている。そして、複数のガスアウトレット通気ねじ1780は、該ガスアウトレット通気ねじ1780と中間プレート1720との間に下流プレート1730をさらに固着するために、中間プレート1720の底部1755のアウトレット通気ねじ穴(図22参照)に固定されている。該ガスアウトレット通気ねじは、図22に示すように、第1の反応ガスアウトレットに用いられているが、必要に応じて、第2のガスアウトレットにも用いられていてもよい。さらに、該下流プレートのボルト穴1940は、一緒に締付け固定及びシーリングするために、又は、該上流、中間及び下流プレートを他の方法で接続するために、有利には、該中間プレートのボルト穴1900及び該上流プレートのボルト穴1890と位置合わせされている。該下流プレート上には、図27に示すように、好ましくは、反応ガスアウトレット間の領域にキャリヤガスを分散させるキャリヤガススクリーンがある。
図27は、上記リアクタの内側から見た(下流方向から見た)図22に示すガス供給インジェクタの実施形態の下流プレートの図である。下流プレート1730は、そこを通るキャリヤガスに対して多孔性又は透過性であるキャリヤガススクリーン1735を備えている。キャリヤガススクリーン1735は、単一の連続する領域として示されているが、該スクリーンは、例えば、個別のガスインレットとして、複数の同軸内側反応物質インレットのための複数の外側同軸インレットとして、キャリヤガスマニホールド1830に隣接して垂直方向に設けられた個々の複数の領域に、あるいは他の構造に設けられていてもよい。オリフィスは、下流プレート1730を貫通する第1のガス通気穴1795及び第2のガスアウトレット1820のために設けられている。下流プレート1730の外側領域1945は、好ましくは硬く、スクリーンを構成していない。ボルト穴1940は、該上流、中間及び下流プレートを互いに、かつ上記リアクタに固定するために設けられている。
図28は、圧力差を生成するために、上記反応ガスインレット流路内に配置された多孔性材料を含む、本発明のガス供給インジェクタの一実施形態の断面図である。別の方法で、図22の実施形態と同様に、図28はさらに、ガス圧力を制御するための透過性材料1960の第1のガス流路1775内への導入と、上述した第1のガスアウトレット通気ねじ1780と同様に、第2のガスアウトレット1975のための第2のガスアウトレット通気ねじ1970の使用とを示している。
例えば、カーボンフィルタ、又は、そこを通る上記第1の反応ガスと反応しない他の透過性材料であってもよい透過性材料1960は、第1のガスインレット1770と第1のガスアウトレット1785との間に圧力差を生成すべく機能している。別法として、透過性材料は、上記第2のガス流路で用いられてもよい。
また、透過性材料の代わりに、又は、透過性材料に加えて、通気ねじ1760及び1785又は他の取外し可能なガスインレットデバイスの内径は、例えば、第1のガスインレット通気ねじ1760の第1のガスインレット1770のアパーチャのサイズを増減することにより、及び/又は第1のガスアウトレット通気ねじ1780のガスアウトレット1785のサイズを増減することにより、同様の圧力差を生成するように、それぞれ変更してもよい。
また、ガスアウトレット通気ねじは、上記第1の反応ガス及び第2の反応ガスの両方の供給のために、図28で用いられている。具体的には、第2のガスアウトレット通気ねじ1970は、上述した第1のガスアウトレット通気ねじ1780が第1のガスアウトレット1785のために設けられているのと同様に、第2のガスアウトレット1975のために設けられている。該通気ねじの長さを含む、該通気ねじの構造を変更することにより、該通気ねじのヘッドが、上記下流プレートの表面をどのくらい越えているか、又は、各通気ねじ内の中央に配設された該ガスインレット及びガスアウトレットの直径、該通気ねじのガスアウトレットオリフィスサイズ及び寸法を、上記ガスインジェクタの他の構成要素を置き換えることなく、リアクタ及びガスインジェクタの構造に基づいて、有利にカスタマイズすることができる。
図29は、同軸反応ガスインレット及び通気ねじを用いる、本発明のガス供給インジェクタの一実施形態の内側ガス供給面の断面図である。同軸ガスアウトレット通気ねじ2000は、下流プレート1730と、中間プレート1720の同軸反応ガス流路2005とに結合されている。同軸反応ガス流路2005は、第1のガスのための外側流路2010と、第2のガスのための内側流路2020とを含み、これら内側流路と外側流路とは、内側放射状壁部2030によって隔てられている。上述したように、中間プレート1720は、キャリヤガスマニホールド1830を備え、該マニホールドは、キャリヤガス流路1980からキャリヤガスを受け入れて、該ガス供給インジェクタから、下流プレート1730の多孔質スクリーン1735を介してガスを供給している。また、中間プレート1720の冷却流路1990の断面も示されている。
図30は、非同軸二重管反応ガスインレットと、通気ねじと、補助反応ガスインレットとを用いる、本発明のガス供給インジェクタの一実施形態の内側ガス供給面の断面図である。二重管ガスアウトレット通気ねじ2040は、下流プレート1730と、中間プレート1720の二重管反応ガス流路2045とに結合されている。二重管反応ガス流路2045は、第1のガスのための左流路2050と、第2のガスのための右流路2060とを含み、これら右及び左の流路は、中央壁部2070によって隔てられている。補助反応ガスアウトレット2090は、同軸、二重管、又は通気ねじデザインを用いない補助反応ガス流路2080に接続されて示されていることからも明らかなように、図21A〜図21Gに示すものや、異なる口径、インレットの直径及びアウトレットの形状の通気ねじを含む、本明細書で上述した様々なインレット及びアウトレットのデザインは、多種多様のガス供給構造を可能にするために、同じガス供給インジェクタにおいて組合わせることができる。例えば、キャリヤスクリーン1735の代わりに、第1及び第2の同軸インレットを、第1及び第2の前駆物質ガスを供給するために設けることができ、この場合、該第1及び第2の前駆物質は、各同軸インレットの内側同軸流路を介して供給され、キャリヤガスは、各同軸インレットの外側同軸流路を介して供給されるようになっている。
図31は、本発明のガス供給インジェクタの一実施形態に用いられる通気ねじの斜視図である。単一の流路通気ねじ1780は、該通気ねじ1780を、該ガス供給インジェクタの上記プレートのうちの1つに固定するためのねじ山1788を備えている。中央ガスアウトレット1785は、通気ねじ1780が、該ガス供給システムのプレートのガスアウトレットの端部に固定されたときに、上記ガスが、該ねじを通って完全に通ることができるように、通気ねじ1780のボディを貫通して延在している。図32は、反応ガスの同軸供給を用いる、本発明のガス供給インジェクタの一実施形態に用いられる同軸通気ねじの斜視図である。該ねじは、該通気ねじの全長を部分的に又は完全に貫通して延在していてもよい中央放射状壁部2030を備え、この場合、腕部は、内側壁部を、該ねじのボディの残りの部分に結合している。中央放射状壁部2030は、外側ガスアウトレット2010と内側ガスアウトレット2020とを隔てており、該アウトレットは、有利には、該通気ねじが、例えば、ねじ山2040を介して固定される該プレート内の同軸ガス流路に結合されている。
本発明が、上述した目的及び効果、及び本明細書に特有の効果を実現するのによく適していることは、明らかであろう。本発明の好ましい実施形態は、本開示のために説明されてきたが、それらの実施形態が、単に、本発明の原理及び用途を例証し、また、本発明の範囲内にはっきりと入っている様々な変形及び変更が可能であることを理解すべきである。例えば、上記堆積システムは、どのような形状であってもよく、また、それら自体がどのような形状であってもよい、いくつのゾーンに分けられていてもよい。また、前駆物質濃度以外の変数は、ゾーンごとに制御されてもよい。例えば、前駆物質圧力又は局所的なプラズマ増加は、ゾーンごとに制御されてもよい。当業者が容易に思いつくであろう、及び添付請求項によって開示されかつ定義される本発明の精神及び範囲に包含される、多数の他の変形が可能である。
本発明は、回転ディスク等の化学気相成長リアクタに対する産業上の用途を有するが、例えば、エッチング等の他の工業用化学気相成長及びクリーニング装置にも適用することができる。
本発明の一実施形態によるガス供給インジェクタを備えるリアクタの単純化した断面図である。 本発明のガス供給インジェクタの一実施形態の断面図である。 図2のガス供給インジェクタの実施形態の拡大断面図である。 光学ビューポートを組み込んだ、本発明による図2及び図3のインジェクタの別の断面図である。 リアクタ内の下から見た、図2〜図4のガス供給インジェクタの部分平面図である。 本発明によるガス供給インジェクタの単純化した断面図である。 前駆物質インレット及びキャリヤインレットの「モザイク」パターンを示すもので、下から見た本発明のガス供給インジェクタのまた別の実施形態の概略図である。 第1及び第2の前駆物質インレット及びキャリヤプレートのあるパターンを示すもので、下から見た本発明のガス供給インジェクタの別の実施形態の概略図である。 第1の前駆物質インレット、第2の前駆物質インレット及びキャリヤスクリーンの「チェッカー盤」パターンを示すもので、下から見た本発明のガス供給インジェクタのさらに別の実施形態の概略図である。 中央光学ビューポートを備えた、第1の前駆物質インレット、第2の前駆物質インレット及びキャリヤインレットの「モザイク」パターンを示すもので、下から見た本発明のガス供給インジェクタのまた別の実施形態の概略図である。 前駆物質ガス及びキャリヤガスの可変濃度ゾーンを示すもので、下から見た本発明のガス供給インジェクタの実施形態の平面図である。 前駆物質ガス及びキャリヤガスの可変濃度ゾーンを含むもので、下から見た本発明のガス供給インジェクタの他の実施形態の斜視図である。 図11のガス供給インジェクタの断面斜視図である。 図12の拡大部分の図である。 図11〜図13のガス供給インジェクタと共に用いられるゾーン式底部プレートの断面斜視図である。 図11〜図14のガス供給インジェクタと共に用いられるゾーン式中間プレートの断面斜視図である。 図11〜図15のガス供給インジェクタのゾーン式上部プレートの一実施形態の平面図である。 図16のガス供給インジェクタと共に用いるための同軸前駆物質インレットの一実施形態の拡大図である。 可変濃度の3つのゾーンにおいて、第1の前駆物質インレット、第2の前駆物質インレット及びキャリヤインレットのゾーン式「チェッカー盤」パターンを示すもので、下から見た本発明のガス供給インジェクタの一実施形態の概略図である。 可変濃度の3つのゾーンにおいて、二重管又は同軸の第1及び第2の前駆物質インレット及びキャリヤインレットのゾーン式二重管「チェッカー盤」パターンを示すもので、下から見た本発明のガス供給インジェクタの一実施形態の概略図である。 図19のガス供給インジェクタと共に用いるための二重管前駆物質インレットの一実施形態の拡大図である。 本発明のガス供給インジェクタと共に用いるためのインレットの実施形態の断面図である。 本発明のガス供給インジェクタと共に用いるためのインレットの実施形態の断面図である。 本発明のガス供給インジェクタと共に用いるためのインレットの実施形態の断面図である。 本発明のガス供給インジェクタと共に用いるためのインレットの実施形態の断面図である。 本発明のガス供給インジェクタと共に用いるためのインレットの実施形態の断面図である。 本発明のガス供給インジェクタと共に用いるためのインレットの実施形態の断面図である。 本発明のガス供給インジェクタと共に用いるためのインレットの実施形態の断面図である。 ガスの反応室への伝達のために用いられる通気ねじを備えたもので、本発明のガス供給インジェクタの別の実施形態の単純化した平面図である。 複数のガス供給プレートを用い、かつガスの反応室への伝達のために用いられる通気ねじを備えたもので、本発明のガス供給インジェクタの他の実施形態の分解組立図である。 図22に示すガス供給インジェクタの実施形態の上流プレートの斜視図である。 図22に示すガス供給インジェクタの実施形態の上流プレートの下流(底部)の平面図である。 図22に示すガス供給インジェクタの実施形態の中間プレートの斜視図である。 冷却室閉塞片の上流面への溶接前であって、図22に示すガス供給インジェクタの実施形態の中間プレートの斜視図である。 冷却室閉塞片の上流面への溶接後であって、図22に示すガス供給インジェクタの実施形態の中間プレートの斜視図である。 図22に示すガス供給インジェクタの実施形態の下流プレートの下流の平面図である。 圧力差を生成するために、反応性ガスインレット流路内に配置された多孔質材料を含む、本発明のガス供給インジェクタの一実施形態の断面図である。 同軸反応性ガスインレット及び通気ねじを用いるもので、本発明のガス供給インジェクタの一実施形態の内部ガス供給面の断面図である。 二重管反応性ガスインレット、通気ねじ及び補助反応性ガスインレットを用いるものであって、本発明のガス供給インジェクタの一実施形態の内部ガス供給面の断面図である。 本発明のガス供給インジェクタの一実施形態で用いられる通気ねじの斜視図である。 反応性ガスの同軸供給を用いるものであって、本発明のガス供給インジェクタの一実施形態に用いられる同軸通気ねじの斜視図である。

Claims (49)

  1. (a)複数のストリームが、インジェクタから、反応室内に配置された1つ以上の基板へ向かう下流方向への速度成分を有するように、少なくとも1種類の前駆物質ガスを、ガス供給インジェクタの複数の離間した前駆物質インレットを介して前記複数のストリームとして前記反応室内に放出することであって、前記少なくとも1種類の前駆物質ガスが、前記1つ以上の基板上に反応堆積物を形成するように反応することと、同時に、
    (b)前記少なくとも1種類の前駆物質ガスとは実質的に反応しない少なくとも1種類のキャリヤガスを、前記前駆物質インレットのうちの複数の隣接するインレット間の前記インジェクタから前記反応室内に放出することと、
    を含む、化学気相成長の方法。
  2. 前記少なくとも1種類のキャリヤガスを放出するステップが、前記前駆物質インレットの隣接するインレット間に延在する、前記インジェクタ内の多孔質構造物を介して前記キャリヤガスを放出することを含む、請求項1に記載の方法。
  3. 前記少なくとも1種類のキャリヤガスを放出するステップが、前記前駆物質インレットの隣接するインレット間に配置された、前記インジェクタ内の複数の離間したキャリヤインレットを介して前記キャリヤガスを放出することを含む、請求項1に記載の方法。
  4. 前記1つ以上の基板を、前記反応室内で、前記下流方向へ延在する軸の周りに回転させることをさらに含む、請求項1に記載の方法。
  5. 前記少なくとも1種類のガスの単位面積当たりの質量流量を、前記軸からの半径方向距離によって変化させることをさらに含む、請求項4に記載の方法。
  6. 前記少なくとも1種類の前駆物質ガスを放出するステップが、第1の前駆物質ガスを放出することと、前記第1の前駆物質ガスと反応する第2の前駆物質ガスを放出することとを含む、請求項1に記載の方法。
  7. 前記第1及び第2の前駆物質ガスを放出するステップが、互いに離間した複数の第1の前駆物質インレットを介して前記第1の前駆物質ガスを放出することと、前記第1の前駆物質インレットと共に散りばめられた複数の第2の前駆物質インレットを介して第2の前駆物質ガスを放出することとを含み、前記キャリヤガスを放出することが、前記第1の前駆物質インレットと前記第2の前駆物質インレットとの間に前記キャリヤガスを放出することを含む、請求項6に記載の方法。
  8. 前記第1及び第2の前駆物質ガスを放出するステップが、前記第1の前駆物質ガス及び第2の前駆物質ガスを、前記前駆物質インレットのうちの少なくともいくつかを介して、同心状のストリームとして放出することを含み、そのような同心状ストリームの各々が、前記第1の前駆物質ガスからなるストリームを少なくとも部分的に取り囲む、前記第2の前駆物質ガスからなるストリームを含む、請求項6に記載の方法。
  9. 前記少なくとも1種類のキャリヤガスを放出するステップが、前記第1の前駆物質インレット及び前記第2の前駆物質インレットの隣接するインレットの間に延在する、前記インジェクタ内の多孔質スクリーンを含む複数のキャリヤ開口部を通して、前記キャリヤを放出することを含む、請求項6に記載の方法。
  10. 前記少なくとも1種類のキャリヤを放出するステップが、前記第1の前駆物質インレット及び前記第2の前駆物質インレットのうちの隣接するインレットの間に配置された、前記インジェクタ内の複数の離間したキャリヤインレットを含む複数のキャリヤ開口部を介して前記キャリヤを放出することを含む、請求項6に記載の方法。
  11. 前記第1の前駆物質ガスを放出するステップ及び前記第2の前駆物質ガスを放出するステップが、少なくとも部分的に互いに非同時に行われる、請求項6に記載の方法。
  12. 前記1つ以上の基板を、前記反応室内で、前記下流方向へ延在する軸の周りに回転させるステップをさらに含み、前記第1の前駆物質を放出するステップ及び前記第2の前駆物質を放出するステップは、前記第1及び第2の前駆物質のうちの少なくとも一方が、前記軸からの半径方向距離によって変化する単位面積当たりの質量流量を有するように実行される、請求項6に記載の方法。
  13. 前記インレットのうちの少なくとも一部の個々のものに関連する個々の流量制限装置によって、前記ストリームのうちの少なくとも一部の流量を個別に制御するステップをさらに含む、請求項1に記載の方法。
  14. 下流方向に面している内面を画定し、かつ水平方向の広がりを有する構造と、水平方向に離間した前駆物質インレット位置で、前記内面に対して開口した複数の前駆物質インレットと、1つ以上の前駆物質ガス接続部と、前記1つ以上の前駆物質ガス接続部を前記前駆物質インレットに接続する1つ以上の前駆物質マニホールドとを備え、前記構造が、第1及び第2の面を有する多孔質要素を備え、前記多孔質要素の前記第2の面が、前記前駆物質インレット位置の少なくとも一部の間に前記内面の少なくとも一部を画定し、前記構造がさらに、前記多孔質要素の前記第1の面に少なくとも部分的に隣接するキャリヤガスマニホールドを画定し、少なくとも1種類のキャリヤガス接続部が、前記キャリヤガスマニホールドと連通している、化学気相成長リアクタ用のガス供給インジェクタ。
  15. 前記複数の前駆物質インレットが、第1の前駆物質インレット位置で、前記内面に対して開口した第1の前駆物質インレットと、第2の前駆物質インレット位置で、前記内面に対して開口した第2の前駆物質インレットとを備え、前記1つ以上の前駆物質ガス接続部が、1つ以上の第1の前駆物質接続部及び1つ以上の第2の前駆物質接続部を備え、前記1つ以上の前駆物質マニホールドが、前記1つ以上の第1の前駆物質接続部及び前記第1の前駆物質インレットを接続する1つ以上の第1の前駆物質マニホールドと、前記第2の前駆物質接続部及び前記第2の前駆物質インレットを接続する1つ以上の第2の前駆物質マニホールドとを備え、前記第1及び第2の前駆物質インレット位置のうちの少なくともいくつかが、前記内面の水平方向の広がりの少なくとも一部の上で互いに散在されており、前記多孔質要素が、前記第1及び第2の前駆物質インレット位置のうちの少なくともいくつかの間に延在している、請求項14に記載のインジェクタ。
  16. 前記構造がさらに、1つ以上の冷却剤流路を画定し、前記冷却剤流路が、そこを流れる前記冷却剤流路のための蛇行経路を画定する冷却剤流路壁に囲まれており、前記冷却剤流路が、前記前駆物質インレット又は前記キャリヤガスマニホールドと連通しておらず、前記前駆物質インレットが、前記冷却剤流路壁を貫通して延在しており、前記冷却剤流路が、そこを流れる冷却剤の伝達のための冷却剤流入ポート及び冷却剤排出ポートに結合されている、請求項14に記載のインジェクタ。
  17. 前記キャリヤガスマニホールドが、前記多孔質要素と前記1つ以上の冷却剤流路との間に配置されている、請求項16に記載のインジェクタ。
  18. 前記1つ以上の冷却剤流路が、前記キャリヤガスマニホールドと前記少なくとも1種類の前駆物質ガスマニホールドとの間に配置されている、請求項16に記載のインジェクタ。
  19. 前記第1の前駆物質インレットが、前記内面の複数の同心ゾーンに配置されており、前記1つ以上の第1の前駆物質ガス接続部が複数の第1の前駆物質接続部を含み、前記1つ以上の第1の前駆物質マニホールドが複数の第1の前駆物質マニホールドを含み、前記各第1の前駆物質マニホールドが、前記ゾーンのうちの1つの第1の前駆物質インレットに接続されている、請求項15に記載のインジェクタ。
  20. 前記第1の前駆物質マニホールドが互いに同心である、請求項19に記載のインジェクタ。
  21. 前記第2の前駆物質インレットが、前記複数のゾーン内に配置されており、前記1つ以上の第2の前駆物質ガス接続部が複数の第2の前駆物質接続部を含み、前記1つ以上の第2の前駆物質マニホールドが複数の第2の前駆物質マニホールドを含み、前記各第2の前駆物質マニホールドが、前記ゾーンのうちの1つの前記第2の前駆物質インレットに接続されている、請求項19に記載のインジェクタ。
  22. 前記前駆物質接続部が、前記前駆物質インレットの各々を、前記1つ以上のマニホールドに接続する個々の導管を画定し、前記導管のうちの少なくとも一部に関連する個々の流量制限要素を備えている、請求項14に記載のインジェクタ。
  23. 前記前駆物質接続部が、前記前駆物質インレットの各々を前記1つ以上のマニホールドに接続する個々の導管を画定し、前記導管のうちの少なくとも一部に関連する個々の流量制限要素を備えている、請求項15に記載のインジェクタ。
  24. 前記個々の流量制限要素が、オリフィス及び多孔質体からなる群から選択されている、請求項14に記載のインジェクタ。
  25. 下流方向に面している内面を画定し、かつ前記下流方向と直角な水平方向に延在する構造を備え、前記構造がさらに、水平方向に離間するストリーム位置で、前記内面を貫通して開口する複数の同心ストリームインレットを画定し、前記各同心ストリームインレットが、第1のポートで前記内面に対して開口した第1のガス流路と、前記第1のポートを実質的に囲んでいる第2のポートで前記内面に対して開口した第2のガス流路とを備え、前記構造がさらに、前記第1のガス流路に接続された少なくとも1つの第1のガスマニホールドと、前記第2のガス流路に接続された少なくとも1つの第2のガスマニホールドとを備えている、化学気相成長リアクタ用インジェクタ。
  26. 前記内面によって少なくとも部分的に囲まれ、かつ前記複数の同心ストリームインレット間の前記内面の前記領域内における前記内面上の多孔質スクリーンを含むキャリヤガスマニホールドをさらに備え、前記キャリヤガスマニホールドが、前記多孔質スクリーンに接続されている、請求項25に記載のインジェクタ。
  27. 第3のガスマニホールドをさらに備え、前記同心ストリームインレットの各々が、前記第1のポートを実質的に囲んでいる第3のポートで、前記内面に対して開口した第3のガス流路を備え、前記構造がさらに、前記第3のガス流路に接続された第3のガスマニホールドを備え、前記第1、第2及び第3のガスインレットのうちの少なくとも1つがキャリヤガスインレットであり、前記第1、第2及び第3のガスマニホールドのうちの少なくとも1つがキャリヤガスマニホールドである、請求項25に記載のインジェクタ。
  28. 前記構造が、前記内面を画定する下流プレートと、前記下流プレートより上流の冷却剤チャンバとを備え、前記各同心状ストリームインレットが、第1のチューブと、前記1つの第1のチューブを取り囲み、かつ前記冷却剤チャンバと熱的に連通しているが、前記冷却剤チャンバとは流体的に連通していない第2のチューブとを備えている、請求項25に記載のインジェクタ。
  29. 前記少なくとも1つの第1のガスマニホールドが水平方向に延在している第1のガスチャンバを備え、前記少なくとも1つのガスマニホールドが、前記第1のガスチャンバの下流に配置された、水平方向に延在している第2のガスチャンバを備え、前記第1のチューブが、前記第1のガスチャンバと連通し、かつ前記第2のガスマニホールドを通って下流に延在しているが、前記第2のガスマニホールドとは流体的に連通しておらず、前記第2のチューブが前記第2のガスマニホールドと連通している、請求項28に記載のインジェクタ。
  30. 前記ストリームの配置は、前記下流方向に延在する軸を有する複数の実質的に同心のゾーン内に配列されており、前記構造が、少なくとも1つの前記チャンバを、前記軸と同心の複数のサブチャンバにさらに分割する壁部を備え、前記構造がさらに、各前記サブチャンバと連通して、ガスをそこに供給する独立したガス接続部を備えている、請求項29に記載のインジェクタ。
  31. 前記インジェクタが、第2のプレートの下流に第3のプレートを有し、かつ第1のプレートの下流に前記第2のプレートを有するボディを形成するように、互いに固定された第1、第2及び第3のプレートを備え、前記第1のガスマニホールドが、前記第1のプレートの上流に設けられており、前記第2のガスマニホールドが、前記第1のプレートと前記第2のプレートとの間に配設されており、前記キャリヤガスマニホールドが、前記第2のプレートと前記第3のプレートとの間に配設されており、前記キャリヤガススクリーンが前記第3のプレート内に配設されている、請求項26に記載のインジェクタ。
  32. 前記構造が、前記第2のプレート内に設けられた冷却剤チャンバを備え、前記各第1のインレットが第1のチューブを備え、前記各第2のインレットが、前記1つの第1のチューブを取り囲み、かつ前記冷却剤チャンバと熱的に連通しているが、前記冷却剤流路とは流体的に連通していない第2のチューブを備えている、請求項31に記載のインジェクタ。
  33. 請求項25に記載のインジェクタと、反応室と、前記インジェクタよりも下流の前記反応室内に載置された基板キャリヤとを備えるCVDリアクタであって、前記キャリヤが、前記下流方向に延在する軸の周りに回転可能である、CVDリアクタ。
  34. CVDリアクタ用のガス供給システムであって、
    下流方向に面している内面を画定し、かつ前記下流方向と直角の水平方向に延在するガス供給インジェクタ構造であって、水平方向に離間した前駆物質インレット位置で、前記内面に対して開口した複数の前駆物質インレットを画定し、前記前駆物質インレット位置の間で、前記内面に対して開口した複数のキャリヤガス開口部も画定する、前記ガス供給インジェクタ構造と、
    前記前駆物質インレットに接続されて、少なくとも1種類の前駆物質ガスを供給する、少なくとも1つの前駆物質ガスソースと、
    前記キャリヤガスが、前記少なくとも1種類の前駆物質から形成された堆積物が前記内面に堆積することを防ぐように、前記キャリヤガス開口部に接続されて、前記少なくとも1種類の前駆物質ガスと実質的に反応しない少なくとも1種類の前記キャリヤガスを前記キャリヤガス開口部に供給する、少なくとも1つのキャリヤガスソースと、
    を備えている、ガス供給システム。
  35. 前記インジェクタ構造が、前記内面の少なくとも一部分を画定し、かつ少なくともいくつかの前記キャリヤ開口部を画定する多孔質要素を備えている、請求項34に記載のシステム。
  36. 前記多孔質要素が、前記前駆物質インレット位置の各々を実質的に取り囲み、前記多孔質要素が、互いに隣接する前駆物質インレット位置の各ペアの間に延在している、請求項35に記載のシステム。
  37. 内部空間を画定する反応室と、前記内部空間に面している前記内面を有し、かつ前記インレットの前記開口部が、前記内部空間と連通している状態で前記反応室に接続された、請求項34に記載のインジェクタとを備えている、リアクタ。
  38. 前記前駆物質インレット位置が、第1のパターンで配置されており、前記インジェクタ構造が、前記第1のパターンと共に散りばめられた第2のパターンで、複数の水平方向に離間したキャリヤ配置で、前記キャリヤ開口部を画定する複数のキャリヤインレットを備えている、請求項34に記載のシステム。
  39. 前記キャリヤインレットの第2のパターンが、前記前駆物質インレットの第1のパターンの間に一様に分布している、請求項38に記載のシステム。
  40. 前記複数のリアクタインレット及び前記複数のキャリヤインレットが、前記インジェクタボディ上にチェッカー盤パターンを形成している、請求項38に記載のシステム。
  41. 前記前駆物質インレットが、複数のゾーン内の前記内面に配置されており、前記少なくとも1種類の前駆物質ガスソースが複数の前駆物質ガスソースを含み、前記ゾーンのうちの異なるゾーンの前記前駆物質インレットが、前記前駆物質ガスソースの異なるソースに接続されている、請求項34に記載のシステム。
  42. 前記複数の前駆物質インレットが、第1の前駆物質インレット位置で前記内面に対して開口する第1の前駆物質インレットと、第2の前駆物質インレット位置で前記内面に対して開口する第2の前駆物質インレットとを含み、前記1つ以上の前駆物質ガスソースが、前記第1の前駆物質インレットに接続された1つ以上の第1の前駆物質ガスソースと、前記第2の前駆物質インレットに接続された1つ以上の第2の前駆物質ガスソースとを含み、前記第1及び第2の前駆物質インレット位置の少なくともいくつかが、前記内面の水平方向の広がりの少なくとも一部の上で、互いに散りばめられており、前記キャリヤインレット開口部が、前記第1及び第2の前駆物質インレット位置の少なくともいくつかの間に配置されている、請求項34に記載のシステム。
  43. 前記第1及び第2の前駆物質インレットが、複数のゾーン内の前記内面に配置されており、前記少なくとも1つの前駆物質ガスソースが複数の前駆物質ガスソースを含み、前記ゾーンのうちの異なるゾーンの前記第1の前駆物質インレットが、前記前駆物質ガスソースのうちの異なるソースに接続されている、請求項42に記載のシステム。
  44. 前記前駆物質インレットのうちの少なくともいくつかが二重ポートインレットであり、そのような各二重ポートインレットが、第1の注入流路と、隣り合って延在する第2の注入流路と、前記流路を互いに隔てている共通の壁部とを備え、前記少なくとも1つの前駆物質ソースが、前記第1の流路に接続された第1の前駆物質ソースと、前記第2の流路に接続された第2の前駆物質ソースとを備えている、請求項34に記載のシステム。
  45. 前記前駆物質インレットのうちの少なくともいくつかが同心状のインレットであり、そのような各二重ポートインレットが、第1の注入流路と、前記第1の注入流路を取り囲む第2の注入流路とを備え、前記少なくとも1つの前駆物質ソースが、前記第1の流路に接続された第1の前駆物質ソースと、前記第2の流路に接続された第2の前駆物質ソースとを備えている、請求項34に記載のシステム。
  46. 下流方向に面し、かつ前記下流方向と直角の水平方向に延在する内面を画定する構造を備える化学気相成長リアクタ用のインジェクタであって、前記構造がさらに、少なくとも1つのマニホールドと、水平方向に離間したインレット位置で前記内面を通る複数のインレット開口部と、前記インレットの各々を前記1つのマニホールドに接続する個々の導管とを画定し、前記構造が、前記導管のうちの少なくともいくつかに関連する個々の流量制限要素を備えている、インジェクタ。
  47. 前記構造が、前記マニホールドと、前記個々の導管の少なくとも一部とを画定する1つ以上のプレートを備え、前記流量制限要素が、前記1つ以上のプレートから個々に取外し可能である、請求項46に記載のインジェクタ。
  48. 前記個々の流量制限要素が、前記導管のうちの少なくともいくつかの中に配置された多孔質ボディを備えている、請求項47に記載のインジェクタ。
  49. 前記流量制限要素が、前記内面に配置されたオリフィス要素を含み、前記オリフィス要素が、前記内面における前記インレットの開口部を画定している、請求項47に記載のインジェクタ。
JP2007524857A 2004-08-02 2005-07-29 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム Expired - Fee Related JP5519105B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US59817204P 2004-08-02 2004-08-02
US60/598,172 2004-08-02
PCT/US2005/026891 WO2006020424A2 (en) 2004-08-02 2005-07-29 Multi-gas distribution injector for chemical vapor deposition reactors

Publications (3)

Publication Number Publication Date
JP2008508744A true JP2008508744A (ja) 2008-03-21
JP2008508744A5 JP2008508744A5 (ja) 2008-09-11
JP5519105B2 JP5519105B2 (ja) 2014-06-11

Family

ID=35908034

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007524857A Expired - Fee Related JP5519105B2 (ja) 2004-08-02 2005-07-29 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム

Country Status (6)

Country Link
US (2) US20060021574A1 (ja)
JP (1) JP5519105B2 (ja)
KR (1) KR101309334B1 (ja)
CN (2) CN102154628B (ja)
TW (1) TWI319783B (ja)
WO (1) WO2006020424A2 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010027868A (ja) * 2008-07-18 2010-02-04 Toshiba Corp 気相成長装置及び気相成長方法
JP2013145873A (ja) * 2011-12-15 2013-07-25 Nuflare Technology Inc 成膜装置および成膜方法
JP2013172153A (ja) * 2012-02-17 2013-09-02 Tokyo Electron Ltd Mocvd反応器用シャワーヘッド、mocvd反応器、mocvd装置及び洗浄方法
JP2014512458A (ja) * 2011-03-18 2014-05-22 アプライド マテリアルズ インコーポレイテッド 多レベルシャワーヘッド設計
WO2014203535A1 (ja) * 2013-06-21 2014-12-24 株式会社デンソー 炭化珪素半導体成膜装置およびそれを用いた成膜方法
JP2015181152A (ja) * 2014-02-27 2015-10-15 ラム リサーチ コーポレーションLam Research Corporation ウエハ均一性を改善するための装置および方法
US9422624B2 (en) 2014-01-24 2016-08-23 Tokyo Electron Limited Heat treatment method
US9435026B2 (en) 2013-03-28 2016-09-06 Tokyo Electron Limited Film deposition apparatus
US9624603B2 (en) 2013-01-30 2017-04-18 Nuflare Technology, Inc. Vapor phase growth apparatus having shower plate with multi gas flow passages and vapor phase growth method using the same
JP2018093150A (ja) * 2016-12-07 2018-06-14 東京エレクトロン株式会社 成膜装置及び成膜方法
JP2020088339A (ja) * 2018-11-30 2020-06-04 昭和電工株式会社 SiCエピタキシャル成長装置

Families Citing this family (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4714021B2 (ja) 2003-08-20 2011-06-29 ビーコ・インストゥルメンツ・インコーポレイテッド 基板の表面に均一なエピタキシャル層を成長させる方法および回転ディスク式反応器
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
ITMI20050962A1 (it) * 2005-05-25 2006-11-26 Lpe Spa Dispositivo per introurre gas di reazione in una camera di reazione e reattore epitassiale che lo utilizza
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
DE102005055468A1 (de) * 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
CN101137266B (zh) * 2006-08-28 2012-04-11 北京北方微电子基地设备工艺研究中心有限责任公司 气体注射装置
JP2008066413A (ja) * 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
WO2008041991A1 (en) * 2006-10-06 2008-04-10 Veeco Instruments Inc. Density-matching alkyl push flow for vertical flow rotating disk reactors
TWI410518B (zh) * 2006-10-24 2013-10-01 Applied Materials Inc 用於原子層沉積的渦流室蓋
US7976634B2 (en) 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US20080156264A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
KR101464227B1 (ko) * 2007-01-12 2014-11-21 비코 인스트루먼츠 인코포레이티드 가스 처리 시스템
WO2008118483A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (cvd) apparatus
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US8092599B2 (en) 2007-07-10 2012-01-10 Veeco Instruments Inc. Movable injectors in rotating disc gas reactors
KR100920417B1 (ko) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
JP2009088229A (ja) * 2007-09-28 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法、記憶媒体及びガス供給装置
JP2011500961A (ja) 2007-10-11 2011-01-06 バレンス プロセス イクウィップメント,インコーポレイテッド 化学気相成長反応器
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
JP5587205B2 (ja) 2007-12-20 2014-09-10 ソイテック エピタキシャル成長基板に前駆体ガスを送出するための装置
KR101444873B1 (ko) * 2007-12-26 2014-09-26 주성엔지니어링(주) 기판처리장치
KR20090078538A (ko) * 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
JP5179389B2 (ja) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 シャワーヘッド及び基板処理装置
US8110068B2 (en) * 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
US9591738B2 (en) * 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
KR101004927B1 (ko) * 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
KR100994920B1 (ko) * 2008-06-05 2010-11-17 주식회사 소로나 기상 자기조립 단분자막 코팅장치
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
CN102099505A (zh) * 2008-07-30 2011-06-15 京瓷株式会社 沉积膜形成装置及沉积膜形成方法
CN100568453C (zh) * 2008-08-22 2009-12-09 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、气体分配装置以及气体输送方法
US8916022B1 (en) 2008-09-12 2014-12-23 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US9121096B2 (en) * 2008-10-10 2015-09-01 Alta Devices, Inc. Concentric showerhead for vapor deposition
US20100104754A1 (en) * 2008-10-24 2010-04-29 Applied Materials, Inc. Multiple gas feed apparatus and method
US8895107B2 (en) 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
CN103352206B (zh) * 2008-12-04 2015-09-16 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
TW201043724A (en) * 2009-03-16 2010-12-16 Alta Devices Inc Heating lamp system and methods thereof
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
DE102009043840A1 (de) * 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
CN102471886A (zh) * 2009-08-28 2012-05-23 京瓷株式会社 沉积膜形成装置及沉积膜形成方法
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR20110054840A (ko) * 2009-11-18 2011-05-25 주식회사 아토 샤워헤드 어셈블리 및 이를 구비한 박막증착장치
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101313262B1 (ko) 2010-07-12 2013-09-30 삼성전자주식회사 화학 기상 증착 장치 및 이를 이용한 반도체 에피 박막의 제조 방법
US9303319B2 (en) * 2010-12-17 2016-04-05 Veeco Instruments Inc. Gas injection system for chemical vapor deposition using sequenced valves
MY173889A (en) 2010-12-23 2020-02-26 Element Six Ltd Controlling doping of synthetic diamond material
GB201021870D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8143147B1 (en) 2011-02-10 2012-03-27 Intermolecular, Inc. Methods and systems for forming thin films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US20120272892A1 (en) * 2011-04-07 2012-11-01 Veeco Instruments Inc. Metal-Organic Vapor Phase Epitaxy System and Process
DK2524974T3 (da) * 2011-05-18 2014-08-11 Riber Injektor til et vakuuminddampningssystem
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
TWI512139B (zh) * 2011-09-16 2015-12-11 Kern Energy Entpr Co Ltd 薄膜製程設備及其製作流程
CN103014667B (zh) * 2011-09-23 2015-07-01 理想能源设备(上海)有限公司 化学气相沉积装置
CN103031534B (zh) * 2011-09-28 2015-05-13 核心能源实业有限公司 薄膜工艺设备及其制作方法
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US20130145989A1 (en) * 2011-12-12 2013-06-13 Intermolecular, Inc. Substrate processing tool showerhead
CN102586739A (zh) * 2012-03-14 2012-07-18 无锡康力电子有限公司 真空镀膜用布气系统
TWI498273B (zh) * 2012-04-02 2015-09-01 Nat Applied Res Laboratories 微型篩網裝置及其製造方法
JP2013229493A (ja) * 2012-04-26 2013-11-07 Sharp Corp Iii族窒化物半導体積層基板およびiii族窒化物半導体電界効果トランジスタ
US20130295283A1 (en) * 2012-05-07 2013-11-07 Pinecone Material Inc. Chemical vapor deposition apparatus with multiple inlets for controlling film thickness and uniformity
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140061039A1 (en) * 2012-09-05 2014-03-06 Applied Materials, Inc. Target cooling for physical vapor deposition (pvd) processing systems
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10174422B2 (en) * 2012-10-25 2019-01-08 Applied Materials, Inc. Apparatus for selective gas injection and extraction
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP6058515B2 (ja) * 2013-10-04 2017-01-11 漢民科技股▲分▼有限公司 気相成膜装置
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
CN103911657A (zh) * 2013-11-25 2014-07-09 东莞市中镓半导体科技有限公司 一种化合物半导体大面积气相外延用喷口分布方式
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
CN104752275B (zh) * 2013-12-29 2018-01-09 北京北方华创微电子装备有限公司 工艺腔室以及半导体加工设备
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
US9514933B2 (en) 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
TWI545224B (zh) * 2014-02-26 2016-08-11 國立中央大學 用於有機金屬化學氣相沉積設備之進氣系統
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
CN105940142A (zh) * 2014-03-15 2016-09-14 威科Ald有限公司 通过将清洁气体注射到沉积装置中来清洁沉积装置
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
JP6320824B2 (ja) * 2014-03-31 2018-05-09 株式会社東芝 ガス供給管、およびガス処理装置
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
DE102014106523A1 (de) * 2014-05-09 2015-11-12 Aixtron Se Vorrichtung und Verfahren zum Versorgen einer CVD- oder PVD-Beschichtungseinrichtung mit einem Prozessgasgemisch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9840777B2 (en) * 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid
JP2016081945A (ja) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
FR3029939A1 (fr) * 2014-12-16 2016-06-17 Saint-Gobain Lumilog Reacteur de depot chimique en phase vapeur
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
TW201623681A (zh) * 2014-12-26 2016-07-01 Advanced Micro Fab Equip Inc 氣體噴淋頭及沉積裝置
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6811732B2 (ja) * 2015-06-17 2021-01-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 処理チャンバ中のガス制御
TWI723997B (zh) * 2015-06-19 2021-04-11 美商應用材料股份有限公司 用於批次處理之注射器及使用方法
JP5961733B1 (ja) * 2015-07-30 2016-08-02 雅彰 高野 脈動流体または断続流体の生成装置
US9748113B2 (en) 2015-07-30 2017-08-29 Veeco Intruments Inc. Method and apparatus for controlled dopant incorporation and activation in a chemical vapor deposition system
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10780447B2 (en) * 2016-04-26 2020-09-22 Applied Materials, Inc. Apparatus for controlling temperature uniformity of a showerhead
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10266947B2 (en) 2016-08-23 2019-04-23 Lam Research Corporation Rotary friction welded blank for PECVD heated showerhead
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
CN110050333B (zh) * 2016-12-08 2023-06-09 应用材料公司 时间性原子层沉积处理腔室
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
CN107195526A (zh) * 2017-06-08 2017-09-22 上海华力微电子有限公司 一种减少机台的部件之间摩擦的方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
DE102017124456A1 (de) 2017-10-19 2019-04-25 Heraeus Noblelight Gmbh Beheizbarer Gasinjektor
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
KR20200087267A (ko) 2017-12-08 2020-07-20 램 리써치 코포레이션 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
KR20200091491A (ko) * 2017-12-20 2020-07-30 램 리써치 코포레이션 합금 원자 층 증착에서 전구체들의 균질 혼합을 위한 시스템들 및 방법들
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102329735B1 (ko) 2018-08-24 2021-11-22 주식회사 엘지화학 코팅기
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
TWI689618B (zh) * 2018-10-04 2020-04-01 漢民科技股份有限公司 應用於半導體設備之氣體噴射裝置
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111101117B (zh) * 2018-10-29 2022-07-22 北京北方华创微电子装备有限公司 匀气装置和半导体处理设备
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210127768A (ko) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버들을 위한 덮개 조립체 장치 및 방법들
DE102019119019A1 (de) * 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
US11564292B2 (en) * 2019-09-27 2023-01-24 Applied Materials, Inc. Monolithic modular microwave source with integrated temperature control
EP4067532A4 (en) * 2019-11-27 2023-08-16 Sino Nitride Semiconductor Co, Ltd GAN MATERIAL GROWTH LINEAR SPRAY HEAD
US11225716B2 (en) * 2019-11-27 2022-01-18 Tokyo Electron Limited Internally cooled multi-hole injectors for delivery of process chemicals
US11486039B2 (en) 2020-05-18 2022-11-01 Ohio State Innovation Foundation Laser-assisted metal-organic chemical vapor deposition devices and methods of use thereof
KR20230049716A (ko) * 2020-08-18 2023-04-13 매슨 테크놀로지 인크 냉각 시스템을 갖는 급속 열 처리 시스템
DE102020123076A1 (de) 2020-09-03 2022-03-03 Aixtron Se Gaseinlassorgan eines CVD-Reaktors mit zwei Einspeisestellen
CN112813417A (zh) * 2020-12-28 2021-05-18 厦门理工学院 一种沉积装置及系统
US11846024B2 (en) 2021-03-15 2023-12-19 Ohio State Innovation Foundation Laser-assisted metal-organic chemical vapor deposition devices and methods of use thereof for suppressing background carbon incorporation
JP7315607B2 (ja) * 2021-03-16 2023-07-26 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
CN115404463B (zh) * 2022-10-31 2023-03-24 上海星原驰半导体有限公司 原子层沉积设备及原子层沉积喷淋装置
WO2024118472A1 (en) * 2022-11-28 2024-06-06 Veeco Instruments Inc. Multi-disc chemical vapor deposition system with cross flow gas injection

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04287912A (ja) * 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JPH05144753A (ja) * 1991-11-21 1993-06-11 Nissin Electric Co Ltd 薄膜気相成長装置
JPH0891989A (ja) * 1994-06-14 1996-04-09 Thomas Swan & Co Ltd 化学蒸着に関する改良
JPH08291385A (ja) * 1995-04-20 1996-11-05 Tokyo Electron Ltd 処理装置のシャワーヘッド構造及び処理ガスの供給方法
JPH092896A (ja) * 1995-04-20 1997-01-07 Ebara Corp 薄膜気相成長装置
JP2001064777A (ja) * 1999-08-30 2001-03-13 Ebara Corp ガス噴射ヘッド
JP2001185491A (ja) * 1999-12-24 2001-07-06 Ulvac Japan Ltd 雛壇形シャワーヘッド、及びそのシャワーヘッドを用いた真空処理装置
JP2004172386A (ja) * 2002-11-20 2004-06-17 Furukawa Co Ltd 気相成長装置のガス吹き出し部

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3297501A (en) * 1963-12-31 1967-01-10 Ibm Process for epitaxial growth of semiconductor single crystals
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
US3888705A (en) * 1973-12-19 1975-06-10 Nasa Vapor phase growth of groups iii-v compounds by hydrogen chloride transport of the elements
JPS5152208A (en) * 1974-10-30 1976-05-08 Koden Electronics Co Ltd Jushinkino toratsukinguhoho
JPS6047202B2 (ja) * 1976-01-13 1985-10-21 東北大学金属材料研究所長 超硬高純度の配向多結晶質窒化珪素
CH628600A5 (fr) * 1979-02-14 1982-03-15 Siv Soc Italiana Vetro Procede pour deposer en continu, sur la surface d'un substrat porte a haute temperature, une couche d'une matiere solide et installation pour la mise en oeuvre de ce procede.
IT1134153B (it) * 1979-11-21 1986-07-31 Siv Soc Italiana Vetro Ugello per depositare in continuo su un substrato uno strato di una materia solida
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
JPS58176196A (ja) * 1982-04-06 1983-10-15 Matsushita Electric Ind Co Ltd 化合物結晶成長装置
JPS6081093A (ja) * 1983-10-06 1985-05-09 Ulvac Corp 気相エピタキシヤル成長用化学反応装置
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US5322568A (en) * 1985-12-28 1994-06-21 Canon Kabushiki Kaisha Apparatus for forming deposited film
JPS62199019A (ja) * 1986-02-27 1987-09-02 Oki Electric Ind Co Ltd ウエハ処理装置
US4839145A (en) * 1986-08-27 1989-06-13 Massachusetts Institute Of Technology Chemical vapor deposition reactor
FR2604917B1 (fr) * 1986-10-09 1989-01-27 Aerospatiale Procede, cellule et dispositif de cristallogenese, notamment par vaisseau spatial
DE3869793D1 (de) * 1987-01-27 1992-05-14 Asahi Glass Co Ltd Gaszufuehrungsrohr fuer die reaktive abscheidung aus der gasphase.
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JPH0644986B2 (ja) * 1988-05-08 1994-06-15 忠弘 大見 プロセスガス供給配管装置
US5156820A (en) * 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
DE69006809T2 (de) * 1989-09-12 1994-09-15 Shinetsu Chemical Co Vorrichtung für die Verdampfung und Bereitstellung von Organometallverbindungen.
US4983358A (en) * 1989-09-13 1991-01-08 Sverdrup Technology, Inc. Niobium-aluminum base alloys having improved, high temperature oxidation resistance
US5077875A (en) * 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
US5094974A (en) * 1990-02-28 1992-03-10 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Growth of III-V films by control of MBE growth front stoichiometry
US4985111A (en) * 1990-03-02 1991-01-15 Chemcut Corporation Process and apparatus for intermittent fluid application
JP2626925B2 (ja) * 1990-05-23 1997-07-02 三菱電機株式会社 基板処理装置および基板処理方法
US5136975A (en) * 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5269847A (en) * 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
EP0502209B1 (en) * 1990-09-21 1997-05-14 Fujitsu Limited Method and apparatus for growing compound semiconductor crystals
JPH0766919B2 (ja) * 1991-02-20 1995-07-19 株式会社半導体プロセス研究所 半導体製造装置
US5336324A (en) * 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
JPH069297A (ja) * 1991-12-09 1994-01-18 Sumitomo Electric Ind Ltd 成膜装置
US5803977A (en) * 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
TW289839B (ja) * 1993-02-09 1996-11-01 Gen Instrument Corp
US5578129A (en) * 1993-03-17 1996-11-26 Tokyo Electron Limited Gas supplying head and load lock chamber of semiconductor processing system
JPH07142394A (ja) * 1993-11-12 1995-06-02 Sony Corp Cvd方法及びcvd装置
KR950020993A (ko) * 1993-12-22 1995-07-26 김광호 반도체 제조장치
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US6409828B1 (en) * 1994-10-31 2002-06-25 Texas Instruments Incorporated Method and apparatus for achieving a desired thickness profile in a flow-flange reactor
US5516722A (en) * 1994-10-31 1996-05-14 Texas Instruments Inc. Method for increasing doping uniformity in a flow flange reactor
JPH08255795A (ja) * 1995-03-15 1996-10-01 Sony Corp 半導体製造方法および装置
US5935337A (en) * 1995-04-20 1999-08-10 Ebara Corporation Thin-film vapor deposition apparatus
US5683517A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5653807A (en) * 1996-03-28 1997-08-05 The United States Of America As Represented By The Secretary Of The Air Force Low temperature vapor phase epitaxial system for depositing thin layers of silicon-germanium alloy
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3360539B2 (ja) * 1996-07-12 2002-12-24 信越半導体株式会社 ガス供給装置及び気相成長用設備
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6090210A (en) * 1996-07-24 2000-07-18 Applied Materials, Inc. Multi-zone gas flow control in a process chamber
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
KR100242982B1 (ko) * 1996-10-17 2000-02-01 김영환 반도체 장비의 가스 공급 장치
US6039812A (en) * 1996-10-21 2000-03-21 Abb Research Ltd. Device for epitaxially growing objects and method for such a growth
US5992463A (en) * 1996-10-30 1999-11-30 Unit Instruments, Inc. Gas panel
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US6280793B1 (en) * 1996-11-20 2001-08-28 Micron Technology, Inc. Electrostatic method and apparatus for vaporizing precursors and system for using same
US6136186A (en) * 1997-01-31 2000-10-24 Lynntech, Inc. Photocatalytic oxidation of organics using a porous titanium dioxide membrane and an efficient oxidant
JPH10306377A (ja) * 1997-05-02 1998-11-17 Tokyo Electron Ltd 微量ガス供給方法及びその装置
GB9724168D0 (en) * 1997-11-14 1998-01-14 Air Prod & Chem Gas control device and method of supplying gas
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
KR100505310B1 (ko) * 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
KR100282853B1 (ko) * 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
US6185839B1 (en) * 1998-05-28 2001-02-13 Applied Materials, Inc. Semiconductor process chamber having improved gas distributor
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6289842B1 (en) * 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6120606A (en) * 1998-06-26 2000-09-19 Acer Semiconductor Manufacturing Inc. Gas vent system for a vacuum chamber
US6080241A (en) * 1998-09-02 2000-06-27 Emcore Corporation Chemical vapor deposition chamber having an adjustable flow flange
KR100273474B1 (ko) * 1998-09-14 2000-12-15 이경수 화학기상 증착장치의 가스 공급장치와 그 제어방법
US6261374B1 (en) * 1998-09-29 2001-07-17 Applied Materials, Inc. Clog resistant gas delivery system
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
NL1011017C2 (nl) * 1999-01-13 2000-07-31 Asm Int Inrichting voor het positioneren van een wafer.
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
TW582050B (en) * 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US20010047756A1 (en) * 1999-05-17 2001-12-06 Bartholomew Lawrence Duane Gas distribution system
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP4246343B2 (ja) * 2000-01-06 2009-04-02 株式会社荏原製作所 ガス雰囲気形成装置及びガス雰囲気形成方法
JP3654142B2 (ja) * 2000-01-20 2005-06-02 住友電気工業株式会社 半導体製造装置用ガスシャワー体
US7011710B2 (en) * 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6602346B1 (en) * 2000-08-22 2003-08-05 Novellus Systems, Inc. Gas-purged vacuum valve
AU2001294588A1 (en) * 2000-09-13 2002-03-26 Applied Materials, Inc. Processing chamber with multi-layer brazed lid
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
US6598610B2 (en) * 2001-02-05 2003-07-29 Dalsa Semiconductor Inc. Method of depositing a thick dielectric film
JP2004524150A (ja) * 2001-02-28 2004-08-12 ポーター・インストゥルメント・カンパニー・インコーポレイテッド 噴霧装置
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
JP4050483B2 (ja) * 2001-05-14 2008-02-20 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP4720019B2 (ja) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 冷却機構及び処理装置
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
KR20030065810A (ko) * 2002-02-01 2003-08-09 필터레이 화이버 옵틱스 인코퍼레이티드 광학박막 제조 장치 및 방법
US20030159653A1 (en) * 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
US6743736B2 (en) * 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
KR20030081144A (ko) * 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6749906B2 (en) * 2002-04-25 2004-06-15 Eastman Kodak Company Thermal physical vapor deposition apparatus with detachable vapor source(s) and method
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20040050326A1 (en) * 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
JP3991315B2 (ja) * 2002-09-17 2007-10-17 キヤノンアネルバ株式会社 薄膜形成装置及び方法
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
CN102154628B (zh) * 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04287912A (ja) * 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JPH05144753A (ja) * 1991-11-21 1993-06-11 Nissin Electric Co Ltd 薄膜気相成長装置
JPH0891989A (ja) * 1994-06-14 1996-04-09 Thomas Swan & Co Ltd 化学蒸着に関する改良
JPH08291385A (ja) * 1995-04-20 1996-11-05 Tokyo Electron Ltd 処理装置のシャワーヘッド構造及び処理ガスの供給方法
JPH092896A (ja) * 1995-04-20 1997-01-07 Ebara Corp 薄膜気相成長装置
JP2001064777A (ja) * 1999-08-30 2001-03-13 Ebara Corp ガス噴射ヘッド
JP2001185491A (ja) * 1999-12-24 2001-07-06 Ulvac Japan Ltd 雛壇形シャワーヘッド、及びそのシャワーヘッドを用いた真空処理装置
JP2004172386A (ja) * 2002-11-20 2004-06-17 Furukawa Co Ltd 気相成長装置のガス吹き出し部

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010027868A (ja) * 2008-07-18 2010-02-04 Toshiba Corp 気相成長装置及び気相成長方法
JP2014512458A (ja) * 2011-03-18 2014-05-22 アプライド マテリアルズ インコーポレイテッド 多レベルシャワーヘッド設計
JP2016164994A (ja) * 2011-03-18 2016-09-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 多レベルシャワーヘッド設計
JP2013145873A (ja) * 2011-12-15 2013-07-25 Nuflare Technology Inc 成膜装置および成膜方法
JP2013172153A (ja) * 2012-02-17 2013-09-02 Tokyo Electron Ltd Mocvd反応器用シャワーヘッド、mocvd反応器、mocvd装置及び洗浄方法
US9624603B2 (en) 2013-01-30 2017-04-18 Nuflare Technology, Inc. Vapor phase growth apparatus having shower plate with multi gas flow passages and vapor phase growth method using the same
US9435026B2 (en) 2013-03-28 2016-09-06 Tokyo Electron Limited Film deposition apparatus
WO2014203535A1 (ja) * 2013-06-21 2014-12-24 株式会社デンソー 炭化珪素半導体成膜装置およびそれを用いた成膜方法
JP2015005658A (ja) * 2013-06-21 2015-01-08 株式会社デンソー 炭化珪素半導体成膜装置およびそれを用いた成膜方法
US9879359B2 (en) 2013-06-21 2018-01-30 Denso Corporation Silicon carbide semiconductor film-forming apparatus and film-forming method using the same
US9422624B2 (en) 2014-01-24 2016-08-23 Tokyo Electron Limited Heat treatment method
JP2015181152A (ja) * 2014-02-27 2015-10-15 ラム リサーチ コーポレーションLam Research Corporation ウエハ均一性を改善するための装置および方法
JP2018093150A (ja) * 2016-12-07 2018-06-14 東京エレクトロン株式会社 成膜装置及び成膜方法
US10643839B2 (en) 2016-12-07 2020-05-05 Tokyo Electron Limited Film forming apparatus and film forming method
JP2020088339A (ja) * 2018-11-30 2020-06-04 昭和電工株式会社 SiCエピタキシャル成長装置
JP7175169B2 (ja) 2018-11-30 2022-11-18 昭和電工株式会社 SiCエピタキシャル成長装置

Also Published As

Publication number Publication date
KR101309334B1 (ko) 2013-09-16
WO2006020424A3 (en) 2007-06-28
CN102154628A (zh) 2011-08-17
US20100300359A1 (en) 2010-12-02
JP5519105B2 (ja) 2014-06-11
TWI319783B (en) 2010-01-21
KR20070048233A (ko) 2007-05-08
CN101090998A (zh) 2007-12-19
CN101090998B (zh) 2013-10-16
US20060021574A1 (en) 2006-02-02
TW200619415A (en) 2006-06-16
CN102154628B (zh) 2014-05-07
WO2006020424A2 (en) 2006-02-23

Similar Documents

Publication Publication Date Title
JP5519105B2 (ja) 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
TWI390608B (zh) 氣體處理系統
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
US8882913B2 (en) Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof
US20100263588A1 (en) Methods and apparatus for epitaxial growth of semiconductor materials
TWI490366B (zh) Cvd腔室之流體控制特徵結構
US8216375B2 (en) Slab cross flow CVD reactor
EP1129234B1 (en) Dual channel gas distribution plate
US8465801B2 (en) Gas mixer and manifold assembly for ALD reactor
US20130269612A1 (en) Gas Treatment Apparatus with Surrounding Spray Curtains
US20080072821A1 (en) Small volume symmetric flow single wafer ald apparatus
US9328419B2 (en) Gas treatment apparatus with surrounding spray curtains
CN112242324A (zh) 用于半导体处理系统的喷淋头装置
JP7495882B2 (ja) マルチゾーンインジェクターブロックを備える化学蒸着装置
KR100944186B1 (ko) 화학기상증착 반응기의 가스분사장치
TWI608120B (zh) MOCVD processing apparatus and gas supply apparatus for MOCVD
TWM658001U (zh) 半導體處理裝置

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080728

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080728

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110519

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110524

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110720

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110727

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120608

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120907

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120914

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121005

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121015

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121105

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130827

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131213

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20131213

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140307

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140403

R150 Certificate of patent or registration of utility model

Ref document number: 5519105

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees