JPH08291385A - 処理装置のシャワーヘッド構造及び処理ガスの供給方法 - Google Patents

処理装置のシャワーヘッド構造及び処理ガスの供給方法

Info

Publication number
JPH08291385A
JPH08291385A JP7119403A JP11940395A JPH08291385A JP H08291385 A JPH08291385 A JP H08291385A JP 7119403 A JP7119403 A JP 7119403A JP 11940395 A JP11940395 A JP 11940395A JP H08291385 A JPH08291385 A JP H08291385A
Authority
JP
Japan
Prior art keywords
gas
shower head
processing
gases
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP7119403A
Other languages
English (en)
Other versions
JP3360098B2 (ja
Inventor
Yuichiro Fujikawa
雄一郎 藤川
Tatsuo Hatano
達夫 波多野
Masashi Murakami
誠志 村上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TERU ENG KK
Tokyo Electron Ltd
Original Assignee
TERU ENG KK
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by TERU ENG KK, Tokyo Electron Ltd filed Critical TERU ENG KK
Priority to JP11940395A priority Critical patent/JP3360098B2/ja
Priority to KR1019960011599A priority patent/KR100224461B1/ko
Priority to TW085104575A priority patent/TW295679B/zh
Priority to US08/634,372 priority patent/US5595606A/en
Publication of JPH08291385A publication Critical patent/JPH08291385A/ja
Application granted granted Critical
Publication of JP3360098B2 publication Critical patent/JP3360098B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【目的】 内部で成膜が発生することを防止することが
できる処理装置のシャワーヘッド構造を提供する。 【構成】 複数種類の処理ガスにより被処理体Wの表面
に成膜を行なう処理装置に設けられ、前記処理ガスを供
給すべく処理空間Sに臨ませた多数のガス噴射孔78を
形成したシャワーヘッド本体56を有するシャワーヘッ
ド構造において、複数種類の処理ガスの流れるガス流路
53,54をそれぞれ別々に設け、流路途中でこれらの
ガスが混合しないようにする。そして、ガス噴射孔から
処理空間Sに噴射させた時にこれらのガスを初めて混合
させるようにする。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、蒸気圧の低い液体材
料、例えばジメチルアミノチタン、ジエチルアミノチタ
ン等の温度管理の難しい処理ガスを使用する処理装置の
シャワーヘッド構造及び処理ガスの供給方法に関する。
【0002】
【従来の技術】一般に、半導体集積回路を製造するため
には、半導体ウエハに対して成膜、エッチング等の各種
の処理が繰り返し施される。成膜の種類としては、絶縁
用の酸化膜や配線用の金属膜などがあり、金属膜を形成
する時に使用する処理ガスとしては例えば有機系の金属
化合物が用いられるが、この有機系の金属化合物は室温
で液体であり、且つ蒸気圧が低いためかなり温度管理が
難しく、例えば常温では液体状態であることから供給系
に特別の対策を施したり、或いは供給時の温度によって
所望の目的とする箇所に成膜が付着せずに、不必要な箇
所に成膜が形成されてしまうというような、取り扱い上
の困難性がある。
【0003】ここで、金属膜としてTiN(チタンナイ
トライド)を成膜する場合の枚葉式の従来のメタルCV
D装置を例にとって説明する。チタンナイトライドを成
膜する場合には例えば処理ガスとして有機系のジエチル
アミノチタン(TDEAT)と還元剤のアンモニア(N
3 )を用い、キャリアガスとしてN2 ガス等の不活性
ガスを用いている。図6は上記処理ガスを使用するメタ
ルCVD装置の一例を示す断面図であり、例えばアルミ
ニウム等よりなる筒体状の処理容器2内には、例えばグ
ラファイト等よりなる載置台4を設け、この載置台4上
に被処理体として半導体ウエハWを載置保持するように
なっている。処理容器2の底部に石英ガラス製の透過窓
6を気密に設け、この下方に例えばハロゲンランプ等の
強力な加熱ランプ8を回転可能に設けて載置台4に保持
したウエハWを所定のプロセス温度、例えば400℃に
加熱するようになっている。
【0004】また、処理容器2の天井部には、容器内に
処理ガスを供給噴射するためのシャワーヘッド10が設
けられる。このシャワーヘッド10は、アルミニウム等
により円筒状に成形されたシャワーヘッド本体12内に
複数、例えば2枚の拡散板14を設け、このシャワーヘ
ッド本体12に処理ガスとして原料ガスであるジエチル
アミノチタンと還元ガスであるアンモニア(NH3 )を
供給する原料ガス管16及び還元ガス管18が接続され
ている。上記各ガスは、例えば窒素ガスよりなるキャリ
アガスによりそれぞれの配管16、18内を搬送されて
シャワーヘッド本体12内に至り、両ガスがこの本体1
2内で混合されて、各拡散板14の拡散孔20を通って
拡散された後、噴射孔22から処理空間内に放出され、
ウエハ表面に所定の金属膜、例えばTiNを形成するよ
うになっている。
【0005】
【発明が解決しようとする課題】ところで、原料ガスで
あるジエチルアミノチタンは、室温、例えば略40℃以
下では圧力に関係なく液体状態にあり、アンモニアと混
ざった状態で略100℃以上ではこれらが反応してしま
って金属表面等に成膜が形成されるという性質を有す。
そのため、ガス源からシャワーヘッド10に至る経路
は、経路内部で原料ガスの液化が生じないように経路全
体を加熱するようにしてはいるが、しかしながら、上述
したように従来のシャワーヘッド構造にあっては、シャ
ワーヘッド本体12内に原料ガスが供給されると同時に
アンモニアガスと混合されて直ちに成膜が開始されてし
まい、図示するようにシャワーヘッド本体12内に多量
の成膜物質24が付着してしまって、目的とするウエハ
表面に所定の成膜を十分に施すことができないという問
題点があった。
【0006】そこで、従来のシャワーヘッド構造に冷却
手段を設けて内部に成膜が付着しないように構成するこ
とも考えられるが、この場合には、原料ガスであるジエ
チルアミノチタンが液化してしまい、気体としてウエハ
に供給することができないという理由から、採用するこ
とができない。本発明は、以上のような問題点に着目
し、これを有効に解決すべく創案されたものである。本
発明の目的は、内部で成膜が発生することを防止するこ
とができる、処理装置のシャワーヘッド構造及び処理ガ
スの供給方法を提供することにある。
【0007】
【課題を解決するための手段】本発明は、上記問題点を
解決するために、複数種類の処理ガスにより被処理体の
表面に成膜を行なう処理装置に設けられ、前記処理ガス
を供給すべく処理空間に臨ませた多数のガス噴射孔を形
成したシャワーヘッド本体を有するシャワーヘッド構造
において、前記シャワーヘッド本体には、前記複数種類
の処理ガスの流れるガス流路を、前記ガス噴射孔に至る
まで別個独立させて形成するように構成したものであ
る。
【0008】
【作用】本発明は、以上のように構成したので、複数種
類の処理ガスはシャワーヘッド本体内に導入されても混
合されずにこの中に別個独立させて設けたガス流路を流
れ、ガス噴射孔から処理空間に放出された時に初めてこ
れらのガスは混合されることになる。従って、シャワー
ヘッド本体内では処理ガス同士が反応することがないの
でこの内部で成膜が形成されることがなく、目的とする
被処理体の表面に成膜を形成することが可能となる。
【0009】また、シャワーヘッド本体に加熱手段を設
けてこの温度を適切に管理し、ヘッド本体を上記処理ガ
スが液化する温度以上であって熱分解温度よりも小さな
温度範囲内となるように設定することにより、シャワー
ヘッド本体内での液化や成膜を確実に防止することがで
きる。更には、シャワーヘッド本体のガス噴射部に冷却
手段を設けて、被処理体の輻射熱によりガス噴射部が過
度に加熱されることを防止できるので、この部分にて成
膜が付着することを防止することができる。
【0010】
【実施例】以下に、本発明に係る処理装置のシャワーヘ
ッド構造及び処理ガスの供給方法を添付図面に基づいて
詳述する。図1は本発明に係るシャワーヘッド構造を設
けた処理装置を示す断面図、図2は図1に示すシャワー
ヘッド構造のシャワーヘッド本体を示す部分拡大分解
図、図3は図2に示すシャワーヘッド本体の中段ブロッ
ク体の下面斜視図、図4はシャワーヘッド本体の下面を
示す平面図、図5はシャワーヘッド本体の上面を示す平
面図である。本実施例では、本発明に係るシャワーヘッ
ド構造を、処理装置として枚葉式のメタルCVD装置に
適用した場合を例にとって説明する。
【0011】図示するようにこのメタルCVD装置26
は、例えばアルミニウム等により略円筒体状に成形され
た処理容器28を有しており、この内部には、被処理体
としての例えば半導体ウエハWを載置するための例えば
グラファイト製の載置台30が底部より支柱32を介し
て保持されている。この載置台30の材料としては、ア
ルミニウムの他にアモルファスカーボン、コンポジット
カーボン,AlN等を用いることができる。この載置台
30の下方には、例えば図示しない昇降手段により上下
移動可能になされた石英ガラス製のリフタピン34が設
けられており、載置台30に設けた貫通孔34Aを挿通
してウエハWの搬出入時にこれを突き上げるようになっ
ている。
【0012】処理容器28の底部には、例えば石英ガラ
ス製の透過窓36がシール部材38を介して気密に設け
らると共に、この下方にはハロゲンランプ等よりなる複
数の強力な加熱ランプ40が回転台42に設けられてお
り、このランプ40からの熱により、上記処理容器28
内の載置台30を加熱し、この熱でウエハWを所定の温
度、例えば400℃程度に間接的に加熱維持するように
なっている。上記回転台42は、モータ等よりなる回転
機構44に連結されて回転可能になされており、ウエハ
Wの面内均一加熱を可能としている。
【0013】また、処理容器28の側壁には、容器に対
してウエハWを搬入・搬出する時に開閉されるゲートバ
ルブ46が設けられ、また、容器底部の周縁部には、図
示しない真空ポンプに接続された排気口48が設けられ
て容器内を均等に真空引きできるようになっている。処
理容器28の天井部は、この中に処理ガスを供給するた
めの本発明のシャワーヘッド構造50が設けられてい
る。本実施例においては、TiNの金属成膜を形成する
ことから処理ガスとしては原料ガスに例えばテトラジエ
チルアミノチタン(TDEAT)を用い、還元ガスにア
ンモニア(NH3 )を用い、また、キャリアガスとして
はN2 ガス等の不活性ガスを用いるが、これら2つの原
料ガスと還元ガスとはこのシャワーヘッド構造内では混
入することなく、処理空間に供給するようになってい
る。すなわち、このシャワーヘッド構造50内を流れる
原料ガスのガス流路52と還元ガスのガス流路54はそ
れぞれ別個独立させて設けられている。
【0014】具体的には、このシャワーヘッド構造50
は、例えばアルミニウム等により円柱状に成形されたシ
ャワーヘッド本体56を有しており、このシャワーヘッ
ド本体56は厚肉円柱状に成形された複数、図示例にお
いては3つのブロック体、すなわち上段ブロック体5
8、中段ブロック体60及び下段ブロック体62により
構成される。そして、上段ブロック体58の上面に形成
される原料ガス導入口64には、原料ガス源70に接続
された原料ガス供給管68が連結され、また、還元ガス
導入口72には、還元ガス源74に接続された還元ガス
供給管76が接続されている。
【0015】上段ブロック体58に形成される原料ガス
のガス流路52及び還元ガスのガス流路54は、それぞ
れブロック体58の中心部から分岐されて例えば放射状
に半径方向へ延びるように形成されており、中段ブロッ
ク体60のそれぞれのガス流路52、54は、上段ブロ
ック体58と下段ブロック体62に形成される多数のガ
ス噴射孔78との間の中継をなすものであり、中段ブロ
ック体60の上面側には上段ブロック体58のガス流路
52に連結する複数の原料ガスヘッダ部80が切削して
設けられ、このヘッダ部80から下方に向けて多数の原
料ガスのガス流路52が形成される。また、中段ブロッ
ク体60の下面側には、複数の還元ガスヘッダ部82が
切削して設けられており、各還元ガスヘッダ部82は、
上段ブロック体60に形成した還元ガスのガス流路54
に直接或いは間接的に連通されている。図3においては
中段ブロック体60の下面斜視図が示されている。
【0016】また、下段ブロック体62には、図4にも
示すように略全面に亘ってガス噴射孔78が、例えば1
0〜20mm程度の所定のピッチで整然と配列されてい
る。これらのガス噴射孔78は、噴射後の原料ガスと還
元ガスの均一混合を促進するために原料ガスを放出する
原料ガス噴射孔78A群と還元ガスを放出する還元ガス
噴射孔78B群とを例えば交互に配置させている。図4
においては、便宜上、原料ガス噴射孔78Aは白丸で示
され、還元ガス噴射孔78Bは黒丸で示されている。各
原料ガス噴射孔78Aの上端は上記上段ブロック体60
に形成した原料ガスのガス流路52に連結されており、
また、各還元ガス噴射孔78Bの上端は、中段ブロック
体60に形成した還元ガスヘッダ部82に連通されてい
る。このガス噴射孔78の孔径は、例えば5〜6mm程
度に設定されるが、噴射孔78の形成密度や、ガス供給
量に対応させて供給ガスが偏在しない範囲で適宜設定す
ればよい。
【0017】このような、ガス噴射孔78及び上記ガス
流路52、54は、ドリル加工により容易に形成するこ
とが可能である。尚、図1乃至図4においては、構造の
理解を容易化するためにガス流路52、54、ガス噴射
孔78、ガスヘッダ部80、82等は数個しか記載して
いないが、実際には、多数個形成されている。前述のよ
うに複数のブロック体58、60、62を連結してシャ
ワーヘッド本体56を構成する結果、プロセス温度であ
る略400℃のウエハWと直接対向する下段ブロック体
62の下面、すなわちガス噴射部は、ウエハWからの輻
射熱に曝される。従って、この部分は、還元ガスと混合
したTDEATが反応して成膜を形成する温度、すなわ
ち略100℃以上に加熱されることから、TDEATが
噴射された直後にこのガス噴射部に成膜が付着する恐れ
がある。
【0018】そこで、本発明においては、図4にも示す
ように下段ブロック体62の下部全体に亘って冷却水路
84を形成して冷却手段86を設けてあり、この水路8
4に冷却水を流すことによりこの部分の温度を略40〜
100℃以内に維持するようになっている。そして、中
段及び下段ブロック体60、58を貫通して冷却水入口
84A及び冷却水出口84Bが形成されている。この場
合、冷却水として過度に冷たい冷却水を流すと、この部
分がTDEATの液化温度、すなわち略40℃よりも低
い温度となって液化が生じてしまうので、これを防止す
るために、冷却水としては例えば40℃以上の温水を用
いるようにする。尚、冷却手段86としては、上記した
構成に限定されず、また、冷却水でなく、他の冷媒を用
いるようにしてもよい。
【0019】下段ブロック体62が上述のように過度に
加熱される恐れがあるのに対し、これに積み重ねられる
中段及び上段ブロック体60、58は、それぞれのブロ
ック体の接合部に比較的大きな熱抵抗が生ずることから
上記したような高い温度にはならず、むしろ、TDEA
Tの液化温度である略40℃よりも小さくなる恐れがあ
る。このように温度が室温程度まで低下する結果、内部
でTDEATが液化して流路が閉塞する恐れがあるの
で、この上段及び中段ブロック体58、60には、その
周縁部に図5にも示すようにリング状に加熱手段88が
埋め込まれており、これら2つのブロック体58、60
の温度を、例えば40〜100℃程度に維持するように
なっている。この加熱手段88としては、例えばシリコ
ンラバーヒータを用いることができる。
【0020】また、各ブロック体58、60、62の接
合部には、内部のガスが外側へ漏れることを防止するた
めに、その周縁部にて例えばOリング等のシール部材9
0、90がリング状に設けられると共に、このシャワー
ヘッド構造50と処理容器28の天井部の取付部にも、
容器内の気密性を保持するために例えばOリング等のシ
ール部材92がリング状に設けられる。一方、TDEA
Tを流す原料ガス供給管68の先端部は、液状のTDE
AT液94を貯留する原料ガス源70の液中に浸漬され
ている。また、この原料ガス90の液面上の空間部に
は、N2 ガス源96に接続された加圧ガス供給路98の
導入端が位置されており、途中に介設した流量制御弁9
9により供給ガス圧を制御することにより、TDEAT
液94を液体状態で原料ガス供給管68内を圧送するよ
うになっている。
【0021】この原料ガス供給管68の途中には、その
下流側に向かって開閉弁100、液量コントロール弁1
02及び気化器104が順次介設されており、また、こ
の気化器104には、N2 ガス等の不活性ガスよりなる
キャリアガス源106に接続されたキャリアガス路10
8が接続されている。従って、液量コントロール弁10
2により正確に流量制御されたTDAET液を気化器1
04にて、上記キャリアガスにより気化させてミスト状
態で処理容器28側へ搬送するようになっている。この
時のキャリアガスの流量は、キャリアガス路108に介
設した流量制御弁110により行なう。この場合、前述
のようにTDEATは略40℃以下で液化する特性を有
するのでミスト化されたTDEATが搬送途中にて再液
化することを防止する必要がある。そのために、気化器
104を含めてこの気化器104とシャワーヘッド構造
50との間の原料ガス供給管68には、全体に亘って例
えばテープヒータ等よりなる加熱手段112が設けられ
ており、TDEATを再液化せず且つ熱分解しないよう
な温度、例えば略40〜100℃の範囲内に維持するよ
うになっている。
【0022】一方、NH3 ガスを貯留する還元ガス源7
4に接続された還元ガス供給管76の途中には、開閉弁
114及び流量制御弁116が介設されており、流量制
御された還元ガスを供給するようになっている。尚、処
理容器28の側壁にも、図示しないヒータ手段を設け
て、供給された原料ガスの再液化を防止している。
【0023】次に、以上のように構成された本実施例の
動作について説明する。まず、ゲートバルブ46を開い
て図示しない搬送アームによりウエハWを載置台30上
に載置保持し、処理容器28内を所定の処理圧力に真空
引きしてプロセス圧を維持すると共に、加熱ランプ40
を駆動してウエハWをプロセス温度、例えば400℃程
度に加熱維持する。これと同時に、原料ガス源70及び
還元ガス源74から処理ガスとして原料ガス及び還元ガ
スをシャワーヘッド構造に供給し、このシャワーヘッド
本体56に別個に設けたガス流路を介してガス噴射孔7
8より処理空間Sに向けてそれぞれのガスを噴射させて
ここで混合し、ウエハWの表面上に所定の成膜、すなわ
ちTiN膜を形成する。
【0024】原料ガスとしてのTDEATを供給するに
は、N2 ガス源96からの加圧ガスにより原料ガス源7
0内のTDEAT液を液体状態で加圧圧送し、この液体
を流量コントロール弁102で流量制御しつつ気化器1
04へ流入させる。この気化器104では、N2 ガス等
のキャリアガスが加圧供給されており、キャブレターの
原理で液状のTDEATを気化してミスト化している。
ミスト化されたTDEATは、原料ガス供給管68内を
移送されてシャワーヘッド構造50に至ることになる。
この際、気化器104よりも下流側の原料ガス供給管6
8は加熱手段112により所定の温度範囲内に常時加熱
維持されているので、移送途中にてミスト状のTDEA
Tが再液化したり、或いは加熱し過ぎて熱分解すること
がなく、ミスト状或いは気体状態を維持したままシャワ
ーヘッド本体56内へ導入されることになる。この場
合、ガス供給管68内の圧力はシャワーヘッド本体58
側の流路内圧よりも高いので、その分、液化し易いこと
から例えば95℃以上の温度で加熱させる。
【0025】気体状態のTDEATは、シャワーヘッド
本体56に設けた原料ガスの供給路52や原料ガスヘッ
ダ部80を介して原料ガス噴射孔78Aから処理空間S
に噴出される。一方、還元ガス源74から供給されたN
3 ガスは、シャワーヘッド本体56の還元ガスのガス
流路54や還元ガスヘッダ部82を介して還元ガス噴射
孔78Bから処理空間Sに噴射される。そして、前述の
ように原料ガスと還元ガスは処理空間Sに噴出された時
点で初めて混合されることになり、ここで反応してウエ
ハ表面上にTiN膜の成膜が形成されることになる。
【0026】ここで、ウエハWに対向して配置される下
段ブロック体62の下部であるガス噴射部は、ウエハW
からの輻射熱により過度に加熱される結果、原料ガスと
還元ガスが混合されたと同時に反応してここに成膜が付
着することが考えられるが、これを防止するために、こ
のガス噴射部の部分には、各ガス噴射孔78間を縫うよ
うにして冷却水路84(図4参照)が設けられており、
ここに冷却水を流してこの部分を、成膜ができない温
度、例えば100℃以下、具体的には40〜50℃程度
になるように冷却している。ただし、この部分の過冷却
のために原料ガスが再液化することを防止するために、
この冷却水路84に流す冷却水として、例えば40℃以
上の温水を用いるようにする。
【0027】これに対して、ウエハWからの輻射熱を直
接受けることのない中段ブロック体60及び上段ブロッ
ク体58は、TDEATの液化温度、例えば40℃より
も低くなる恐れがあるが、この場合には、これらのブロ
ック体58、60は周縁部に設けた加熱手段88により
加熱されて、TDEATが再液化せず、しかも熱分解し
ない温度範囲、例えば40〜100℃の範囲内に維持さ
れているので、流路内部で再液化も熱分解するこなく、
安定した気体状態でガス噴射孔78まで移送することが
できる。このように、本発明においては、処理空間Sま
では2種類の処理ガスを別々の経路で供給し、処理空間
Sに供給すると同時にここで混合して反応させるように
したので、供給途中にて不必要な部分に成膜が形成され
ることなく、ウエハ表面上に確実に成膜を施すことがで
きる。
【0028】また、ガス噴射部には、冷却手段86を設
けてウエハWからの輻射熱により、この部分が過度に加
熱されることを防止しているので、不要な部分に成膜が
付着することを阻止することができる。更には、シャワ
ーヘッド本体の中段から上段の部分には加熱手段を設け
てこの部分を加熱することにより、ここに設けた流路内
でガス状の原料ガスが再液化することを防止することが
でき、原料ガスをガス状態のままで安定してガス噴射孔
78まで供給することが可能となる。また、原料ガスを
供給するに際しては、流量制御精度の劣るバブリングと
は異なり、液体状態で流量制御を行なった後、気化させ
て供給するようにしたので、精度の高い流量制御が可能
となる。
【0029】尚、シャワーヘッド本体56に形成するガ
ス流路52、54等は、本実施例のものに限定されず、
原料ガスと還元ガスの流路が交わることなく形成でき、
且つガス噴射面の略全面に向けて均等にガスを供給し得
るものであれば、どのような流路構造としてもよい。ま
た、シャワーヘッド本体56を、ガス経路52、54、
ヘッダ部80、82、ガス噴射孔78等の成形加工の利
便性を考慮して、3つのブロック体に3分割するように
したが、この分割数に限定されるものではない。
【0030】また更には、処理ガスとしてTDEATと
NH3 ガスを用いて、TiNを成膜する場合を例にとっ
て説明したが、これに限定されず、例えばTDMAT
(テトラジメチルアミノチタン)やそれ以外の材料も適
用することができ、その場合には、使用ガスの温度特性
に対応した温度管理を行なうのは勿論である。更には、
Ti膜のみならず、Al(アルミ)膜、W(タングステ
ン)膜等を成膜する場合にも適用することができる。ま
た、キャリアガスや加圧ガスとしてN2 ガスを使用した
が、これに限定されず、他の不活性ガス、例えばArガ
スやHeガス等を用いてもよい。更には、被処理体とし
て半導体ウエハを例にとって説明したが、これに限定さ
れず、例えばLCD基板等にも適用することができる。
【0031】
【発明の効果】以上説明したように、本発明に係る処理
装置のシャワーヘッド構造及び処理ガスの供給方法によ
れば、次のように優れた作用効果を発揮することができ
る。複数種類の処理ガスを使用する場合において、処理
空間までは別々のガス流路を用いてこれらが途中で混合
することがないようにし、処理空間で初めて混合させる
ようにしたので、ガス流路途中にて成膜が堆積すること
を防止することができ、目的とする被処理体の表面に成
膜を施すことがでいる。また、被処理体の輻射熱により
加熱され易いガス噴射部に冷却手段を設けてこの部分を
成膜温度以下に冷却するようにしたので、この部分に成
膜が付着堆積することを防止することができる。更に
は、シャワーヘッド本体には加熱手段を設けてこれを処
理ガスの液化温度以上に加熱するようにしたので、ガス
流路途中にて処理ガスが再液化することを防止でき、こ
れをガス或いはミスト状態のままで安定して供給するこ
とができる。
【図面の簡単な説明】
【図1】本発明に係るシャワーヘッド構造を設けた処理
装置を示す断面図である。
【図2】図1に示すシャワーヘッド構造のシャワーヘッ
ド本体を示す部分拡大分解図である。
【図3】図2に示すシャワーヘッド本体の中段ブロック
体の下面斜視図である。
【図4】シャワーヘッド本体の下面を示す平面図であ
る。
【図5】シャワーヘッド本体の上面を示す平面図であ
る。
【図6】従来のシャワーヘッド構造を有する処理装置を
示す断面図である。
【符号の説明】
26 メタルCVD装置(処理装置) 28 処理容器 30 載置台 36 透過窓 40 加熱ランプ 50 シャワーヘッド構造 52 原料ガスのガス流路 54 還元ガスのガス流路 56 シャワーヘッド本体 58 上段ブロック体 60 中段ブロック体 62 下段ブロック体 68 原料ガス供給管 70 原料ガス源 74 還元ガス源 76 還元ガス供給管 78 ガス噴射孔 84 冷却水路 86 冷却手段 88 加熱手段 94 TDEAT液 96 N2 ガス源 102 液量コントロール弁 104 気化器 112 加熱手段 W 半導体ウエハ(被処理体)

Claims (5)

    【特許請求の範囲】
  1. 【請求項1】 複数種類の処理ガスにより被処理体の表
    面に成膜を行なう処理装置に設けられ、前記処理ガスを
    供給すべく処理空間に臨ませた多数のガス噴射孔を形成
    したシャワーヘッド本体を有するシャワーヘッド構造に
    おいて、前記シャワーヘッド本体には、前記複数種類の
    処理ガスの流れるガス流路を、前記ガス噴射孔に至るま
    で別個独立させて形成してあることを特徴とする処理装
    置のシャワーヘッド構造。
  2. 【請求項2】 前記シャワーヘッド本体は、分割可能な
    複数のブロック体よりなり、各ブロック体に前記ガス流
    路を連結可能に形成してあることを特徴とする請求項1
    記載の処理装置のシャワーヘッド構造。
  3. 【請求項3】 前記ガス流路を形成したシャワーヘッド
    本体は、前記処理ガスが液化せず且つ熱分解しないよう
    な所定の温度範囲内に加熱維持するための加熱手段を有
    することを特徴とする請求項1又は2記載の処理装置の
    シャワーヘッド構造。
  4. 【請求項4】 前記多数のガス噴射孔が形成されたガス
    噴射部は、前記被処理体側からの輻射熱により過度に加
    熱されることを防止するための冷却手段を有することを
    特徴とする請求項1乃至3記載の処理装置のシャワーヘ
    ッド構造。
  5. 【請求項5】 複数種類の処理ガスにより被処理体の表
    面に成膜を行なう処理装置の処理空間に、シャワーヘッ
    ド構造を用いて前記処理ガスを供給する方法において、
    前記複数の処理ガスを、前記シャワーヘッド構造内では
    混ぜることなく別個独立させて供給し、前記処理空間に
    噴射した時に前記複数の処理ガスを混合させるようにし
    たことを特徴とする処理ガスの供給方法。
JP11940395A 1995-04-20 1995-04-20 処理装置のシャワーヘッド構造 Expired - Lifetime JP3360098B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP11940395A JP3360098B2 (ja) 1995-04-20 1995-04-20 処理装置のシャワーヘッド構造
KR1019960011599A KR100224461B1 (ko) 1995-04-20 1996-04-17 샤워헤드 및 이를 이용한 성막장치
TW085104575A TW295679B (ja) 1995-04-20 1996-04-17
US08/634,372 US5595606A (en) 1995-04-20 1996-04-18 Shower head and film forming apparatus using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11940395A JP3360098B2 (ja) 1995-04-20 1995-04-20 処理装置のシャワーヘッド構造

Publications (2)

Publication Number Publication Date
JPH08291385A true JPH08291385A (ja) 1996-11-05
JP3360098B2 JP3360098B2 (ja) 2002-12-24

Family

ID=14760627

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11940395A Expired - Lifetime JP3360098B2 (ja) 1995-04-20 1995-04-20 処理装置のシャワーヘッド構造

Country Status (4)

Country Link
US (1) US5595606A (ja)
JP (1) JP3360098B2 (ja)
KR (1) KR100224461B1 (ja)
TW (1) TW295679B (ja)

Cited By (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998023788A1 (en) * 1996-11-27 1998-06-04 Emcore Corporation Chemical vapor deposition apparatus
EP0849775A2 (en) * 1996-12-19 1998-06-24 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus and vapor deposition method
US6080446A (en) * 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
JP2001230219A (ja) * 2000-02-18 2001-08-24 Fujitsu Ltd 配線の形成方法及び半導体装置
WO2001099165A1 (fr) * 2000-06-23 2001-12-27 Tokyo Electron Limited Confection de couche mince et dispositif a cet effet
JP2002518839A (ja) * 1998-06-16 2002-06-25 アプライド マテリアルズ インコーポレイテッド デュアルチャネル・ガス分配プレート
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
KR100413482B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 화학적 강화제(ce) 처리 챔버
WO2004024982A1 (ja) * 2002-09-11 2004-03-25 Air Water Inc. 成膜装置
KR100439949B1 (ko) * 2001-11-08 2004-07-12 주식회사 아이피에스 박막증착용 반응용기
WO2005024928A1 (ja) * 2003-09-03 2005-03-17 Tokyo Electron Limited ガス処理装置および放熱方法
JP2005203627A (ja) * 2004-01-16 2005-07-28 Tokyo Electron Ltd 処理装置
KR100505310B1 (ko) * 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
JP2005536042A (ja) * 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
KR100600051B1 (ko) * 2005-02-22 2006-07-13 주식회사 하이닉스반도체 원자층 증착 장비 및 그를 이용한 3원계 박막 형성 방법
KR100700448B1 (ko) * 2006-02-16 2007-03-28 주식회사 메카로닉스 삼중 샤워헤드 및 이를 구비한 원자층 증착장치
KR100702002B1 (ko) * 2001-06-25 2007-03-30 삼성전자주식회사 반도체 웨이퍼 처리 장치용 샤워헤드
US7204885B2 (en) 2000-08-18 2007-04-17 Micron Technology, Inc. Deposition system to provide preheating of chemical vapor deposition precursors
KR100716263B1 (ko) * 2006-05-19 2007-05-08 주식회사 아토 건식 식각 장치
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
WO2006020424A3 (en) * 2004-08-02 2007-06-28 Veeco Instr Inc Multi-gas distribution injector for chemical vapor deposition reactors
JP2008028335A (ja) * 2006-07-25 2008-02-07 Kyocera Corp 結晶成膜装置、ガス噴出板、及びそれを用いて製造する結晶成膜の製造方法
JP2008103679A (ja) * 2006-10-18 2008-05-01 Advanced Micro-Fabrication Equipment Inc Asia 半導体ワーク処理反応器に用いられるガス分配装置及びその反応器
US7387811B2 (en) 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
JP2009013504A (ja) * 2001-08-01 2009-01-22 Tokyo Electron Ltd ガス処理装置およびガス処理方法
JP2009074180A (ja) * 2008-11-17 2009-04-09 Tokyo Electron Ltd Cvd処理装置及びcvd処理方法
WO2010109915A1 (ja) * 2009-03-27 2010-09-30 シャープ株式会社 気相成長装置及び気相成長方法
JP2011117030A (ja) * 2009-12-02 2011-06-16 Ulvac Japan Ltd 蒸着重合装置
JP4778655B2 (ja) * 2000-02-04 2011-09-21 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
JP4789384B2 (ja) * 2000-02-16 2011-10-12 アイクストロン、アーゲー 凝縮被膜生成法
JP2012102409A (ja) * 2000-03-16 2012-05-31 Applied Materials Inc 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート
JP2013036584A (ja) * 2011-08-10 2013-02-21 Fujikin Inc 流体制御装置
JP2014512458A (ja) * 2011-03-18 2014-05-22 アプライド マテリアルズ インコーポレイテッド 多レベルシャワーヘッド設計
WO2016043033A1 (ja) * 2014-09-17 2016-03-24 東京エレクトロン株式会社 シャワーヘッド及び成膜装置
JP2016139795A (ja) * 2015-01-22 2016-08-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的に分離された原子層堆積チャンバのための改良型注入器
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20190271080A1 (en) * 2016-08-10 2019-09-05 Kabushiki Kaisha Toshiba Flow passage structure and processing apparatus
JP2021116474A (ja) * 2020-01-22 2021-08-10 イーキューテックプラス株式会社 プリカーサと反応ガスを共に噴射するラジカルユニット及びそれを含むald装置

Families Citing this family (662)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110531A (en) * 1991-02-25 2000-08-29 Symetrix Corporation Method and apparatus for preparing integrated circuit thin films by chemical vapor deposition
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
TW315493B (en) * 1996-02-28 1997-09-11 Tokyo Electron Co Ltd Heating apparatus and heat treatment apparatus
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
US5782980A (en) * 1996-05-14 1998-07-21 Advanced Micro Devices, Inc. Low pressure chemical vapor deposition apparatus including a process gas heating subsystem
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US6089184A (en) * 1997-06-11 2000-07-18 Tokyo Electron Limited CVD apparatus and CVD method
GB9712400D0 (en) * 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
FI972874A0 (fi) * 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US5960158A (en) 1997-07-11 1999-09-28 Ag Associates Apparatus and method for filtering light in a thermal processing chamber
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6301270B1 (en) 1998-03-02 2001-10-09 Compaq Computer Corporation Right to left matching of device address numbers with provided integrated services digital network destination numbers
US6117245A (en) * 1998-04-08 2000-09-12 Applied Materials, Inc. Method and apparatus for controlling cooling and heating fluids for a gas distribution plate
US6433314B1 (en) 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6136725A (en) * 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
US6596086B1 (en) 1998-04-28 2003-07-22 Shin-Etsu Handotai Co., Ltd. Apparatus for thin film growth
US6294466B1 (en) 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US5930456A (en) 1998-05-14 1999-07-27 Ag Associates Heating device for semiconductor wafers
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6086677A (en) * 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6461675B2 (en) 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
NL1010003C2 (nl) * 1998-09-03 2000-03-13 Asm Int Reactor voorzien van verwarming.
US6210484B1 (en) 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6771895B2 (en) * 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000212749A (ja) * 1999-01-22 2000-08-02 Ulvac Japan Ltd 薄膜形成装置、及び窒化タングステン薄膜製造方法
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6281141B1 (en) 1999-02-08 2001-08-28 Steag Rtp Systems, Inc. Process for forming thin dielectric layers in semiconductor devices
KR100328820B1 (ko) * 1999-02-25 2002-03-14 박종섭 화학기상증착 장비의 가스분사장치
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
JP4230596B2 (ja) * 1999-03-12 2009-02-25 東京エレクトロン株式会社 薄膜形成方法
IT1312150B1 (it) * 1999-03-25 2002-04-09 Lpe Spa Perfezionata camera di reazione per reattore epitassiale
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6245655B1 (en) 1999-04-01 2001-06-12 Cvc Products, Inc. Method for planarized deposition of a material
US6916399B1 (en) 1999-06-03 2005-07-12 Applied Materials Inc Temperature controlled window with a fluid supply system
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6144802A (en) * 1999-06-29 2000-11-07 Hyundai Electronics Industries Co., Ltd. Fluid heater for semiconductor device
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6143144A (en) * 1999-07-30 2000-11-07 Tokyo Electronlimited Method for etch rate enhancement by background oxygen control in a soft etch system
US20040226935A1 (en) * 1999-08-09 2004-11-18 Ibiden Company, Ltd. Hot plate unit
JP4220075B2 (ja) 1999-08-20 2009-02-04 東京エレクトロン株式会社 成膜方法および成膜装置
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
EP1156511A1 (en) * 2000-05-19 2001-11-21 Applied Materials, Inc. Remote plasma CVD apparatus
US20020015855A1 (en) * 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP4717179B2 (ja) * 2000-06-21 2011-07-06 日本電気株式会社 ガス供給装置及び処理装置
JP3578398B2 (ja) * 2000-06-22 2004-10-20 古河スカイ株式会社 成膜用ガス分散プレート及びその製造方法
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
DE10134806A1 (de) * 2000-08-10 2002-06-13 Stratos Lightwave Inc N D Ges Dampfphasenreaktionsvorrichtung mit Diaphragma für variable Stromverteilung
TWI303084B (en) 2000-09-08 2008-11-11 Tokyo Electron Ltd Shower head structure, film forming method, and gas processing apparauts
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
KR100714889B1 (ko) * 2000-11-20 2007-05-04 삼성전자주식회사 화학기상 증착시스템의 리드
DE10100670A1 (de) * 2001-01-09 2002-08-14 Univ Braunschweig Tech Zuführvorrichtung für eine CVD-Anlage
KR100434487B1 (ko) * 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
EP1361604B1 (en) * 2001-01-22 2009-03-18 Tokyo Electron Limited Device and method for treatment
KR100776843B1 (ko) * 2001-02-09 2007-11-16 동경 엘렉트론 주식회사 성막 장치 및 Ti막 성막 장치
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
DE10124609B4 (de) * 2001-05-17 2012-12-27 Aixtron Se Verfahren zum Abscheiden aktiver Schichten auf Substraten
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US20060201428A1 (en) * 2001-07-19 2006-09-14 Park Young H Shower head and method of fabricating the same
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US7090727B2 (en) * 2001-08-17 2006-08-15 Micron Technology, Inc. Heated gas line body feedthrough for vapor and gas delivery systems and methods for employing same
KR100434516B1 (ko) * 2001-08-27 2004-06-05 주성엔지니어링(주) 반도체 제조장치
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US7431968B1 (en) * 2001-09-04 2008-10-07 The Trustees Of Princeton University Process and apparatus for organic vapor jet deposition
US8535759B2 (en) * 2001-09-04 2013-09-17 The Trustees Of Princeton University Method and apparatus for depositing material using a dynamic pressure
US7404862B2 (en) * 2001-09-04 2008-07-29 The Trustees Of Princeton University Device and method for organic vapor jet deposition
US20050054198A1 (en) * 2001-11-05 2005-03-10 Um Pyung Yong Apparatus of chemical vapor deposition
KR100782529B1 (ko) * 2001-11-08 2007-12-06 에이에스엠지니텍코리아 주식회사 증착 장치
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
JP4288036B2 (ja) * 2002-02-20 2009-07-01 東京エレクトロン株式会社 ガスシャワーヘッド、成膜装置及び成膜方法
US6812100B2 (en) * 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
KR20030078203A (ko) * 2002-03-28 2003-10-08 (주)한백 유기금속화학증착장치용 반응기의 가스분사장치
DE10217806A1 (de) 2002-04-22 2003-10-30 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten auf einem Substrat in einer höherverstellbaren Prozesskammer
US7524532B2 (en) * 2002-04-22 2009-04-28 Aixtron Ag Process for depositing thin layers on a substrate in a process chamber of adjustable height
US7374617B2 (en) * 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7461258B2 (en) * 2002-05-24 2008-12-02 Authentify, Inc. Use of public switched telephone network for capturing electronic signatures in on-line transactions
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7193893B2 (en) 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US7154140B2 (en) * 2002-06-21 2006-12-26 Micron Technology, Inc. Write once read only memory with large work function floating gates
US6804136B2 (en) * 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7221586B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6884739B2 (en) 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6790791B2 (en) * 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
JP3671966B2 (ja) * 2002-09-20 2005-07-13 日新電機株式会社 薄膜形成装置及び方法
US7101813B2 (en) * 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
KR100520900B1 (ko) * 2003-03-13 2005-10-12 주식회사 아이피에스 Ald 박막증착방법
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
JP4493932B2 (ja) * 2003-05-13 2010-06-30 東京エレクトロン株式会社 上部電極及びプラズマ処理装置
KR101137901B1 (ko) * 2003-05-16 2012-05-02 에스브이티 어소시에이츠, 인코포레이티드 박막 증착 증발기
US20040237889A1 (en) * 2003-05-28 2004-12-02 Winbond Electronics Corporation Chemical gas deposition process and dry etching process and apparatus of same
US6921437B1 (en) * 2003-05-30 2005-07-26 Aviza Technology, Inc. Gas distribution system
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
KR100626366B1 (ko) * 2003-07-18 2006-09-20 삼성전자주식회사 기상 증착 시스템
JP4202856B2 (ja) * 2003-07-25 2008-12-24 東京エレクトロン株式会社 ガス反応装置
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
JP4306403B2 (ja) * 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
JPWO2005045913A1 (ja) * 2003-11-05 2007-05-24 大見 忠弘 プラズマ処理装置
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
WO2005059974A1 (en) * 2003-12-15 2005-06-30 Applied Materials, Inc. Edge flow faceplate for improvement of cvd film properties
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
DE102004008425B4 (de) * 2004-02-19 2011-12-29 Von Ardenne Anlagentechnik Gmbh Gasführungsanordnung in einer Vakuumbeschichtungsanlage mit einer längserstreckten Magnetronanordnung
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US7584942B2 (en) * 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US20050223983A1 (en) 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223984A1 (en) * 2004-04-08 2005-10-13 Hee-Gyoun Lee Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
KR101069195B1 (ko) * 2004-09-23 2011-09-30 엘지디스플레이 주식회사 평판표시장치의 제조를 위한 대기개방형 박막처리장치 및이를 이용한 박막처리방법
GB0426766D0 (en) * 2004-12-06 2005-01-12 Q Chip Ltd Device for fluid transport
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
GB2469225B (en) * 2005-02-23 2011-02-16 Bridgelux Inc Chemical vapor deposition reactor having multiple inlets
US7687409B2 (en) * 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7662729B2 (en) * 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
JP2008540840A (ja) * 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
US7407892B2 (en) * 2005-05-11 2008-08-05 Micron Technology, Inc. Deposition methods
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US20070022959A1 (en) * 2005-07-29 2007-02-01 Craig Bercaw Deposition apparatus for semiconductor processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
KR101046902B1 (ko) * 2005-11-08 2011-07-06 도쿄엘렉트론가부시키가이샤 샤워 플레이트 및 샤워 플레이트를 사용한 플라즈마 처리장치
JP2007146252A (ja) * 2005-11-29 2007-06-14 Tokyo Electron Ltd 熱処理方法、熱処理装置及び記憶媒体
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US20070151516A1 (en) * 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
KR100726136B1 (ko) 2006-02-08 2007-06-12 주식회사 아바코 증착원 분사장치
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US7674352B2 (en) * 2006-11-28 2010-03-09 Applied Materials, Inc. System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
JP4954734B2 (ja) * 2007-01-30 2012-06-20 東京エレクトロン株式会社 基板処理装置及びガス供給方法
JP5020650B2 (ja) * 2007-02-01 2012-09-05 東京エレクトロン株式会社 蒸着装置、蒸着方法および蒸着装置の製造方法
US20080236495A1 (en) * 2007-03-27 2008-10-02 Structured Materials Inc. Showerhead for chemical vapor deposition (CVD) apparatus
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US8273178B2 (en) * 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
JP2009224590A (ja) * 2008-03-17 2009-10-01 Tokyo Electron Ltd 基板処理装置
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US8111978B2 (en) * 2008-07-11 2012-02-07 Applied Materials, Inc. Rapid thermal processing chamber with shower head
US20100071614A1 (en) * 2008-09-22 2010-03-25 Momentive Performance Materials, Inc. Fluid distribution apparatus and method of forming the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
EP2356672B1 (en) * 2008-12-04 2018-09-12 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements
KR101190750B1 (ko) * 2009-02-19 2012-10-12 엘지전자 주식회사 실리콘 박막 제조방법 및 제조장치
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
US7972899B2 (en) * 2009-07-30 2011-07-05 Sisom Thin Films Llc Method for fabricating copper-containing ternary and quaternary chalcogenide thin films
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
DE102009043840A1 (de) 2009-08-24 2011-03-03 Aixtron Ag CVD-Reaktor mit streifenförmig verlaufenden Gaseintrittszonen sowie Verfahren zum Abscheiden einer Schicht auf einem Substrat in einem derartigen CVD-Reaktor
US8801856B2 (en) * 2009-09-08 2014-08-12 Universal Display Corporation Method and system for high-throughput deposition of patterned organic thin films
KR101162055B1 (ko) * 2009-09-14 2012-07-03 엘아이지에이디피 주식회사 가스 분사장치 및 이를 이용한 샤워 헤드
TWI417984B (zh) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
KR100996210B1 (ko) * 2010-04-12 2010-11-24 세메스 주식회사 가스 분사 유닛 및 이를 이용한 박막 증착 장치 및 방법
KR101796656B1 (ko) * 2010-04-30 2017-11-13 어플라이드 머티어리얼스, 인코포레이티드 수직 인라인 화학기상증착 시스템
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI659674B (zh) * 2011-10-05 2019-05-11 應用材料股份有限公司 電漿處理設備及蓋組件
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
CN103198993B (zh) * 2012-01-09 2015-08-12 中微半导体设备(上海)有限公司 一种用于等离子体处理装置的气体喷淋头
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
KR101203905B1 (ko) 2012-01-19 2012-11-23 엘지전자 주식회사 태양전지 제조장치
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9196471B1 (en) 2012-06-01 2015-11-24 Yen Fui Choo Scanner for wafers, method for using the scanner, and components of the scanner
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9550218B2 (en) * 2012-06-27 2017-01-24 Raytheon Company Receptacle cleaning systems and methods for the same
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US20140026816A1 (en) * 2012-07-27 2014-01-30 Applied Materials, Inc. Multi-zone quartz gas distribution apparatus
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5862529B2 (ja) * 2012-09-25 2016-02-16 東京エレクトロン株式会社 基板処理装置及びガス供給装置
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9748120B2 (en) * 2013-07-01 2017-08-29 Lam Research Ag Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus
JP2014082354A (ja) 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140284404A1 (en) * 2013-03-20 2014-09-25 Asm Technology Singapore Pte Ltd. Chemical vapour deposition injector
US9245777B2 (en) 2013-05-15 2016-01-26 Lam Research Ag Apparatus for liquid treatment of wafer shaped articles and heating system for use in such apparatus
CN103305812A (zh) * 2013-06-08 2013-09-18 上海和辉光电有限公司 一种上电极装置
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150116600A (ko) * 2014-04-08 2015-10-16 삼성전자주식회사 에피텍시얼막 형성 방법 및 이를 수행하는데 사용되는 기판 처리 장치
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR102451499B1 (ko) * 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN105695952A (zh) * 2014-11-26 2016-06-22 广东昭信半导体装备制造有限公司 热壁式金属有机物化学气相沉积喷淋装置及工艺方法
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6193284B2 (ja) * 2015-03-18 2017-09-06 株式会社東芝 流路構造、吸排気部材、及び処理装置
CN106328471B (zh) * 2015-06-19 2018-04-20 中微半导体设备(上海)有限公司 气体喷淋头及其制作方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
WO2017127163A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6664993B2 (ja) * 2016-03-01 2020-03-13 株式会社ニューフレアテクノロジー 成膜装置
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
KR102525361B1 (ko) * 2016-04-27 2023-04-25 삼성디스플레이 주식회사 감압 건조 장치 및 이를 이용한 막 제조 방법
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US20180037981A1 (en) * 2016-08-03 2018-02-08 Beijing Apollo Ding Rong Solar Technology Co., Ltd. Temperature-controlled chalcogen vapor distribution apparatus and method for uniform cigs deposition
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6495875B2 (ja) 2016-09-12 2019-04-03 株式会社東芝 流路構造及び処理装置
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
WO2018075972A1 (en) 2016-10-21 2018-04-26 Quantumscape Corporation Electrolyte separators including lithium borohydride and composite electrolyte separators of lithium-stuffed garnet and lithium borohydride
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN110249073A (zh) * 2017-03-09 2019-09-17 应用材料公司 用于可流动cvd的扩散器设计
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN111133133B (zh) * 2017-09-25 2022-03-18 国立大学法人名古屋大学 气相生长装置及其控制方法
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
CN112368802A (zh) * 2018-07-31 2021-02-12 应用材料公司 用于ald工艺的方法和设备
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102517783B1 (ko) * 2018-11-28 2023-04-04 (주)포인트엔지니어링 반도체 제조 공정용 또는 디스플레이 제조 공정용 프로세스 유체가 통과하는 접합부품
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11266005B2 (en) * 2019-02-07 2022-03-01 Fermi Research Alliance, Llc Methods for treating superconducting cavities
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
JP6966499B2 (ja) * 2019-03-06 2021-11-17 Ckd株式会社 ガス供給ユニット及びガス供給方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
DE102019131794A1 (de) * 2019-11-25 2021-05-27 Aixtron Se Wandgekühltes Gaseinlassorgan für einen CVD-Reaktor
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12000046B1 (en) 2021-12-29 2024-06-04 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace
US11932941B1 (en) * 2021-12-29 2024-03-19 Rolls-Royce High Temperature Composites, Inc. Load assemblies for loading parts in a furnace

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
JPS591671A (ja) * 1982-05-28 1984-01-07 Fujitsu Ltd プラズマcvd装置
JPS6379328A (ja) * 1986-09-24 1988-04-09 Hitachi Ltd 処理装置
US4951603A (en) * 1988-09-12 1990-08-28 Daidousanso Co., Ltd. Apparatus for producing semiconductors
JPH05152208A (ja) * 1991-11-29 1993-06-18 Fujitsu Ltd 半導体製造装置
JPH0811718B2 (ja) * 1992-02-27 1996-02-07 大同ほくさん株式会社 ガスソース分子線エピタキシー装置
JP3224629B2 (ja) * 1993-03-22 2001-11-05 日本碍子株式会社 ガス供給用部材及び成膜装置

Cited By (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6197121B1 (en) 1996-11-27 2001-03-06 Emcore Corporation Chemical vapor deposition apparatus
WO1998023788A1 (en) * 1996-11-27 1998-06-04 Emcore Corporation Chemical vapor deposition apparatus
EP0849775A2 (en) * 1996-12-19 1998-06-24 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus and vapor deposition method
EP0849775A3 (en) * 1996-12-19 1999-04-28 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus and vapor deposition method
US6132519A (en) * 1996-12-19 2000-10-17 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus and vapor deposition method
US6080446A (en) * 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6471781B1 (en) 1997-08-21 2002-10-29 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
KR100505310B1 (ko) * 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
JP2002518839A (ja) * 1998-06-16 2002-06-25 アプライド マテリアルズ インコーポレイテッド デュアルチャネル・ガス分配プレート
JP4778655B2 (ja) * 2000-02-04 2011-09-21 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
JP4789384B2 (ja) * 2000-02-16 2011-10-12 アイクストロン、アーゲー 凝縮被膜生成法
JP4733804B2 (ja) * 2000-02-18 2011-07-27 富士通セミコンダクター株式会社 配線の形成方法
JP2001230219A (ja) * 2000-02-18 2001-08-24 Fujitsu Ltd 配線の形成方法及び半導体装置
JP2012102409A (ja) * 2000-03-16 2012-05-31 Applied Materials Inc 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート
KR100406174B1 (ko) * 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP2002008995A (ja) * 2000-06-23 2002-01-11 Tokyo Electron Ltd 薄膜形成方法及び薄膜形成装置
US7354622B2 (en) 2000-06-23 2008-04-08 Tokyo Electron Limited Method for forming thin film and apparatus for forming thin film
WO2001099165A1 (fr) * 2000-06-23 2001-12-27 Tokyo Electron Limited Confection de couche mince et dispositif a cet effet
US7204885B2 (en) 2000-08-18 2007-04-17 Micron Technology, Inc. Deposition system to provide preheating of chemical vapor deposition precursors
KR100413482B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 화학적 강화제(ce) 처리 챔버
KR100702002B1 (ko) * 2001-06-25 2007-03-30 삼성전자주식회사 반도체 웨이퍼 처리 장치용 샤워헤드
JP2009013504A (ja) * 2001-08-01 2009-01-22 Tokyo Electron Ltd ガス処理装置およびガス処理方法
KR100439949B1 (ko) * 2001-11-08 2004-07-12 주식회사 아이피에스 박막증착용 반응용기
JP2005536042A (ja) * 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
WO2004024982A1 (ja) * 2002-09-11 2004-03-25 Air Water Inc. 成膜装置
WO2005024928A1 (ja) * 2003-09-03 2005-03-17 Tokyo Electron Limited ガス処理装置および放熱方法
JP4536662B2 (ja) * 2003-09-03 2010-09-01 東京エレクトロン株式会社 ガス処理装置および放熱方法
JP2005203627A (ja) * 2004-01-16 2005-07-28 Tokyo Electron Ltd 処理装置
KR100758744B1 (ko) * 2004-01-16 2007-09-14 동경 엘렉트론 주식회사 처리장치
JP4513329B2 (ja) * 2004-01-16 2010-07-28 東京エレクトロン株式会社 処理装置
WO2005069360A1 (ja) * 2004-01-16 2005-07-28 Tokyo Electron Limited 処理装置
US7651584B2 (en) 2004-01-16 2010-01-26 Tokyo Electron Limited Processing apparatus
WO2006020424A3 (en) * 2004-08-02 2007-06-28 Veeco Instr Inc Multi-gas distribution injector for chemical vapor deposition reactors
JP2008508744A (ja) * 2004-08-02 2008-03-21 ビーコ・インストゥルメンツ・インコーポレイテッド Cvdリアクタ用マルチガス供給インジェクタ
US7387811B2 (en) 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
KR100600051B1 (ko) * 2005-02-22 2006-07-13 주식회사 하이닉스반도체 원자층 증착 장비 및 그를 이용한 3원계 박막 형성 방법
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
KR100700448B1 (ko) * 2006-02-16 2007-03-28 주식회사 메카로닉스 삼중 샤워헤드 및 이를 구비한 원자층 증착장치
KR100716263B1 (ko) * 2006-05-19 2007-05-08 주식회사 아토 건식 식각 장치
JP2008028335A (ja) * 2006-07-25 2008-02-07 Kyocera Corp 結晶成膜装置、ガス噴出板、及びそれを用いて製造する結晶成膜の製造方法
JP2008103679A (ja) * 2006-10-18 2008-05-01 Advanced Micro-Fabrication Equipment Inc Asia 半導体ワーク処理反応器に用いられるガス分配装置及びその反応器
JP2009074180A (ja) * 2008-11-17 2009-04-09 Tokyo Electron Ltd Cvd処理装置及びcvd処理方法
WO2010109915A1 (ja) * 2009-03-27 2010-09-30 シャープ株式会社 気相成長装置及び気相成長方法
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP2011117030A (ja) * 2009-12-02 2011-06-16 Ulvac Japan Ltd 蒸着重合装置
JP2014512458A (ja) * 2011-03-18 2014-05-22 アプライド マテリアルズ インコーポレイテッド 多レベルシャワーヘッド設計
JP2013036584A (ja) * 2011-08-10 2013-02-21 Fujikin Inc 流体制御装置
WO2016043033A1 (ja) * 2014-09-17 2016-03-24 東京エレクトロン株式会社 シャワーヘッド及び成膜装置
JPWO2016043033A1 (ja) * 2014-09-17 2017-07-27 東京エレクトロン株式会社 シャワーヘッド及び成膜装置
JP2016139795A (ja) * 2015-01-22 2016-08-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的に分離された原子層堆積チャンバのための改良型注入器
US20190271080A1 (en) * 2016-08-10 2019-09-05 Kabushiki Kaisha Toshiba Flow passage structure and processing apparatus
US10844485B2 (en) * 2016-08-10 2020-11-24 Kabushiki Kaisha Toshiba Flow passage structure and processing apparatus
JP2021116474A (ja) * 2020-01-22 2021-08-10 イーキューテックプラス株式会社 プリカーサと反応ガスを共に噴射するラジカルユニット及びそれを含むald装置
US11661656B2 (en) 2020-01-22 2023-05-30 Eq Tech Plus Co., Ltd. Thin film forming apparatus and radical unit for forming thin film

Also Published As

Publication number Publication date
KR960039102A (ko) 1996-11-21
JP3360098B2 (ja) 2002-12-24
TW295679B (ja) 1997-01-11
KR100224461B1 (ko) 1999-10-15
US5595606A (en) 1997-01-21

Similar Documents

Publication Publication Date Title
JPH08291385A (ja) 処理装置のシャワーヘッド構造及び処理ガスの供給方法
KR100448112B1 (ko) 막 침착장치 및 침착방법
CN1958170B (zh) 气体供给装置及基板处理装置
US20070095284A1 (en) Gas treating device and film forming device
US7020981B2 (en) Reaction system for growing a thin film
US20030198754A1 (en) Aluminum oxide chamber and process
JP2004319537A (ja) シャワーヘッド構造及び処理装置
US20030172872A1 (en) Apparatus for cyclical deposition of thin films
TWI503437B (zh) Hvpe腔室硬體與hvpe方法
KR20010009968A (ko) 반도체 박막 증착 장치
JPS62142770A (ja) タングステンシリサイドフィルムをデポジションする方法
JPH01251725A (ja) 半導体製造装置
US7462245B2 (en) Single-wafer-processing type CVD apparatus
JP4547744B2 (ja) プリコート膜の形成方法、成膜装置のアイドリング方法、載置台構造及び成膜装置
KR101324208B1 (ko) 기판 처리 장치
JP2007100172A (ja) 成膜装置
US20060231026A1 (en) Vapor deposition systems having separate portions configured for purging using different materials
CN101107379A (zh) 气体处理方法和计算机可读取的存储介质
JP2000349033A (ja) 半導体装置の製造方法及び半導体製造装置
KR100300096B1 (ko) 처리장치,처리가스의공급방법및처리장치의크리닝방법
KR100243520B1 (ko) 박막증착장치
JPH0316120A (ja) 化学気相成長装置及びそのガスヘッド
KR20110116470A (ko) 샤워 헤드 및 이를 구비한 기판 처리 장치
JPH04350170A (ja) 成膜処理装置
JPH06163422A (ja) 薄膜形成方法および薄膜形成装置

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081018

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111018

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141018

Year of fee payment: 12

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term