JP2008103679A - 半導体ワーク処理反応器に用いられるガス分配装置及びその反応器 - Google Patents

半導体ワーク処理反応器に用いられるガス分配装置及びその反応器 Download PDF

Info

Publication number
JP2008103679A
JP2008103679A JP2007194499A JP2007194499A JP2008103679A JP 2008103679 A JP2008103679 A JP 2008103679A JP 2007194499 A JP2007194499 A JP 2007194499A JP 2007194499 A JP2007194499 A JP 2007194499A JP 2008103679 A JP2008103679 A JP 2008103679A
Authority
JP
Japan
Prior art keywords
reaction gas
gas
reaction
gas distribution
plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007194499A
Other languages
English (en)
Other versions
JP4773407B2 (ja
Inventor
Daimei Ka
乃明 何
Shulin Wang
樹林 王
Li Fu
麗 傅
Qing Lv
青 呂
Aika Chin
愛華 陳
Shigyo In
志堯 尹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Fabrication Equipment Inc
Advanced Micro Fabrication Equipment Inc Asia
Original Assignee
Advanced Micro Fabrication Equipment Inc
Advanced Micro Fabrication Equipment Inc Asia
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Fabrication Equipment Inc, Advanced Micro Fabrication Equipment Inc Asia filed Critical Advanced Micro Fabrication Equipment Inc
Publication of JP2008103679A publication Critical patent/JP2008103679A/ja
Application granted granted Critical
Publication of JP4773407B2 publication Critical patent/JP4773407B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】半導体ワークに堆積されたフィルムにおける性能の改善を図る。
【解決手段】少なくとも第1種と第2種の反応ガスの供給源と連通する反応ガス供給プレートと、当該反応ガス供給プレートに連接するとともに、前記第1種と第2種の反応ガスのうち少なくとも1種がそれにおいて均一に分布拡散される少なくとも一枚の反応ガス分配プレートと、当該反応ガス分配プレートに連接する反応ガス搬送フェースプレートとを備え、前記第1種と前記第2種の反応ガスが前記反応ガス供給プレート、反応ガス分配プレート、及び反応ガス搬送フェースプレートをそれぞれ通過する過程において常に隔離され、最後に、均一分布の方式で前記反応ガス搬送フェースプレートから逸出するガス分配装置を提供する。また、本発明はこのガス分配装置を利用した半導体ワーク処理反応器も提供する。
【選択図】図1

Description

本発明は、半導体ワーク処理反応器に用いられるガス分配装置に関し、特に、化学気相成長、原子層堆積、或いは類似した方法により、半導体ワークの表面に均一なフィルムやシート層を堆積させるように、半導体ワークへの気相化学物質の搬送に利用される、半導体ワーク処理反応器に取り付けられるガス分配装置に関する。また、本発明は、このガス分配装置を利用した半導体ワーク処理反応器にも関する。
化学気相成長と原子層堆積は、半導体製造においてキーになるプロセスである。特に、酸化物層の堆積は集積回路製造において重要な一環になる。より具体的には、集積回路構成における隙間を充填しようとする場合、一般に熱処理プロセスを利用する必要がある。一般的には、テトラエチルオルソシリケート (tetraethylorthosilicate、TEOS)とオゾン(Ozone)により、熱処理による雑質のドーピングされない酸化物フィルムを製造している。従来から、伝統技術による化学気相成長用チャンバーは、いずれもの化学物質分配シャワーヘッド(showerhead chemical distribution system)を備えている。既存技術による分配シャワーヘッドは、プリミックス分配シャワーヘッド(pre−mixing showerheads)と、ポストミックス分配シャワーヘッド(post−mixing showerheads)との2種に大別されている。プリミックス分配シャワーヘッドにおいて、反応に参加するすべての化学物質は予め分配シャワーヘッドで混合されてから、分配シャワーヘッドから反応領域に逸出し、最後に半導体ワークに堆積される。
既存技術によるプリミックス分配シャワーヘッド技術では、すべての化学反応物が分配シャワーヘッドの内部において基本的に十分に混合され、最後に得られた化合物を均一に半導体ワークの表面に堆積させることができる利点がある。しかし、これらのプリミックス分配シャワーヘッドにおいて、プリミックス分配シャワーヘッドの内部で発生可能な化学反応を減少するように、分配シャワーヘッドの温度を厳密にコントロールする必要がある。例えば、テトラエチルオルソシリケートとオゾンなどの化学物質を利用して、熱酸化物の堆積処理を行う場合に、テトラエチルオルソシリケートが液相の化学物質であるため、分配シャワーヘッドの温度を上昇させることで、一般にテトラエチルオルソシリケート液体が凝縮する可能性を低下できるので、テトラエチルオルソシリケートの搬送に有利となる。しかしながら、分配シャワーヘッド内における高い温度が一般にオゾンの濃度を低下させてしまうおそれがあり、これはオゾンの半減期が温度と緊密に関連しているからである。
またさらに、テトラエチルオルソシリケートとオゾンが、分配シャワーヘッド内でプリミックスされると、重合が発生してパーティクルを生成する可能性があることがよくわかっている。これらの重合物であるパーティクルは半導体ワークの表面に堆積すると、集積回路構成において最後に得られた酸化物フィルムが隙間を充填する能力を低下させる。
既存のプリミックス分配シャワーヘッドの不足を解決するために、ポストミックス分配シャワーヘッドが提案された。例えば、既存技術において、特許文献1〜11のような、ポストミックス分配シャワーヘッドが設計された。
米国特許第5624498号明細書 米国特許第5963834号明細書 米国特許第6148761号明細書 米国特許第6086677号明細書 米国特許第6089184号明細書 米国特許第6245192号明細書 米国特許第6302964号明細書 米国特許第6415736号明細書 米国特許第6435428号明細書 米国特許出願公開第2005/0263248号明細書 米国特許出願公開第2006/0021703号明細書
これらの既存設備により異なる程度の効果が達成されたが、当業者はより確実に多種の反応化学物質を半導体ワークに搬送できる分配シャワーヘッドを求めており、また、半導体ワークの上や分配シャワーヘッドの内部に堆積可能なパーティクルの形成などの既存技術による欠陥を根本的に回避しようとしている。
本発明の目的は、既存技術による不足を克服して、反応領域に入る前に反応ガスを十分均一に分布拡散させるとともに、ガス分配装置の内部でのパーティクルの生成不足を回避することによって、半導体ワークに堆積されたフィルムにおける性能を改善することができる、半導体ワーク処理反応器に用いられるガス分配装置を提供することにある。
本発明の他の目的は、同様に半導体ワークに堆積されるフィルムの性能を改善できる、上記のガス分配装置を利用した半導体ワーク処理反応器を提供することにある。
本発明は、下記の技術手段により達成される。
本発明における一つの側面によれば、少なくとも第1種と第2種の反応ガスの供給源と連通する反応ガス供給プレートと、前記反応ガス供給プレートに連接しており、前記第1種と第2種の反応ガスのうち少なくとも1種を前記反応ガス分配プレートにおいてほぼ均一に分布拡散させる少なくとも一枚の反応ガス分配プレートと、前記反応ガス分配プレートに連接する反応ガス搬送フェースプレートとを備え、
前記第1種と第2種の反応ガスが前記反応ガス供給プレート、反応ガス分配プレート、及び反応ガス搬送フェースプレートをそれぞれ通過する過程において、前記第1種と第2種の反応ガスが常に隔離され、最後に、ほぼ均一分布の方式で前記反応ガス搬送フェースプレートから逸出するガス分配装置である。
本発明における他の側面によれば、反応ガス供給プレートと、少なくとも一枚の反応ガス分配プレートと、反応ガス搬送フェースプレートとを備え、
前記反応ガス供給プレートは、少なくとも第1と第2の反応ガスの供給源と連通し、頂面と底面とを有して、略中心の箇所には前記頂面と前記底面を貫通する反応ガスの第1の通路が設けられ、前記第1種の反応ガスの供給源が前記反応ガスの第1の通路と連通することによって前記第1種の反応ガスが前記底面から逸出し、前記頂面には、当該頂面上に設けられるとともに互いに間隔をあけた複数の第1の領域内に分布する、前記底面まで貫通する複数の反応ガスの第2の通路をさらに含んでおり、
前記反応ガス分配プレートは、前記反応ガス供給プレートの底面と並列している頂面と前記頂面に相対した底面とを有し、前記頂面には、若干の第2の領域内に並列している、間隔をあけた複数のガスガイドブロックが設けられており、これらの若干の第2の領域が前記反応ガス供給プレート上に形成された前記若干の第1の領域とそれぞれ対応し、且つ、前記各ガスガイドブロック上には、前記反応ガス分配プレートの底面まで延びる若干の反応ガスの第3の通路が形成されており、当該若干の第3の通路が前記反応ガス供給プレートに形成された前記反応ガスの第2の通路とそれぞれに連通し、また前記第2種の反応ガスは、前記若干の反応ガスの第3の通路を流れるが、前記第1種の反応ガスは、前記頂面と底面との間に設けられる反応ガスの第4の通路を経由して流れ、
前記反応ガス搬送フェースプレートは、前記反応ガス分配プレートの底面と並列している頂面と当該頂面に相対する底面とを有し、当該頂面上には、間隔をあけた複数のガス囲いリムが取り付けられ、対応したガス囲いリムにおいて前記底面まで貫通する反応ガスの第5の通路が設けられ、前記第1種の反応ガスが当該通路を流れ、また前記頂面上において、前記複数のガス囲いリムの間にはその底面まで貫通する反応ガスの第6の通路が設けられ、前記第2種の反応ガスが当該通路を流れ、また第1種と第2種の反応ガスが、ほぼ均一に分布させる方式で前記底面から逸出するガス分配装置である。
本発明における他の側面によれば、第2のガス分配プレートが第1と第3のガス分配プレートの間に置かれ、第1のガス分配プレートと第2のガス分配プレートとの間には第1のキャビティが形成され、第2のガス分配プレートと第3のガス分配プレートとの間には第2のキャビティが形成されている、互いに連接している第1、第2、第3のガス分配プレートと、
前記第1のキャビティに前記第1のガス分配プレートに対して並列して、前記第2のガス分配プレートと略垂直に連接しており、且つ互いに所定のピッチで配列される若干の第1のガス分割装置と、
第1ガスを受け取って搬送するために、少なくとも一部分が前記複数の第1のガス分割装置で構成されて、前記第2のガス分配プレートを貫通する、若干の反応ガスの第1の通路と、
第2ガスを受け取って搬送するために、前記第2のガス分配プレートを貫通して設けられるとともに、均一に前記第1のガス分割装置の周囲に分布する、若干の反応ガスの第2の通路と、
この第2ガスを受け取って搬送するために用いられ、前記第2のキャビティ内に前記第2のガス分配プレートに対して並列し、互いに所定のピッチで配列され、前記第3のガス分配プレートと略垂直に連接している、若干の第2のガス分割装置と、
前記第2ガスを受け取って搬送するために、少なくとも一部分が前記複数の第2のガス分割装置で構成されるとともに、前記第3のガス分配プレートを貫通する、若干の反応ガスの第3の通路と、
前記第1ガスを受け取って搬送するために、前記第3ガス分配プレートを貫通して設けられ、均一に前記第2のガス分割装置の周囲に分布している、若干の反応ガス第4の通路とを備え、
また、第1種と第2種の反応ガスに係る経路が、前記反応ガスの第1、第2、第3、第4の通路により決定され、前記第3のガス分配プレートから逸出するまでに、2種の反応しようとするガスがそれぞれ第1種と第2種の反応ガス経路に沿って伝送されて互いに隔離されるガス分配装置である。
本発明におけるまた他の側面によれば、堆積領域を具備する処理チャンバーと、
処理の際に前記処理チャンバーの堆積領域に位置する被処理半導体ワークを支持並びに水平移動させるための、移動可能なベースと、
複数の反応ガス分配プレートを含んでおり、少なくとも2種の相互に隔離された反応ガス経路を提供するために、各反応ガス分配プレート上に1セットの所定の接続関係により相互に連通される反応ガス通路が設けられることによって、少なくとも第1と第2の反応ガスを相互に隔離されてほぼ均一に分布するように、前記移動可能な半導体ワーク上に搬送し、かつ前記処理チャンバー内に取り付けられて半導体ワークの近くに配置されているガス分配装置とを備える半導体ワーク処理反応器である。
図1は、本発明に係るガス分配装置を適用した半導体ワーク処理反応器の簡略化された縦断面図である。本発明に記載のガス分配装置は、化学気相成長(chemical vapor deposition、CVD)または原子層堆積(atomic layer deposition、ALD)に利用することができる。以下に化学気相成長を例にして説明する。図に示すようなガス分配装置10は処理反応器11内に設けられている。処理反応器11は環状側壁12と当該環状側壁12により形成された内部チャンバー13とを有し、内部チャンバー13内において半導体ワーク(semiconductor work piece)14を受け取って処理することができる。上記の半導体ワーク14は、チップ製造用の半導体基材(substrate)やウエハ(wafer)であってもよいし、フラットパネル・ディスプレー製造用のガラス基板であってもよい。図1に示すように、処理反応器11には頂面15をさらに有し、ここに複数の化学反応物質(ここでは例として第1の反応物質21と第2の反応物質22を表示する)の供給源が上記のガス分配装置10と連通している。以下の検討において、本発明における一側面を、上記の第1種と第2種の反応物質としてTEOSとOZONEに例示して説明するが、反応物質はこれに限られない。例えば、上記のガス分配装置10は金属化合物の気相堆積(metal deposition)に利用されるが、この場合に、第1と第2の反応物としてTiClとNHを利用しTiNを堆積してもよい。ガス分配装置10が原子層堆積に利用される場合、例えば、AlO、HFO、HFSiO、HFxSiyO、TaOのような高誘電率K(ファラッド毎センチメートル、F/cm)である材料を堆積してもよい。また、CVDまたはALD方式によりWN膜を堆積してもよく、この場合、使用される第1と第2の反応物源がWFとNHを含む。なお、第1と第2の反応物は、TaNまたはTiNを堆積するために用いられてもよく、この場合、Ta/TiとH/Nであるプリカーサーガスを利用する。それとともに、一般には、各種のバルブ及びその他の制御装置(図示しない)を利用して、異なるドーズでガス分配装置10に進入するように、これらの第1種と第2種の反応物質21,22を測定・制御する。図1から、水平方向に半導体ワーク14を水平移動可能に支持させるように、ガス分配装置10の下方に支持素子またはベース23も設けられていることがわかる。ベースまたは支持素子23は加熱素子を有してもよく、抵抗的発熱素子、インダクタンス発熱コイル素子、発熱電球素子や、その他の半導体ワーク14に熱を提供できる加熱方法であればよい。また、反応器による処理において、本発明にかかるガス分配装置10に合わせて半導体ワーク14に作用して均一なフィルムを堆積するように、ベースまたは支持素子23が水平移動可能に設けられることが理解できる。このベース23による水平移動は、半導体ワーク14上に堆積されたフィルムの厚さにおける均一性を向上し、隙間の充填力を強化し、パーティクルの発生を低減し、同時に反応ガスの用量を減少させることができる。この水平移動は、回転、クラップ、前後の動き、非線形運動、またはこれらの動きの組み合わせなどの各種の水平動を含む。本発明における好ましい実施の形態としては、上記の水平移動可能なベース23は、半導体ワーク14が所定の回転速度で水平回転するように構成される。
半導体ワーク14は、ガス分配装置10と半導体ワーク14を搭載するベース23との間に位置する化学反応エリア24に置かれる。本発明に係るガス分配装置10は、一枚の半導体ワーク14を処理するために、図1に示すように一つの作業ステージ或いはベース23が含まれる処理チャンバーの中に配置されてもよく、或いは一つのガス分配装置10にそれぞれ対応する各作業ステージ毎に同時に複数の半導体ワーク14を処理するために、複数の作業ステージが含まれる処理チャンバーに配置されてもよいことがわかる。したがって、広義的には、本発明に関する半導体ワーク14の処理反応器11は、処理反応器11の内部に設けられる堆積領域24と、ベース23と、ガス分配装置10とを備える。上記のベース23が未処理の半導体ワーク14を支持するために用いられ、半導体ワーク14を処理する際に、水平方向に移動できることにより、半導体ワーク14上に堆積されたフィルムの均一性が向上する。ガス分配装置10は、少なくとも第1種の反応ガス21と第2種の反応ガス22とを半導体ワーク14へ搬送するために、処理反応器11において半導体ワーク14の近くに取り付けられ、搬送中、この少なくとも2種の反応ガスがガス分配装置10を通過する時に分離の状態に保持され、反応ガスがそれぞれ異なるガス伝送経路を流れるので、反応ガスがガス分配装置10で混合して反応することなく、フィルムの品質に影響を及ぼすパーティクルが発生しないことを確保できる。本発明に記載の第1種の反応ガス21や第2種の反応ガス22は、一種の化学反応物(例えば、TEOSやOZONE)しか含まれない反応ガスでもよく、多種の化学反応物が含まれる混合ガスでもよく、例えば、反応ガスの中に一種或いは多種のドーパントガス(dopant gas)が含まれることがわかる。
図2は、半導体ワーク処理のための本発明に係るガス分配装置の分解された縦断面図である。ガス分配装置10は、複数のガス分配プレート30を備えるが、ここで一つの第1の反応ガス分配プレート或いは反応ガス供給プレート31、少なくとも一つの第2の反応ガス分配プレート32、一つの第3の反応ガス分配プレート或いは第3の反応ガス搬送フェースプレート33のみ表示している。上記の複数のガス分配プレート30は、機械接続により密閉に連接してもよく、真空ブレイズ溶接(vacuum braze welding)や真空ヒューズ溶接(vacuum fuse welding)によって密閉された一体のガス分配装置を形成してもよい。図3と図4は、それぞれが第1の反応ガス分配プレートや反応ガス供給プレート31の上面図と底面図を示す。この第1の反応ガス分配プレート31は、頂面41と、頂面41に相対する底面42と、外縁43とを有する本体40を具備する。本体40において、本体40の略中心となる箇所には、頂面41と底面42とを貫通する反応ガスの第1の通路51が設けられている。さらに、反応ガス供給プレート31内に、その頂面41と底面42とを貫通する、1セットまたは若干の反応ガスの第2の通路52が設けられている。図示した構成において、第1種の反応ガス21は反応ガスの第1の通路51を通って、第2種の反応ガス22は複数の反応ガスの第2の通路52を通る。図2のように、第1、第2、第3の反応ガス分配プレート31〜33は、それぞれに1セットの反応ガス通路を有し、これについて詳しく後述するが、これらの通路は、互いに隔離した二種のガス通路または経路を提供して上記の第1種と第2種の反応ガス21,22を搬送するように、所定の連通方式により互いに連接して、二種の反応ガス21,22を異なる搬送経路によって図1に示した移動(回転)している半導体ワーク14に搬送する。図示するように、第1種の反応ガス21は反応ガスの第1の通路51に搬送され、第2種の反応ガス22は複数の反応ガスの第2の通路52に搬送される。図3と図4に示すように、複数の反応ガスの第2の通路52は、複数の間隔をあけて置かれた領域53に分布され、各領域53の間には条状の連続的な隙間54があることがわかる。図4は、第1の反応ガス分配プレート或いは反応ガス供給プレート31の底面図を表示するもので、図示するように、1セットまたは複数の径方向へ延びるガスガイド溝55が若干の間隔をあけて置かれた第1の領域53の間に設けられている。反応ガスの第1の通路51はこの複数の径方向へ延びるガスガイド溝55と連通している。各ガスガイド溝55は、本体40の略中心となる箇所からその外縁43に向かう方向へ延びる。
第1、第2、第3のガス分配プレート31〜33は緊密に密封連結され、図2および以降の図に示すように、第2の反応ガス分配プレート32が第1と第3のガス分配プレート31,33の間に位置している。ここで、第2の反応ガス分配プレート32は、頂面61と底面62とを有する略円形になる一つの本体60を備える。またさらに、本体60は外縁63を有する。図2と図5より、第1の反応ガス分配プレート或いは反応ガス供給プレート31の底面42と第2の反応ガス分配プレート32の頂面61の間に、一つのキャビティ64が形成されている。図2、図5、図6より、第2の反応ガス分配プレート32の頂面61には、本体60と一体になっているとともに、ガス供給プレート31の底面42に並列(juxtaposed)するまでに、その頂面61に垂直して上方へ延びる、1セットまたは複数の第1のガス分割装置71が設けられたことがわかる。この複数の第1のガス分割装置71は、均一にキャビティ64内に分布され、また隣接する二つの第1のガス分割装置71が所定のピッチで離れている。また、各第1のガス分割装置71は頂面72を備える。組合せることにより、頂面72が反応ガス供給プレート31の底面42に並列される。図5より、複数の第1のガス分割装置71は、若干の第2の領域73に配列され、反応ガス供給プレート31に設けられた若干の第1の領域53と同方向に並列していることが明瞭である。また、複数の若干の第2の領域73が隣接する場所には、複数の径方向へ延びる主反応ガス分配通路74が設けられている。なお、各第1のガス分割装置71の間に、若干のサブ反応ガス分配通路75が形成され、また、各サブ反応ガス分配通路75が径方向へ延びる主反応ガス分配通路74と互いに連通される。またさらに、複数の第1のガス分割装置71により形成された複数の領域73を囲んで、各主反応ガス通路74、及びサブ反応ガス通路75と連通する一つの環状ガス分配通路76もある。前記第1のガス分割装置71について、多種の実施形態があり、図示したのはその中の一つであり、つまり、複数の線形に延びるガスガイドブロック71であることが理解される。理解の便宜上、次は線形に延びるガスガイドブロック71について本発明を説明する。
図5に示した構成において、各線形に延びるガスガイドブロック71上には、反応ガス分配プレート32の底面62までガスガイドブロック71を貫通する、若干の反応ガスの第3の通路83が設けられている。若干の反応ガスの第3の通路83は、対応する反応ガス供給プレート31におけるそれぞれの反応ガスの第2の通路52と相互に流体連通している。したがって、第2種の反応ガス22は、若干の反応ガスの第3の通路83を流れる。さらに、反応ガスの第4の通路84は、反応ガス分配プレート32に設けられて、反応ガス分配プレート32の頂面61と底面62とを貫通する。反応ガスの第4の通路84は、それを流れる第1種の反応ガス21の供給源とそれぞれ連通する。反応ガス供給プレート31に設けられた反応ガスの第2の通路52は、それと対応するガスガイドブロック71における反応ガスの第3の通路83と略同心に配置される。したがって、第2種の反応ガス22は、1セットの同心に配置した反応ガスの第2の通路52と第3の通路83とを流れて、反応ガス分配プレート32の底面62から逸出する。図示より、複数の径方向へ延びる主反応ガス分配通路74は、反応ガス供給プレート31の底面42に設けられた複数の径方向へ延びるガスガイド溝55と同心に配置していることがわかる。反応ガス供給プレート31から逸出した第1種の反応ガス21は、主、サブ、環状反応ガス分配通路74〜76に沿って伝送されて、十分均一に分布拡散されてから、反応ガス分配プレート32に設けられて頂面61と底面62とを貫通する反応ガスの第4の通路84を通過する。第1種と第2種の反応ガス21,22は、この第2種の反応ガス分配プレートを通過する時に、異なる経路を介して相互に分離される。また、本発明における他の実施の形態として、前記キャビティ64内に設けられる第1のガス分割装置またはガスガイドブロック71は、相互に間隔をあけて並列される若干の独立なガスガイド装置或いはガスガイド管(図示しない)より取り替えられる。上記のガスガイド装置またはガス導管は、第2の反応ガス分配プレート32にそれと連結するように設けられ、ガスガイド装置またはガス導管において中空のガス通路(前記若干の反応ガスの第3の通路83に相当する)が設けられ、複数のガスガイド装置またはガス導管がガスガイドブロック71と同様な機能を達成でき、つまり、第2種の反応ガス22をその上に設けられた中空のガス通路を流し、第2の反応ガス分配プレートの底面に流せるが、一方、第1の反応ガスは、相互に間隔をあけて均一に並列されるガスガイド装置またはガス導管の間において、十分に分布拡散されてから、第2の反応ガス分配プレート32の底面62に流出される。本発明において設けられた第2の反応ガス分配プレート32に設けられた第1のガス分割装置71またはガスガイド装置は、少なくとも2種の反応ガスが分割されて、異なる搬送通路または経路により第2の反応ガス分配プレート32を通過させることができ、また少なくとも一種の反応ガスが、この第2の反応ガス分配プレート32内において十分均一に分布拡散できることが理解される。
本発明におけるガス分配装置10は、さらに一つの第3の反応ガス分配プレート或いは第3の反応ガス搬送フェースプレート33を含み、これはそれぞれ図7〜図10を参照すればわかる。この第3の反応ガス分配プレートまたは第3の反応ガス搬送フェースプレート33は、頂面91と底面92とを有する本体90を具備する。またさらに、本体90はさらに一つの外縁93を含んで、頂面91と第2の反応ガス分配プレート32の底面62との間に一つのキャビティ94が形成されている。1セットの第2のガス分割装置(図示のような実施の形態において略直角形であるガス囲いリム)102は、本体90の頂面91と一体に連接するとともに、それと略垂直になって上方へ延びる。この略直角形であるガス囲いリム102は、異なるサイズからなり、所定のピッチで並列される。各直角形のガス囲いリム102は、一つの環状密閉空間を構成している連続的に連接する側壁103より構成される。側壁103において、一つの頂部外縁104も含む。各ガス囲いリム102の頂部外縁104は、いずれも第2の種反応ガス分配プレート32の底面62と並列する。図7に示すように、複数の反応ガスの第5の通路105は、各ガス囲いリム102の中に設けられて、その頂面91から底面92までに貫通する。第1種の反応ガス21は先ず第2種の反応ガス分配プレート32の底面62から逸出してから、対応したガス分配囲いリム102に入り、そして反応ガスの第5の通路105を流れて、被処理半導体ワーク14上に転送されることが理解される。またさらに、反応ガスの第6の通路106は、第3の反応ガス搬送フェースプレート33に設けられるとともに、複数のガス分配囲いリム102の間に介在される。反応ガスの第6の通路106は、反応ガス分配プレート32から逸出した第2種の反応ガス22を受け取る。反応ガスの第6の通路106は、頂面91と底面92とを貫通する。第2種の反応ガス22は、反応ガス搬送フェースプレート33の頂面92において略均一に分布されてから、反応ガスの第6の通路106を流れて、被処理半導体ワーク14に転送される。
図8、図9、図10を参照してみると、反応ガスの第5の通路105と反応ガスの第6の通路106とは、第1種と第2種の反応ガス21,22を均一に被処理半導体ワーク14上に搬送するために、所定のピッチで交互に反応ガス搬送プレート33の底面92を貫通している。この方式より、各反応ガスの第5の通路105と反応ガスの第6の通路106とが、1セットの底面92内に嵌め込んでいる円錐形のガス分配ホール110に接続する。このセットのガス分配ホール110は一つの中心ガス搬送ホール110a(図11)を含み、本発明における一つの態様において、中心ガス搬送ホール110aと被処理半導体ワーク14の中心との間には、一つの横方向におけるずれがある。この横方向のずれの位置は、符号110bで表示される。半導体ワーク14を処理する場合に、この水平に移動(例えば、回転)する半導体ワークの中心点110bにおいては、この横方向におけるずれによって半導体ワークの中心点110bにほかの箇所より厚いフィルムが堆積されないことが確保され、つまり、半導体ワークの中心点110bとほかの箇所との堆積フィルムの厚さがほぼ同一することを保証できるので、堆積されたフィルムの均一性を保証できる。当然ながら、実際の適用においては、異なるプロセスの要求及び作業条件に応じて、本発明における他の実施の形態として、この中心ガス搬送ホール110aと被処理半導体ワーク14の中心とが完全に同心に配置される場合もある。上記のような検討から、本発明に係るガス分配装置10において、反応ガスの第1、第4、第5の通路51,84,105を含む第1の反応ガス通路111と、反応ガスの第2、第3、第6の通路52,83,106を含む第2の反応ガス通路112とが形成されることがわかる。図示した構成において、第1種の反応ガス21は第1種の反応ガス通路111を流れ、第2種の反応ガス22は第2種の反応ガス通路112を流れて、両方が交互に底面92から逸出して、第1種と第2種のガス21,22を均一に底面92上に分布させる。本発明における一つの態様において、反応ガス搬送フェースプレート33はドーズが殆んど等量である第1種と第2種の反応ガス21,22をその付近に置かれた回転中の半導体ワーク14上に搬送する。本発明における他の態様において、反応ガス搬送フェースプレート33は量が異なる第1種と第2種の反応ガス21,22を半導体ワーク14上に搬送する。半導体ワーク14の上にある化学反応エリア24に搬送される前に、第1種と第2種の反応ガス21,22は、第1、第2、第3の反応ガス分配プレート31〜33を通過する際に分離の状態に保持されて、互いに混合されない。
−操作方法−
以下、本発明における実施例の操作方法について簡潔に説明する。
本発明における第一の側面は、第1種と第2種の反応ガス21,22のガス供給源に接続する一つの反応ガス供給プレート31を備えている半導体処理に使用されるガス分配装置10である。また、ガス分配装置10は、反応ガス供給プレート31からガスを受け取る一枚の反応ガス分配プレート32を備えている。ガス分配装置10は、反応ガス分配プレート32からガスを受け取る一つの反応ガス搬送フェースプレート33を備えている。前述したように、第1種と第2種の反応ガス21,22は、反応ガス供給プレート31、反応ガス分配プレート32、反応ガス搬送フェースプレート33を通過する際に、それぞれ分離されて、その後ほぼ均一な方式により反応ガス搬送フェースプレート33から逸出して、被処理半導体ワーク14に搬送される。
本発明におけるさらに他の側面において、第2反応ガス分配プレート32が第1と第3のガス分配プレート31,33の間に置かれ、連接している第1、第2、第3のガス分配プレート31〜33を備えている半導体処理に使用されるガス分配装置10である。図示した構成において、第1と第2のガス分配プレート31,32の間に第1のキャビティ64が形成され、第2と第3のガス分配プレート32,33の間に第2のキャビティ94が形成される。1セットの第1のガス分割装置71は、第2の反応ガス分配プレート32と一体に連接して上方へ延び、所定のピッチで並列している。複数の第1のガス分割装置71は、第1のキャビティ64の中に第1のガス分配プレート31と並列している。複数の第1のガス分割装置71により、若干の反応ガスの第1の通路、つまり前述した反応ガスの第3の通路83が形成される。またさらに、第2の反応ガス分配プレート32には、第1のガス分割装置71の周囲に均一に分布されている1セットの貫通する反応ガスの第2の通路、つまり前述した反応ガスの第4の通路84が設置されている。本発明に係るガス分配装置10は、第3のガス分配プレート33と一体に連接して垂直となり、上方へ延び、所定のピッチで並列されている、1セットの第2のガス分割装置102をさらに備える。図示した構成において、第2のガス分割装置102も、第2のキャビティ94の中に配置されて第2の反応ガス分配プレート32と並列されている。1セットの反応ガス第3の通路、即ち前述した反応ガスの第5の通路105は、少なくとも部分的に複数の第2のガス分割装置102の中に設けられて、第3のガス分配プレート33を貫通する。またさらに、1セットの反応ガスの第4の通路、即ち前述した反応ガスの第6の通路106は、第3のガス分配プレート33を貫通して設けられ、均一に周囲における複数の第2のガス分割装置102に分布する。前記のように、第1種と第2種の反応ガス通路111,112においては、第3のガス分配プレート33から逸出するまで、第1種と第2種の反応ガス21,22が第1と第2の反応ガス通路111,112に沿って搬送され互いに分離されるように、複数の反応ガス通路が含まれる。
本発明は、堆積領域24を有する一つの処理反応器11と、その上に置かれて堆積領域24にある処理される半導体ワーク14を水平に支持し移動させるためのベース23とを含む半導体ワーク処理反応器も包含する。またさらに、本発明には、第1、第2、第3の反応ガス分配プレート31〜33を含み、処理反応器11において半導体ワーク14の近くに配置されている、ガス分配装置10を備える。各反応ガス分配プレートは、この少なくとも2種の反応ガス21,22を水平移動する半導体ワーク14上に搬送するために、所定の連通関係で接続している複数の反応ガス通路51,52,83,84,105,106を含むことにより、互いに隔離された少なくとも第1と第2の反応ガス通路111,112を提供する。上記のガス分配装置10は、反応ガス21,22を反応領域24に入る前に十分均一に分布拡散させ、同時に既存技術におけるガス分配装置内部のパーティクルの発生を回避して、半導体ワーク14上に堆積されたフィルムにおける性能を改善することができる。
以上の説明は、本発明に係るいつかの好ましい実施形態に基づいたもので、本発明の範囲を制限するものではない。本発明の装置に対しては、部品への本技術分野における周知の置き換え、組み合わせ、分立、及び本発明の実施ステップへの本技術分野における周知の等価変更又は置換えのいずれも、本発明の範囲に属する。
本発明に係るガス分配装置を適用した半導体ワーク処理反応器を簡単化した縦断面図である。 半導体ワーク処理のためのガス分配装置を分解した縦断面図である。 ガス分配装置の反応ガス供給プレートの上面図である。 ガス分配装置の反応ガス供給プレートの底面図である。 ガス分配装置の反応ガス分配プレートの上面図である。 ガス分配装置の反応ガス分配プレートの底面図である。 ガス分配装置の反応ガス搬送フェースプレートの上面図である。 ガス分配装置の反応ガス搬送フェースプレートの底面図である。 反応ガス搬送フェースプレートの縦断面図である。 図9の縦断面図において符号10にかかる部分の一部の拡大図である。 図7の反応ガス搬送フェースプレートの局部の拡大上面図である。

Claims (20)

  1. 少なくとも第1種と第2種の反応ガスの供給源と連通する反応ガス供給プレートと、
    前記反応ガス供給プレートに連接しており、前記第1種と第2種の反応ガスのうち少なくとも1種を前記反応ガス分配プレートにおいてほぼ均一に分布拡散させる少なくとも一枚の反応ガス分配プレートと、
    前記反応ガス分配プレートに連接する反応ガス搬送フェースプレートとを備え、
    前記第1種と第2種の反応ガスが前記反応ガス供給プレート、反応ガス分配プレート、及び反応ガス搬送フェースプレートをそれぞれ通過する過程において、前記第1種と第2種の反応ガスが常に隔離され、最後に、ほぼ均一分布の方式で前記反応ガス搬送フェースプレートから逸出するガス分配装置。
  2. 前記反応ガス供給プレートは、頂面と底面を有しており、その略中心である箇所に前記頂面と底面を貫通する反応ガスの第1の通路が設けられているとともに、前記反応ガス供給プレートには前記頂面と底面を貫通する複数の反応ガスの第2の通路も設けられ、また前記第1種の反応ガスの供給源がこの反応ガスの第1の通路と連通し、第2種の反応ガスの供給源がこの複数の反応ガスの第2の通路と連通することを特徴とする請求項1に記載のガス分配装置。
  3. 前記反応ガス供給プレートの底面に径方向へ延びる複数のガスガイド溝が設けられており、前記ガスガイド溝はそれぞれこの反応ガスの第1の通路と連通し、且つ前記反応ガス供給プレートの底面から逸出した前記第1種と第2種の反応ガスが互いに分離していることを特徴とする請求項2に記載のガス分配装置。
  4. 前記反応ガス供給プレートは、一つの表面領域と一つの外縁とを具備し、前記径方向へ延びる複数のガスガイド溝が径方向に沿って外に向けて前記反応ガス供給プレートの外縁まで延び、且つ前記反応ガス供給プレートの表面領域において前記複数の反応ガスの第2の通路がほぼ均一に分布していることを特徴とする請求項3に記載のガス分配装置。
  5. 前記反応ガス分配プレートは、前記反応ガス供給プレートと前記ガス搬送フェースプレートとの間に設けられており、また、前記反応ガス分配プレートが前記反応ガス供給プレートの底面と並列している頂面、および前記反応ガス搬送プレートと並列している底面を有し、前記反応ガス分配プレートの頂面上に所定のピッチで上方へ延びてこの頂面と略垂直になる複数のガスガイドブロックが設けられ、且つ各ガスガイドブロックに複数の反応ガスの第3の通路が設けられ、この反応ガスの第3の通路が前記各ガスガイドブロックを貫通して前記反応ガス分配プレートの底面に到達するとともに、前記各ガスガイドブロックに形成された前記若干の反応ガスの第3の通路が、それぞれ前記反応ガス供給プレートに形成された複数の反応ガスの第2の通路と同心に配置されていることを特徴とする請求項2に記載のガス分配装置。
  6. 前記複数のガスガイドブロックは、所定のピッチで互いに間隔を空けて設けられており、この複数のガスガイドブロックはセット単位で設けられているが、各セットの間に複数の略径方向へ延びる主反応ガス分配通路が形成され、前記ガス分配装置にはこの複数のガスガイドブロックを囲む一つの反応ガス環状分配通路も設けられ、前記反応ガス環状分配通路がこの主ガス分配通路と連通するとともに、前記複数のガスガイドブロックの周囲を囲んでおり、且つ、前記複数のガスガイドブロックの間には前記主反応ガス分配通路と連通する若干のサブ反応ガス分配通路がさらに分布し、また、前記反応ガス分配プレートの頂面上にある前記主反応ガス分配通路、前記反応ガス環状分配通路、前記サブ反応ガス分配通路において所定のほぼ均一な方式でそれらの間に分布する反応ガスの第4の通路も含み、さらに前記反応ガス分配プレートの底面まで延び、前記反応ガス供給プレートから逸出した前記第1種の反応ガスが前記主反応ガス分配通路、前記反応ガス環状分配通路、前記サブ反応ガス分配通路によってほぼ均一に前記反応ガス分配プレートの頂面上に分布したのち、前記反応ガスの第4の通路に入り、前記反応ガス分配プレートの底面から逸出し、また、前記反応ガス分配プレートを通過する際には、前記第1種と前記第2種の反応ガスが分離状態に保持されていることを特徴とする請求項5に記載のガス分配装置。
  7. 前記反応ガス搬送フェースプレートは、前記反応ガス分配プレートと並列し、前記反応ガス分配プレートを通過した前記第1種と前記第2種の反応ガスを前記頂面を通過させるための頂面と、前記頂面に対応して、被処理半導体ワークとの間に所定の距離を保持している底面とを有しており、前記反応ガス搬送フェースプレートの頂面には、所定のピッチで互いに間隔を空けて並列する、この頂面と略垂直になって上方へ延びる複数のガス分配囲いリムが設けられており、前記ガス分配囲いリムに囲まれた内部領域において、前記頂面から底面まで延びる複数の反応ガスの第5の通路が形成され、前記第1種の反応ガスが前記反応ガス分配プレートの底面から逸出して、対応したガス分配囲いリムの内部に入り込んで、前記複数の反応ガスの第5の通路を通過し、被処理半導体ワークに到達し、前記複数のガス分配囲いリムの間には、前記反応ガス分配プレートから逸出した前記第2種の反応ガスを受け取るために、前記頂面から底面まで延びる反応ガスの第6の通路が形成されており、また第2種の反応ガスが前記反応ガス搬送フェースプレートの頂面上においてほぼ均一に分布してから、前記反応ガスの第6の通路を経由して前記被処理半導体ワーク上に搬送されることを特徴とする請求項2に記載のガス分配装置。
  8. 前記反応ガスの第5の通路と第6の通路は、所定のピッチで相互に間隔をあける方式で交互に前記反応ガス搬送フェースプレートの底面に配列されることによって、前記第1種と第2種の反応ガスがほぼ均一に被処理半導体ワーク上に分布することを特徴とする請求項7に記載のガス分配装置。
  9. 前記反応ガス搬送フェースプレート上には、若干のガス分配ホールが形成されており、前記反応ガスの第5の通路と第6の通路がガス分配ホールに接し、前記複数のガス分配ホールが、前記被処理半導体ワークの中心と水平偏心の関係となる中心ガス分配ホールを含むことを特徴とする請求項7に記載のガス分配装置。
  10. 前記反応ガス供給プレートと、前記ガス分配プレートと、前記ガス搬送フェースプレートとが、機械的に連接されていることを特徴とする請求項1に記載のガス分配装置。
  11. 前記反応ガス供給プレートと、前記ガス分配プレートと、前記ガス搬送フェースプレートとが、真空ブレイズ溶接や真空ヒューズ溶接により、一体のガス分配装置を形成することを特徴とする請求項1に記載のガス分配装置。
  12. 反応ガス供給プレートと、少なくとも一枚の反応ガス分配プレートと、反応ガス搬送フェースプレートとを備え、
    前記反応ガス供給プレートは、少なくとも第1と第2の反応ガスの供給源と連通し、頂面と底面とを有して、略中心の箇所には前記頂面と前記底面を貫通する反応ガスの第1の通路が設けられ、前記第1種の反応ガスの供給源が前記反応ガスの第1の通路と連通することによって前記第1種の反応ガスが前記底面から逸出し、前記頂面には、当該頂面上に設けられるとともに互いに間隔をあけた複数の第1の領域内に分布する、前記底面まで貫通する複数の反応ガスの第2の通路をさらに含んでおり、
    前記反応ガス分配プレートは、前記反応ガス供給プレートの底面と並列している頂面と前記頂面に相対した底面とを有し、前記頂面には、若干の第2の領域内に並列している、間隔をあけた複数のガスガイドブロックが設けられており、これらの若干の第2の領域が前記反応ガス供給プレート上に形成された前記若干の第1の領域とそれぞれ対応し、且つ、前記各ガスガイドブロック上には、前記反応ガス分配プレートの底面まで延びる若干の反応ガスの第3の通路が形成されており、当該若干の第3の通路が前記反応ガス供給プレートに形成された前記反応ガスの第2の通路とそれぞれに連通し、また前記第2種の反応ガスは、前記若干の反応ガスの第3の通路を流れるが、前記第1種の反応ガスは、前記頂面と底面との間に設けられる反応ガスの第4の通路を経由して流れ、
    前記反応ガス搬送フェースプレートは、前記反応ガス分配プレートの底面と並列している頂面と当該頂面に相対する底面とを有し、当該頂面上には、間隔をあけた複数のガス囲いリムが取り付けられ、対応したガス囲いリムにおいて前記底面まで貫通する反応ガスの第5の通路が設けられ、前記第1種の反応ガスが当該通路を流れ、また前記頂面上において、前記複数のガス囲いリムの間にはその底面まで貫通する反応ガスの第6の通路が設けられ、前記第2種の反応ガスが当該通路を流れ、また第1種と第2種の反応ガスが、ほぼ均一に分布させる方式で前記底面から逸出するガス分配装置。
  13. 前記第1種と第2種の反応ガスは、前記反応ガス供給プレート、前記反応ガス分配プレート、前記反応ガス搬送フェースプレートを通過する際に、分離状態に保持されていることを特徴とする請求項12に記載のガス分配装置。
  14. 前記反応ガス供給プレートの底面には、前記第1の領域にある径方向へ延びる複数のガスガイド溝が設けられており、また、前記第1種の反応ガスを搬送する前記反応ガスの第1の通路が、前記径方向へ延びる複数のガスガイド溝と連通していることを特徴とする請求項12に記載のガス分配装置。
  15. 前記反応ガス分配プレートの頂面における前記複数の第2の領域の間には、径方向へ延びる1セットの主反応ガス分配通路が設けられ、且つ、前記各ガスガイドブロックの間に1セットのサブ反応ガス分配通路が形成されており、前記反応ガス分配プレートの頂面上には、複数のガスガイドブロックで構成される前記若干の第2の領域を囲んで、径方向へ延びる前記主反応ガス分配通路と連通する、一つの環状反応ガス分配通路がさらに形成されており、また、径方向へ延びる前記主反応ガス分配通路は、対応する前記反応ガス供給プレートの底面に形成された径方向へ延びる前記各ガスガイド溝と同心に配置され、前記第1種の反応ガスが前記反応ガス供給プレートから逸出して、前記主、サブ、環状反応ガス分配通路に沿って分布し、前記反応ガスの第4の通路は所定の間隔関係に従って前記主、サブ、環状反応ガス分配通路に沿って設けられ、前記第1種の反応ガスがこの反応ガスの第4の通路に沿って前記反応ガス分配プレートから逸出することを特徴とする請求項14に記載のガス分配装置。
  16. 前記第1種と第2種の反応ガスは、前記反応ガス搬送プレートの底面から逸出してから、反応が開始して、被処理半導体ワーク上に搬送されることを特徴とする請求項12に記載のガス分配装置。
  17. 第2のガス分配プレートが第1と第3のガス分配プレートの間に置かれ、第1のガス分配プレートと第2のガス分配プレートとの間には第1のキャビティが形成され、第2のガス分配プレートと第3のガス分配プレートとの間には第2のキャビティが形成されている、互いに連接している第1、第2、第3のガス分配プレートと、
    前記第1のキャビティに前記第1のガス分配プレートに対して並列して、前記第2のガス分配プレートと略垂直に連接しており、且つ互いに所定のピッチで配列される若干の第1のガス分割装置と、
    第1ガスを受け取って搬送するために、少なくとも一部分が前記複数の第1のガス分割装置で構成されて、前記第2のガス分配プレートを貫通する、若干の反応ガスの第1の通路と、
    第2ガスを受け取って搬送するために、前記第2のガス分配プレートを貫通して設けられるとともに、均一に前記第1のガス分割装置の周囲に分布する、若干の反応ガスの第2の通路と、
    この第2ガスを受け取って搬送するために用いられ、前記第2のキャビティ内に前記第2のガス分配プレートに対して並列し、互いに所定のピッチで配列され、前記第3のガス分配プレートと略垂直に連接している、若干の第2のガス分割装置と、
    前記第2ガスを受け取って搬送するために、少なくとも一部分が前記複数の第2のガス分割装置で構成されるとともに、前記第3のガス分配プレートを貫通する、若干の反応ガスの第3の通路と、
    前記第1ガスを受け取って搬送するために、前記第3ガス分配プレートを貫通して設けられ、均一に前記第2のガス分割装置の周囲に分布している、若干の反応ガス第4の通路と、を備え、
    また、第1種と第2種の反応ガスに係る経路が、前記反応ガスの第1、第2、第3、第4の通路により決定され、前記第3のガス分配プレートから逸出するまでに、2種の反応しようとするガスがそれぞれ第1種と第2種の反応ガス経路に沿って伝送されて互いに隔離されるガス分配装置。
  18. 堆積領域を具備する処理チャンバーと、
    処理の際に前記処理チャンバーの堆積領域に位置する被処理半導体ワークを支持並びに水平移動させるための、移動可能なベースと、
    複数の反応ガス分配プレートを含んでおり、少なくとも2種の相互に隔離された反応ガス経路を提供するために、各反応ガス分配プレート上に1セットの所定の接続関係により相互に連通される反応ガス通路が設けられることによって、少なくとも第1と第2の反応ガスを相互に隔離されてほぼ均一に分布するように、前記移動可能な半導体ワーク上に搬送し、かつ前記処理チャンバー内に取り付けられて半導体ワークの近くに配置されているガス分配装置とを備える半導体ワーク処理反応器。
  19. 前記複数の反応ガス分配プレートは、一つの第1の反応ガス供給プレートと、少なくとも一つの第2の反応ガス分配プレートと、一つの第3の反応ガス搬送フェースプレートとを含むことを特徴とする請求項18に記載の半導体ワーク処理反応器。
  20. 第1の反応ガス分割装置と、第2の反応ガス分割装置とをさらに備え、
    前記第1の反応ガス分割装置は、前記第2の反応ガス分配プレートと一体に連接し、1セットの反応ガスの第1の通路と、前記第2の反応ガス分配プレートに設けられて均一に当該第1の反応ガス分割装置の周囲に分布している若干の反応ガスの第2の通路とを含む複数の反応ガス通路が形成されており、
    前記第2の反応ガス分割装置は、前記第3の反応ガス分配プレートと一体に連接し、若干の反応ガスの第3の通路と、前記第3の反応ガス分配プレートに設けられて均一に当該第2の反応ガス分割装置の周囲に分布している1セットの反応ガスの第4の通路とを含む複数の反応ガス通路が形成されており、
    また、前記反応ガスの第1、第2、第3、第4の通路は、少なくとも一部が、前記第1種と第2種のガスに係る流通経路を含むことを特徴とする請求項19に記載の半導体ワーク処理反応器。
JP2007194499A 2006-10-18 2007-07-26 半導体ワーク処理反応器に用いられるガス分配装置 Active JP4773407B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CNB2006101172602A CN100451163C (zh) 2006-10-18 2006-10-18 用于半导体工艺件处理反应器的气体分布装置及其反应器
CN200610117260.2 2006-10-18

Publications (2)

Publication Number Publication Date
JP2008103679A true JP2008103679A (ja) 2008-05-01
JP4773407B2 JP4773407B2 (ja) 2011-09-14

Family

ID=38865175

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007194499A Active JP4773407B2 (ja) 2006-10-18 2007-07-26 半導体ワーク処理反応器に用いられるガス分配装置

Country Status (5)

Country Link
US (1) US7658800B2 (ja)
JP (1) JP4773407B2 (ja)
KR (1) KR100955371B1 (ja)
CN (1) CN100451163C (ja)
TW (1) TW200823317A (ja)

Families Citing this family (356)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
EP3483919A1 (en) * 2008-12-04 2019-05-15 Veeco Instruments Inc. Chemical vapor deposition flow inlet elements and methods
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
CN102754190B (zh) * 2009-07-15 2015-09-02 应用材料公司 Cvd腔室的流体控制特征结构
KR101141842B1 (ko) * 2009-07-20 2012-05-07 주식회사 엠티아이피 화학기상증착용 샤워 헤드 및 그 제조 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI557183B (zh) 2015-12-16 2016-11-11 財團法人工業技術研究院 矽氧烷組成物、以及包含其之光電裝置
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
USD725168S1 (en) * 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9905400B2 (en) 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102417934B1 (ko) 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
TWI570187B (zh) 2015-12-17 2017-02-11 財團法人工業技術研究院 光學固態預聚物與模塑組成物
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
USD793526S1 (en) * 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD790039S1 (en) * 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD794753S1 (en) * 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD799646S1 (en) 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11834743B2 (en) 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
CN111101117B (zh) * 2018-10-29 2022-07-22 北京北方华创微电子装备有限公司 匀气装置和半导体处理设备
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (ja) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11266005B2 (en) * 2019-02-07 2022-03-01 Fermi Research Alliance, Llc Methods for treating superconducting cavities
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
DE102019119019A1 (de) * 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US20210032753A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Methods and apparatus for dual channel showerheads
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
JP2022551152A (ja) * 2019-10-14 2022-12-07 ラム リサーチ コーポレーション 二重プレナムフラクタルシャワーヘッド
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
USD967351S1 (en) 2020-10-20 2022-10-18 Applied Materials, Inc. Showerhead reflector
USD969980S1 (en) 2020-10-20 2022-11-15 Applied Materials, Inc. Deposition chamber showerhead
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03122281A (ja) * 1989-10-06 1991-05-24 Anelva Corp Cvd装置
JPH08291385A (ja) * 1995-04-20 1996-11-05 Tokyo Electron Ltd 処理装置のシャワーヘッド構造及び処理ガスの供給方法
JPH10298763A (ja) * 1997-04-25 1998-11-10 Ulvac Japan Ltd Cvd装置用ガス導入ノズル
JPH11124676A (ja) * 1997-10-22 1999-05-11 Kokusai Electric Co Ltd プラズマcvd装置
JPH11158662A (ja) * 1997-12-01 1999-06-15 Hitachi Ltd プラズマ処理方法およびプラズマ処理装置
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
JP2001323377A (ja) * 2000-03-16 2001-11-22 Applied Materials Inc 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート
JP2002110567A (ja) * 2000-10-03 2002-04-12 Mitsubishi Electric Corp 化学気相成長装置および該装置による半導体ウエハの成膜方法
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2003504866A (ja) * 1999-07-08 2003-02-04 ジーナス・インコーポレイテッド Cvdおよびpecvdプロセス中に基板に均一ガス送出を行う方法および装置
JP2004214669A (ja) * 2003-01-03 2004-07-29 Ips Ltd 薄膜蒸着用反応容器
JP2005347624A (ja) * 2004-06-04 2005-12-15 Tokyo Electron Ltd ガス処理装置および成膜装置

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1659308A (en) * 1927-04-30 1928-02-14 Abbott Britton Vaughan Squash racket
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US6022414A (en) * 1994-07-18 2000-02-08 Semiconductor Equipment Group, Llc Single body injector and method for delivering gases to a surface
CN1186873A (zh) * 1996-11-26 1998-07-08 西门子公司 带多个气体入口和独立质流控制回路的反应室的分布板
JP3476638B2 (ja) 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
US6089184A (en) 1997-06-11 2000-07-18 Tokyo Electron Limited CVD apparatus and CVD method
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US20030061991A1 (en) * 2001-08-24 2003-04-03 Asml Us, Inc. Protective shield and system for gas distribution
KR100525462B1 (ko) * 2002-11-05 2005-11-02 주식회사 에버테크 샤워헤드 및 이를 구비하는 반응챔버
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
KR100589283B1 (ko) * 2004-06-23 2006-06-14 주식회사 아이피에스 박막증착장치용 샤워헤드
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03122281A (ja) * 1989-10-06 1991-05-24 Anelva Corp Cvd装置
JPH08291385A (ja) * 1995-04-20 1996-11-05 Tokyo Electron Ltd 処理装置のシャワーヘッド構造及び処理ガスの供給方法
JPH10298763A (ja) * 1997-04-25 1998-11-10 Ulvac Japan Ltd Cvd装置用ガス導入ノズル
JPH11124676A (ja) * 1997-10-22 1999-05-11 Kokusai Electric Co Ltd プラズマcvd装置
JPH11158662A (ja) * 1997-12-01 1999-06-15 Hitachi Ltd プラズマ処理方法およびプラズマ処理装置
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
JP2003504866A (ja) * 1999-07-08 2003-02-04 ジーナス・インコーポレイテッド Cvdおよびpecvdプロセス中に基板に均一ガス送出を行う方法および装置
JP2001323377A (ja) * 2000-03-16 2001-11-22 Applied Materials Inc 半導体ウェーハ処理システムのシャワーヘッドのための上下続きの2つのガスのフェースプレート
JP2002110567A (ja) * 2000-10-03 2002-04-12 Mitsubishi Electric Corp 化学気相成長装置および該装置による半導体ウエハの成膜方法
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2004214669A (ja) * 2003-01-03 2004-07-29 Ips Ltd 薄膜蒸着用反応容器
JP2005347624A (ja) * 2004-06-04 2005-12-15 Tokyo Electron Ltd ガス処理装置および成膜装置

Also Published As

Publication number Publication date
CN101058872A (zh) 2007-10-24
TWI325898B (ja) 2010-06-11
KR20080035442A (ko) 2008-04-23
US7658800B2 (en) 2010-02-09
US20080092815A1 (en) 2008-04-24
JP4773407B2 (ja) 2011-09-14
KR100955371B1 (ko) 2010-04-29
TW200823317A (en) 2008-06-01
CN100451163C (zh) 2009-01-14

Similar Documents

Publication Publication Date Title
JP4773407B2 (ja) 半導体ワーク処理反応器に用いられるガス分配装置
US11501956B2 (en) Semiconductor reaction chamber showerhead
US10407771B2 (en) Atomic layer deposition chamber with thermal lid
US8277888B2 (en) Dual path gas distribution device
US9855575B2 (en) Gas injector and cover plate assembly for semiconductor equipment
KR20140042699A (ko) 성막 장치
US6861094B2 (en) Methods for forming thin layers of materials on micro-device workpieces
KR20150108780A (ko) 성막 장치
KR20090079919A (ko) 화학적 증착 시스템에서의 균일한 유체 흐름 전달 장치 및 방법
JP2005303292A (ja) 薄膜形成装置
US20120135609A1 (en) Apparatus and Process for Atomic Layer Deposition
JP7479808B2 (ja) 基板処理装置
US11970770B2 (en) Substrate processing apparatus having exhaust gas decomposer, and exhaust gas processing method therefor
KR101185376B1 (ko) 가스 분사 조립체 및 이를 이용한 박막증착장치
CN114641592A (zh) 金属沉积
KR100998850B1 (ko) 원자층 증착 장치
US11222771B2 (en) Chemical control features in wafer process equipment
KR20030002776A (ko) 박막 증착 장비
JP2022524280A (ja) 複数のプレナムおよびガス分配室を有する堆積ツール用のシャワーヘッド
JP7133240B2 (ja) プリカーサと反応ガスを共に噴射するラジカルユニット及びそれを含むald装置
KR20160051540A (ko) 웨이퍼회전장치
JPH05251374A (ja) バッチ式拡散・cvd装置、それに用いる廃ガス導出部材、及び排気方法
KR20180074351A (ko) Ald 박막 증착 방법
KR20110077262A (ko) 배치타입 원자층 증착장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080204

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101026

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110121

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110510

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110517

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110607

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110623

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140701

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4773407

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250