CN101058872A - 用于半导体工艺件处理反应器的气体分布装置及其反应器 - Google Patents

用于半导体工艺件处理反应器的气体分布装置及其反应器 Download PDF

Info

Publication number
CN101058872A
CN101058872A CNA2006101172602A CN200610117260A CN101058872A CN 101058872 A CN101058872 A CN 101058872A CN A2006101172602 A CNA2006101172602 A CN A2006101172602A CN 200610117260 A CN200610117260 A CN 200610117260A CN 101058872 A CN101058872 A CN 101058872A
Authority
CN
China
Prior art keywords
reactant gases
gas
grid
distribution
face
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006101172602A
Other languages
English (en)
Other versions
CN100451163C (zh
Inventor
陈爱华
王树林
何乃明
尹志尧
吕青
傅丽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Medium and Micro Semiconductor Equipment (Shanghai) Co., Ltd.
Original Assignee
Advanced Micro Fabrication Equipment Inc Shanghai
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Fabrication Equipment Inc Shanghai filed Critical Advanced Micro Fabrication Equipment Inc Shanghai
Priority to CNB2006101172602A priority Critical patent/CN100451163C/zh
Priority to US11/602,568 priority patent/US7658800B2/en
Priority to TW095143259A priority patent/TW200823317A/zh
Priority to JP2007194499A priority patent/JP4773407B2/ja
Priority to KR1020070076293A priority patent/KR100955371B1/ko
Publication of CN101058872A publication Critical patent/CN101058872A/zh
Application granted granted Critical
Publication of CN100451163C publication Critical patent/CN100451163C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开一种气体分布装置,包括反应气体供应板、至少一反应气体分布板以及反应气体传送面板,其中反应气体供应板与至少第一种和第二种反应气体相连通;反应气体分布板与反应气体供应板相连接,并使第一种和第二种反应气体中的至少一种在反应气体分布板中获得均匀地分布扩散;反应气体传送面板与反应气体分布板相连接;在第一种和第二种反应气体分别经过反应气体供应板、反应气体分布板和反应气体传送面板的过程中,第一种和第二种反应气体一直保持隔离,最后以均匀的分布方式逸出反应气体传送面板。本发明的气体分布装置可以有效改善沉积于半导体工艺件上的薄膜性能。本发明还公开了一种使用该气体分布装置的半导体工艺件处理反应器。

Description

用于半导体工艺件处理反应器的气体分布装置及其反应器
【技术领域】
本发明涉及一种用于半导体工艺件处理反应器的气体分布装置,尤其涉及一种安装在半导体工艺件处理反应器中的气体分布装置,该气体分布装置可用于向半导体工艺件传送气相化学物质,以便通过化学气相沉积、原子层沉积或类似方法,在半导体工艺件的表面上沉积均匀的薄膜或薄层。与此相关,本发明还涉及一种使用该气体分布装置的半导体工艺件处理反应器。
【背景技术】
化学气相沉积和原子层沉积是半导体制造中关键的工艺步骤。尤其氧化物层的沉积是集成电路制造中的重要环节。更具体地讲,若需要填充集成电路结构中的空隙,一般必须使用热处理工艺。通常使用正硅酸乙脂(tetraethylorthosilicate,TEOS)及臭氧(Ozone)来制造不掺杂的热处理氧化物薄膜。直至今日,传统设计的化学气相沉积腔室都包括一个化学物质分布喷淋头(showerhead chemical distribution system)。现有技术中的分布喷淋头大体上可以分为两种形式,一种为预混合分布喷淋头(pre-mixingshowerheads),另一种为后混合分布喷淋头(post-mixing showerheads)。在预混合分布喷淋头中,所有的参与反应的化学物质都在分布喷淋头中预先混合好,随后才从分布喷淋头中逸出进入反应区域,最终沉积在半导体工艺件上。
现有技术的预混合分布喷淋头技术的优点是,所有化学反应物在分布喷淋头内部被基本上充分混合,最后得到的化合物可以被均匀地沉积在半导体工艺件表面上。但是,在这些预混合分布喷淋头中,分布喷淋头的温度必须严格控制,以减少在预混合分布喷淋头内部可能发生的化学反应。在使用例如正硅酸乙脂和臭氧等化学物质进行热氧化物沉积处理时,由于正硅酸乙脂为液相化学物质,提高分布喷淋头的温度一般可以降低正硅酸乙脂液体冷凝的可能性,由此有利于正硅酸乙脂的传送。然而,分布喷淋头内的较高温度一般会降低臭氧的浓度,原因是臭氧的半衰期与温度密切相关。
更进一步地,人们已经熟知,当正硅酸乙脂和臭氧在分布喷淋头内预混合时,可能会发生聚合并生成颗粒。若这些聚合物颗粒被沉积到半导体工艺件表面,会降低在集成电路结构中最后得到的氧化物薄膜填补空隙的能力。
为解决现有预混合分布喷淋头的不足,人们由此提出了后混合分布喷淋头。例如,现有技术中存在的后混合分布喷淋头设计,如美国专利号5,624,498;5,963,834;6,148,761;6,086,677;6,089,184;6,245,192;6,302,964;6,415,736;6,435,428;以及美国专利申请公开号US2005/0263248;US2006/0021703。虽然这些原有设备取得了不同程度的成功,然而业界精英们仍在搜寻一种可以更可靠地传送多种反应化学物质到半导体工艺件的分布喷淋头,并根本避免现有技术的缺陷,包括形成可能沉积到半导体工艺件上或分布喷淋头内部的颗粒等。
【发明内容】
本发明的发明目的在于提供一种用于半导体工艺件处理反应器的气体分布装置,其克服了现有技术的不足,可以使得反应气体在进入反应区域之前被充分均匀地分布扩散,并同时克服在气体分布装置内部产生颗粒的不足,从而改善沉积于半导体工艺件上的薄膜性能。
本发明的又一发明目的在于提供一种使用该气体分布装置的半导体工艺件处理反应器,其同样能够改善沉积于半导体工艺件上的薄膜性能。
本发明是通过以下技术方法实现的:
依据本发明的一个方面,一种气体分布装置,包括:反应气体供应板,其至少与第一种和第二种反应气体相连通;至少一反应气体分布板,其与所述反应气体供应板相连接,并使所述第一种和第二种反应气体中的至少一种在所述反应气体分布板中获得大体上均匀地分布扩散;以及反应气体传送面板,其与所述反应气体分布板相连接,其中,在所述第一种和第二种反应气体分别经过所述反应气体供应板、反应气体分布板和反应气体传送面板的过程中,第一种和第二种反应气体一直保持隔离,最后以一种大体上均匀的分布方式逸出所述反应气体传送面板。
依据本发明的另一个方面,一种气体分布装置,包括:反应气体供应板,其与至少第一和第二反应气体源连通,其中所述反应气体供应板包括顶面和底面,在其大体中心位置处设置有一贯穿顶面和底面的反应气体第一通道,第一种反应气体与所述反应气体第一通道连通并从所述底面逸出,所述顶面进一步包括多个贯穿至底面的反应气体第二通道,所述多个反应气体第二通道分布在顶面上第一组预设的间隔区域上;至少一个反应气体分布板,包括一个与反应气体供应板的底面并置的顶面和一个相对于顶面的底面,其中所述反应气体分布板的顶面包括多个间隔开的气体导向块,排列在若干个第二区域中,该若干个第二区域与所述反应气体供应板上形成的若干个第一区域各自对齐,且在各个气体导向块上形成延伸至所述反应气体分布板底面的若干个反应气体第三通道,该若干个反应气体第三通道分别与所述反应气体供应板中形成的反应气体第二通道连通,第二种反应气体流过所述若干个反应气体第三通道,在所述反应气体分布板的顶面和底面间设置有反应气体第四通道,第一种反应气体与之连通并从中流过;以及反应气体传送面板,包括一个与反应气体分布板底面并置的顶面和一个相对于顶面的底面,其中在所述顶面上安装有多个间隔开的气体围边,对应的气体围边中设置有贯穿至底面的反应气体第五通道,第一种反应气体从中流过;在所述反应气体传送面板的顶面上,多个气体围边之间设置有贯穿至底面的反应气体第六通道,第二种反应气体从中流过;第一种和第二种反应气体以一种大致均匀分布的方式逸出所述反应气体传送面板的底面。
依据本发明的再一个方面,一种气体分布装置,包括:连接在一起的第一、第二和第三气体分布板,其中第二气体分布板置于第一和第三气体分布板之间,在第一气体分布板和第二气体分布板间形成第一空腔,在第二气体分布板和第三气体分布板间形成第二空腔;若干个与所述第二气体分布板连接并与之大体垂直的第一气体分隔装置,相互之间留有预设间距,且该第一气体分隔装置相对于第一气体分布板并置,并处于第一空腔中;若干个反应气体第一通道,至少部分地,由所述多个第一气体分隔装置形成,并且贯穿第二气体分布板,用于接收和传送第一气体;若干个反应气体第二通道,设置在所述第二气体分布板上并贯穿其中,并且均匀地分布在所述第一气体分隔装置周围,用于接收和传送第二气体;若干个与所述第三气体分布板连接并与之大体垂直的第二气体分隔装置,用于接收和传送该第二气体,所述若干个第二气体分隔装置位于第二空腔中并相对于第二气体分布板并置,第二气体分隔装置相互之间以一预设间距的方式排列;若干个反应气体第三通道,至少部分地,由所述多个第二气体分隔装置形成,并且贯穿第三气体分布板,用于接收和传送第二气体;;以及若干个反应气体第四通道,设置在所述第三气体分布板上并贯穿其中,均匀分布在所述第二气体分隔装置周围,用于接收和传送第一气体,其中,第一种和第二种反应气体的路径由所述反应气体第一、第二、第三和第四通道确定,两种反应气体分别沿第一种和第二种反应气体路径传输并保持相互隔离,直至逸出第三气体分布板。
依据本发明的又一个方面,一种半导体工艺件处理反应器,包括:处理腔室,其包括沉积区域;可移动的基座,用于在处理时支撑并水平地移动处于所述处理腔室的沉积区域中待处理的半导体工艺件;以及安装在所述处理腔室中并靠近半导体工艺件的气体分布装置,其包括多个反应气体分布板,每一个反应气体分布板上设置一组以预设连接关系相互连通的反应气体通道,以提供至少两种相互隔离的反应气体路径,从而可将至少第一和第二反应气体相互隔离地并且以一种大致均匀分布的方式传送到该可移动的半导体工艺件上。
【附图说明】
图1是运用本发明气体分布装置的半导体工艺件处理反应器的简化的横断纵向剖视图。
图2是用于半导体工艺件处理的本发明气体分布装置的分解横断纵向剖视图。
图3是本发明气体分布装置的反应气体供应板的顶视图。
图4是如图3所示的本发明气体分布装置的反应气体供应板的底视图。
图5是本发明气体分布装置的反应气体分布板的顶视图。
图6是本发明气体分布装置的反应气体分布板的底视图。
图7是本发明气体分布装置的反应气体传送面板的顶视图。
图8是如图7所示的本发明气体分布装置的反应气体传送面板的底视图。
图9是图7和图8所示反应气体传送面板的横断纵向剖视图。
图10是图9所示横断纵向剖视图中的标示数字10部分的局部放大图。
图11是图7所示反应气体传送面板的局部放大顶视图。
【具体实施方式】
请参阅图1,图1是运用本发明气体分布装置的半导体工艺件处理反应器的简化的横断纵向剖视图。本发明所述的气体分布装置可以被用于化学气相沉积(chemical vapor deposition,CVD)或原子层沉积(atomic layer deposition,ALD)。在如下说明中将以化学气相沉积为例说明。如图所示的气体分布装置10设置于处理反应器11中。处理反应器11包括环绕侧壁12以及由其环绕侧壁12形成的内部腔室13,内部腔室13内可接收并处理半导体工艺件(semiconductor work piece)14。所述半导体工艺件可以包括用于制造芯片的半导体基片(substrate)或晶圆(wafer),也可以包括用于制造平板显示器的玻璃基板。如图1所示,处理反应器11还包括一个顶面15,以及多个化学反应物质(此处示例表示为第一反应物质21和第二反应物质22)与该气体分布装置10连通。在下文的讨论中,作为本发明的一方面,所述第一种和第二种反应物质将被示例地描述为TEOS和OZONE,应当了解,反应物质不限于此。比如,本气体分布装置10也可以用于金属化合物的气相沉积(metaldeposition),用于此目的时,第一和第二反应物可以包括TiCl4和NH3来沉积TiN。当气体分布装置10被用于原子层沉积时,还可以沉积高介电常数K(法拉每厘米)的材料,例如,Al2O3,HFO2,HFSiO2,HFxSiyO2,及TA2O5。还可以用CVD或ALD方式来沉积WN膜,所用的第一和第二反应物的来源包括WF6和NH3。再者,第一和第二反应物可以被用来沉积TaN或TiN,包括Ta/Ti和H/N先驱气体。同时应当了解的是,一般会应用各种阀门和其它控制装置(未图示)来测量和控制这些第一种和第二种反应物质(21和22)以不同剂量输入气体分布装置10。从图1中还可看到,在气体分布装置10和基座23之间还设置有一个支撑元件或基座23,用于在水平方向上可水平移动地支撑半导体工艺件14。基座或支撑元件23可以包含加热元件,可选的方案包括电阻性发热元件、电感发热线圈元件、发热灯泡元件或其它可以用来向半导体工艺件14提供热量的加热方案。另外,应当理解的是,在反应器处理过程中,基座或支撑元件23可以被设置成能够水平的移动,以配合本发明的气体分布装置10共同作用在半导体工艺件14沉积出均匀的薄膜。该基座23的水平运动能够提高半导体工艺件14上沉积的膜的厚度的均一性、增强间隙填充能力、减少颗粒的产生、同时减少反应气体的用量。该水平的移动可以包括各种水平的运动方式:旋转、抖动、一前一后运动、非线性运动或上述运动的组合。作为本发明的一种较优的实施方式,所述可水平移动的基座23可以被设计为能够使半导体工艺件14以预设的转速水平转动。
半导体工艺件14置于化学反应区24中,该化学反应区24位于气体分布装置10和放置半导体工艺件14的基座23之间。应当了解,本发明气体分布装置10可如图1所示配置于单个工作平台或基座23的处理腔室中,用于处理一片半导体工艺件14,也可配置于含多个工作平台的处理腔室中,在不同的工作平台上可同时处理几片半导体工艺件14,每一个工作平台对应一个气体分布装置10。因此,广义来说,本发明涉及的半导体工艺件14的处理反应器11,包括设置于处理反应器11内部的沉积区域24、基座23以及气体分布装置10,所述基座23用于支撑待处理的半导体工艺件14,并且在处理半导体工艺件14时可以水平方向地移动,以提高半导体工艺件14上所沉积的薄膜的均一性;气体分布装置10安装在处理反应器11中靠近半导体工艺件14的位置处,用于向半导体工艺件14传送至少第一种反应气体21和第二种反应气体22,在传送过程中,该至少两种反应气体在穿过气体分布装置10时保持分离,不同的反应气体具有不同的气体传输路径,从而确保反应气体在气体分布装置10不会混合反应而产生影响薄膜品质的颗粒的问题。应当理解,本发明所述的第一种反应气体21或第二种反应气体22可以是仅包含一种化学反应物(如TEOS或OZONE)的反应气体,也可以是包含多种化学反应物的混合气体,比如,反应气体中包含一种或多种掺杂气体(dopantgas)。
请参阅图2,图2是用于半导体工艺件处理的本发明气体分布装置的分解横断纵向剖视图。气体分布装置10包含多个气体分布板30,此处表示为一个第一反应气体分布板或反应气体供应板31、至少一个第二反应气体分布板32、一个第三反应气体分布板或第三反应气体传送面板33。所述多个气体分布板30可以通过机械连接方式密封地连接在一起,也可以通过真空钎焊(vacuum braze welding)或真空熔焊(vacuum fuse welding)而形成一个整体的密闭的气体分布装置。请参阅图3和图4,图3和图4分别显示了第一反应气体分布板或反应气体供应板31的顶视图和底视图。该第一反应气体分布板31包括主体40,主体40包含顶面41、与顶面41相对的底面42以及外边沿43。主体40上设置有反应气体第一通道51,反应气体第一通道51在主体40的大致中心位置处设置或形成,并且贯穿顶面41和底面42。进一步地,在反应气体供应板31内设置有一组或若干个反应气体第二通道52,反应气体第二通道52贯穿其顶面和底面41和42。在如图所示结构中,第一种反应气体21通入反应气体第一通道51,而第二种反应气体22通入多个反应气体第二通道52。在图2所示情况下,第一、第二和第三反应气体分布板31、32和33分别包含一组反应气体通道,这一点在后文中将详述,这些通道以预定的连通方式分别连接,以提供相互的隔离的两种气体通道或路径来传送所述第一种和第二种反应气体21和22,从而将两种反应气体21和22以不同的传送路径传送至图1所示的移动(旋转)的半导体工艺件14上。如图示意,第一种反应气体21传送至反应气体第一通道51,而第二种反应气体22传送至多个反应气体第二通道52。如图3和4所示,可以看出多个反应气体第二通道52分布在多个预先间隔的区域53中,在各个区域53之间存在条状的、连续的空隙54。图4显示了第一反应气体分布板或反应气体供应板31的底视图,可以看到一组或多个径向延伸的气体导向槽55设置在若干个预设间隔开的第一区域53之间;反应气体第一通道51与此多个径向延伸的气体导向槽55连通。各个气体导向槽55从主体40的大体中心位置处向其外边沿43方向延伸。
第一、第二和第三气体分布板31、32和33之间紧密地被密封连接在一起,如图2及随后的图中所示,第二反应气体分布板32位于第一和第三气体分布板31和33之间。此处,第二反应气体分布板32包括一个大致呈圆形的主体60,主体60包含顶面61和底面62。更进一步地,主体60包含外边沿63。观察图2和图5可看出,在第一反应气体分布板或反应气体供应板31的底面42和第二反应气体分布板32的顶面61之间形成一个空腔64。从图2、5和6可最为清晰地看到,在第二反应气体分布板32的顶面61上设置有一组或多个第一气体分隔装置71,该第一气体分隔装置71与主体60连接为一体,且垂直其顶面61并向上延伸直至并置(juxtaposed)于反应气体供应板31的底面42上。该多个第一气体分隔装置71均匀地分布在空腔64内,并且每两个相邻的第一气体分隔装置71之间以一预设的间距分隔开。此外,每个第一气体分隔装置71上包括顶面72。组装在一起后,顶面72将并置在反应气体供应板31的底面42上。从图5最为易见,多个第一气体分隔装置71排列在若干个第二区域73中,与反应气体供应板31中设置的若干个第一区域53同向排列。此外,在多个若干个第二区域73相邻的地方设置有多个径向延伸的主反应气体分布通道74。除此之外,在各个第一气体分隔装置71之间形成若干个从反应气体分布通道75,每一个从反应气体分布通道75与径向延伸的主反应气体分布通道74相互连通。更进一步地,尚有一环绕的气体分布通道76,环绕着由多个第一气体分隔装置71形成的多个区域73,并与各个主或从反应气体通道74和75连通。应当理解,前述的第一气体分隔装置71可以多种实施方式,图中所示的为其中一种方式,即,多个线性延伸的气体导向块71。为了方便理解,下面将以线性延伸的气体导向块71来描述本发明。
在图5所示的结构中,在各个线性延伸的气体导向块71上设置有若干个反应气体第三通道83,通道83贯穿气体导向块71直达反应气体分布板32的底面62。若干个反应气体第三通道83分别与对应的反应气体供应板31中的反应气体第二通道52相互流体连通。因此,第二种反应气体22将流过若干个反应气体第三通道83。更进一步地,反应气体第四通道84被设置于反应气体分布板32上并贯穿反应气体分布板32的顶面61和底面62。反应气体第四通道分别连通流过其中的第一种反应气体21。反应气体供应板31中设置的反应气体第二通道52与对应的气体导向块71中的反应气体第三通道83大体上同心对齐。因此,第二种反应气体22流过一组同心对齐的第二和反应气体第三通道52与83,并逸出反应气体分布板32的底面62。从图中可以看出,多个径向延伸的主反应气体分布通道74与反应气体供应板31的底面42中设置的多个径向延伸的气体导向槽55同心对齐。逸出反应气体供应板31的第一种反应气体21沿主、从及环绕的反应气体分布通道74、75和76传输,并且被充分均匀地分布扩散,随后经过设于反应气体分布板32中并贯穿顶面61和底面62的反应气体第四通道84。第一种和第二种反应气体21和22在穿过该第二种反应气体分布板时,通过不同的路径保持相互分离。另外,作为本发明的另外一种实施方式,前述设置于空腔64内的第一气体分隔装置或气体导向块71可以由若干个相互间隔排列的独立的气体导引装置或气体导引管(未图示)取代。所述气体导引装置或气体导引管设置于第二反应气体分布板32上并和之相连,气体导引装置或气体导引管设置有中空的气体通道(相当于前述的若干个反应气体第三通道83),多个气体导引装置或气体导引管可以实现与气体导向块71相同的功能,即,一方面使得第二种反应气体22流过其上设置的中空的气体通道从而流出至第二反应气体分布板的底面,另一方面,第一反应气体可以在相互间隔设置的均匀排列的气体导引装置或气体导引管之间被充分地扩散分布,再流出至第二反应气体分布板的底面。可以理解,本发明所设置的第二反应气体分布板32上所设置的第一气体分隔装置71或气体导引装置可以使得至少两种反应气体被分隔开以不同的传送通道或路径经过第二反应气体分布板32,而且能够使至少一种反应气体在该第二反应气体分布板32内被充分均匀地分布扩散。
本发明的气体分布装置10还包括一个第三反应气体分布板或第三反应气体传送面板33,可分别参阅图7-10。该第三反应气体分布板或第三反应气体传送面板33包括主体90,主体90包含顶面91和底面92。更进一步地,主体90还包括一个外边沿93,并在顶面91和第二反应气体分布板32的底面62之间形成了一个空腔94。一组第二气体分隔装置(如图所示的实施方式为:大体呈直角形的气体围边)102与主体90的顶面91连接成一体,并与之大体呈垂直并向上延伸。该大体呈直角形的气体围边102包括不同尺寸,并以预设间距排列。每个直角形的气体围边102是由连续连接的侧壁103构成,侧壁103构成一个环绕的封闭空间。侧壁103还包括一个顶部边沿104。每个气体围边102的顶部外边沿104都与第二种反应气体分布板32的底面62并置。如图7所示,多个反应气体第五通道105设置于各个气体围边102之中,并从其顶面91贯穿至底面。应当理解的是,第一种反应气体21先从第二种反应气体分布板32的底面62逸出,再进入对应的气体分布围边102,随后流过反应气体第五通道105,从而被传递到被处理的半导体工艺件14上。更进一步地,反应气体第六通道106设置在第三反应气体传送面板33上,并且介于多个气体分布围边102之间。反应气体第六通道接收从反应气体分布板32逸出的第二种反应气体22。反应气体第六通道106贯穿顶面91和底面92。第二种反应气体22大体均匀分布在反应气体传送面板33的顶面92上,随后流过反应气体第六通道106,再被传递到被处理的半导体工艺件14上。
现在参阅图8、9和10,反应气体第五通道105和反应气体第六通道106以一种交替的、包括预设间距的方式贯穿反应气体传送板33的底面92,以便将第一种和第二种反应气体21和22均匀地传送到被处理的半导体工艺件14上。此种方式下,各个反应气体第五通道105和反应气体第六通道106都连接到一组嵌于底面92内的圆锥形气体分布孔110上。此组气体分布孔110包括一个中心气体传送孔110a(图11),在本发明的一种形式中,中心气体传送孔110a与被处理的半导体工艺件14的中心之间有一横向位移。这一横向位移的位置用数字110b表示。当处理半导体工艺件时,该水平移动(比如,旋转)的半导体工艺件的中心点110b可以因为该横向位移而不会在半导体工艺件的中心点110b处沉积厚于其他位置的薄膜,因而可以保证半导体工艺件的中心点110b处和其他位置处沉积的薄膜的厚度基本相同,保证沉积薄膜的均一。当然,在实际运用中,根据不同的工艺要求和操作条件,作为本发明的另一种实施方式,该中心气体传送孔110a与被处理的半导体工艺件14的中心也可以完全同心对齐。从前面的讨论中应当了解到,本发明的气体分布装置10形成了第一反应气体通路111,包括反应气体第一、第四和第五通道51、84和105;和第二反应气体通路112,包括反应气体第二、第三和第六通道52、83和106。在图示结构中,第一种反应气体21流过第一种反应气体通路111,第二种反应气体22流过第二种反应气体通路112,并以一种交替的方式从底面92逸出,使得第一种和第二种气体均匀分布在底面92上。在本发明的一种形式中,反应气体传送面板33传送近乎等剂量的第一种和第二种反应气体21和22到置于其附近的转动的半导体工艺件14上。在本发明的另一种形式中,反应气体传送面板33传送不等剂量的第一种和第二种反应气体21和22到半导体工艺件14上。在被传送到半导体工艺件14上方的化学反应区24之前,第一种和第二种反应气体在穿过第一、第二和第三反应气体分布板31、32和33时保持分离,不互相混合。
                          操作方法
针对本发明的实施方式的操作方法在此再作简要概述。
本发明的第一个方面是,一种用于半导体处理的气体分布装置10,包括一个连接到第一种和第二种反应气体21和22的气源的反应气体供应板31。此外,气体分布装置10包括一个从反应气体供应板31接收气体的反应气体分布板32。气体分布装置10包括一个从反应气体分布板32接收气体的反应气体传送面板33。如前文所讨论的,第一种和第二种反应气体21和22在穿过反应气体供应板31、反应气体分布板32和反应气体传送面板33时保持分离,随后以大体上均匀的方式选出反应气体传送面板33,以传送到被处理的半导体工艺件14上。
本发明的另一个方面是,一种用于半导体处理的气体分布装置10,包括连接在一起的第一、第二和第三气体分布板31、32和33,其中第二反应气体分布板32置于第一和第三气体分布板31和33之间。在如图所示结构中,在第一和第二气体分布板31和32之间形成第一空腔64,在第二和第三气体分布板32和33之间形成第二空腔94。一组第一气体分隔装置71与第二反应气体分布板32连接成一体并向上延伸,第一气体分隔装置71以预设的间距排列。多个第一气体分隔装置71与第一气体分布板31并置,置于第一空腔64中。多个第一气体分隔装置71形成若干个反应气体第一通道,即前文中所述反应气体第三通道83。更进一步地,在第二反应气体分布板32上设置有一组贯穿其中的反应气体第二通道,即前文所述反应气体第四通道84,反应气体第二通道在第一气体分隔装置71四周均匀分布。本发明的气体分布装置10进一步包括一组第二气体分隔装置102,与第三气体分布板33连接一体并与之垂直,向上延伸,并以预设的间距排列。在如图所示结构中,第二气体分隔装置102与第二反应气体分布板32并置,也置于第二空腔94中。一组反应气体第三通道,即前文所述反应气体第五通道105,至少部分设置于多个第二气体分隔装置102之中,并贯穿第三气体分布板33。更进一步地,一组反应气体第四通道,即前文所述反应气体第六通道106设置于第三气体分布板33上并贯穿其中,并均匀地分布于周围多个第二气体分隔装置102。如前所述,第一种和第二种反应气体通路111和112包含多个反应气体通道,其中第一种和第二种反应气体21和22沿第一和第二反应气体通路111和112传送并保持相互分离,直至逸出第三气体分布板33。
本文还描述了一种半导体工艺件处理反应器,包括一个包含沉积区域24的处理反应器11以及基座23,所述基座23用于水平地支撑并移动放置于其上且位于沉积区域24中待处理的半导体工艺件14。更进一步地,本发明包括一种安装在处理反应器11中靠近半导体工艺件14的气体分布装置10,其中气体分布装置10包括第一、第二和第三反应气体分布板31、32和33。各个反应气体分布板包含多个反应气体通道51、52、83、84、105和106,以预设的连通关系连接,以提供相互隔离的至少第一和第二反应气体通路111和112,以将该至少两种反应气体21和22传送到水平移动的半导体工艺件14上。所述气体分布装置10可以使得反应气体21和22在进入反应区域24之前被充分均匀地分布扩散,并同时克服在现有技术中的气体分布装置内部产生颗粒的不足,从而改善沉积于半导体工艺件上的薄膜性能。
以上介绍的仅仅是基于本发明的几个较佳实施例,并不能以此来限定本发明的范围。任何对本发明的装置作本技术领域内熟知的部件的替换、组合、分立,以及对本发明实施步骤作本技术领域内熟知的等同改变或替换均不超出本发明的揭露以及保护范围。

Claims (20)

1.一种气体分布装置,包括:
反应气体供应板,其至少与第一种和第二种反应气体相连通;
至少一反应气体分布板,其与所述反应气体供应板相连接,并使所述第一种和第二种反应气体中的至少一种在所述反应气体分布板中获得大体上均匀地分布扩散;以及
反应气体传送面板,其与所述反应气体分布板相连接,其中,在所述第一种和第二种反应气体分别经过所述反应气体供应板、反应气体分布板和反应气体传送面板的过程中,第一种和第二种反应气体一直保持隔离,最后以一种大体上均匀的分布方式逸出所述反应气体传送面板。
2.如权利要求1所述的气体分布装置,其特征在于:所述反应气体供应板包括顶面和底面,在其大体中心位置处设置有贯穿顶面和底面的反应气体第一通道,并且在反应气体供应板中还设置有多个贯穿顶面和底面的反应气体第二通道,其中,第一种反应气体与该反应气体第一通道连通,第二种反应气体与该多个反应气体第二通道连通。
3.如权利要求2所述的气体分布装置,其特征在于:所述反应气体供应板的底面设置有多个径向延伸的气体导向槽,每一个所述气体导向槽与该反应气体第一通道相连通,并且从所述反应气体供应板的底面逸出的第一种和第二种反应气体是相互分离的。
4.如权利要求3所述的气体分布装置,其特征在于:所述反应气体供应板包括一个表面区域和一个外边沿,其中所述多个径向延伸的气体导向槽径向向外延伸至所述反应气体供应板的外边沿,且在反应气体供应板表面区域上大体均匀地分布有该多个反应气体第二通道。
5.如权利要求2所述的气体分布装置,其特征在于:所述反应气体分布板设置于所述反应气体供应板和所述气体传送面板之间,其中反应气体分布板包括一个与反应气体供应板的底面并置的顶面以及一个与反应气体传送板并置的底面,在所述反应气体分布板的顶面上以预设间距设置有多个向上延伸并与该顶面大体垂直的气体导向块,其中每个气体导向块上设置有多个反应气体第三通道,该反应气体第三通道贯穿各个气体导向块并通向所述反应气体分布板的底面,并且各个气体导向块上形成的每一个若干个反应气体第三通道与所述反应气体供应板中形成的多个反应气体第二通道分别同心对齐。
6.如权利要求5所述的气体分布装置,其特征在于:所述多个气体导向块之间以一预设的间距相互间隔设置,该多个气体导向块成组设置并于组与组之间形成多个大体径向延伸的主反应气体分布通道,气体分布装置上还设置有一环绕该多个气体导向块的反应气体环绕分布通道,所述反应气体环绕分布通道与该主气体分布通道连通,并且环绕在所述多个气体导向块周围,而所述多个气体导向块之间进一步分布有若干个与主反应气体分布通道连通的次反应气体分布通道,其中,在所述反应气体分布板顶面上的主反应气体分布通道、反应气体环绕分布通道及次反应气体分布通道中还包括以预定的大致均匀的方式分布其间的反应气体第四通道,并进一步延伸至反应气体分布板的底面,且逸出所述反应气体供应板的第一种反应气体可被所述主反应气体分布通道、反应气体环绕分布通道及次反应气体分布通道大体均匀地分布于所述反应气体分布板的顶面上,随后进入该反应气体第四通道,从而从反应气体分布板的底面逸出,此外,在穿过反应气体分布板时,第一种和第二种反应气体保持分离。
7.如权利要求2所述的气体分布装置,其特征在于:所述反应气体传送面板包括一个与反应气体分布板并置的顶面,用以使穿过所述反应气体分布板的第一种和第二种反应气体穿过此顶面,以及一个相对于所述顶面的与被处理的半导体工艺件之间保持一定距离的底面;其中,在所述反应气体传送面板的顶面上设置有多个与之大体垂直并向上延伸的气体分布围边,所述多个气体分布围边以预定的间距相互间隔排列;在所述气体分布围边所围绕的内部区域内形成有多个从顶面延伸至底面的反应气体第五通道,所述第一种反应气体从反应气体分布板底面逸出后进入对应的气体分布围边内部并穿过所述多个反应气体第五通道,从而通向被处理的半导体工艺件;在所述多个气体分布围边之间形成有反应气体第六通道,用以接收逸出所述反应气体分布板的第二种反应气体,所述反应气体第六通道自顶面延伸至底面,其中第二种反应气体在所述反应气体传送面板的顶面上大致均匀分布后,再通过反应气体第六通道被传送到被处理的半导体工艺件上。
8.如权利要求7所述的气体分布装置,其特征在于:反应气体第五通道及反应气体第六通道以一种交替的、以预定间隔相互间隔的方式排布于所述反应气体传送面板的底面,从而使得第一种和第二种反应气体被大体均匀地分布于被处理的半导体工艺件上。
9如权利要求7所述的气体分布装置,其特征在于:所述反应气体传送面板上形成有若干个气体分布孔,其中反应气体第五通道和反应气体第六通道端接到气体分布孔上,所述多个气体分布孔包括一个中心气体分布孔,该中心气体分布孔与被处理的半导体工艺件的中心呈水平偏心关系。
10.如权利要求1所述的气体分布装置,其特征在于:所述反应气体供应板、气体分布板和气体传送面板是机械连接在一起的。
11.如权利要求1所述的气体分布装置,其特征在于:所述反应气体供应板、气体分布板和气体传送面板通过真空钎焊或真空熔焊而形成一个整体的气体分布装置。
12.一种气体分布装置,包括:
反应气体供应板,其与至少第一和第二反应气体源连通,其中所述反应气体供应板包括顶面和底面,在其大体中心位置处设置有一贯穿顶面和底面的反应气体第一通道,第一种反应气体与所述反应气体第一通道连通并从所述底面逸出,所述顶面进一步包括多个贯穿至底面的反应气体第二通道,所述多个反应气体第二通道分布在设置于顶面上并且相互间隔的多个第一区域内;
至少一反应气体分布板,包括一个与反应气体供应板的底面并置的顶面和一个相对于所述顶面的底面,其中所述反应气体分布板的顶面设置有多个间隔开的气体导向块,排列在设置于反应气体分布板上的若干个第二区域中,该若干个第二区域与所述反应气体供应板上形成的若干个第一区域各自对齐,且在各个气体导向块上形成延伸至所述反应气体分布板底面的若干个反应气体第三通道,该若干个反应气体第三通道分别与所述反应气体供应板中形成的反应气体第二通道相连通,第二种反应气体流过所述若干个反应气体第三通道,在所述反应气体分布板的顶面和底面间设置有反应气体第四通道,第一种反应气体与之连通并从中流过;以及
反应气体传送面板,包括一个与反应气体分布板底面并置的顶面和一个相对于顶面的底面,其中在所述顶面上安装有多个间隔开的气体围边,对应的气体围边中设置有贯穿至底面的反应气体第五通道,第一种反应气体从中流过;在所述反应气体传送面板的顶面上,多个气体围边之间设置有贯穿至底面的反应气体第六通道,第二种反应气体从中流过;第一种和第二种反应气体以一种大致均匀分布的方式逸出所述反应气体传送面板的底面。
13.如权利要求12所述的气体分布装置,其特征在于:所述第一种和第二种反应气体在穿过所述反应气体供应板、反应气体分布板以及反应气体传送面板时保持分离。
14.如权利要求12所述的气体分布装置,其特征在于:在反应气体供应板的底面上设置有多个处于第一区域中的径向延伸的气体导向槽,其中,传送第一种反应气体的所述反应气体第一通道与所述多个径向延伸的气体导向槽连通。
15.如权利要求14所述的气体分布装置,其特征在于:在所述反应气体分布板顶面的多个第二区域之间,设置有一组径向延伸的主反应气体分布通道,且在各个气体导向块之间形成一组从反应气体分布通道,在所述反应气体分布板的顶面上还形成一个环绕的反应气体分布通道,该通道环绕着由多个气体导向块形成的若干个第二区域,并与径向延伸的主反应气体分布通道连通,其中,径向延伸的主反应气体分布通道与相应的反应气体供应板的底面中形成的各个径向延伸的气体导向槽同心对齐,第一种反应气体逸出反应气体供应板,并沿所述主、从和环绕的气体分布通道分布,反应气体第四通道以预定的间隔关系沿主、从和环绕的反应气体分布通道设置,第一种反应气体沿反应气体第四通道逸出所述反应气体分布板。
16.如权利要求12所述的气体分布装置,其特征在于:所述第一种和第二种反应气体在逸出反应气体传送板的底面后开始反应,再被传送到被处理的半导体工艺件上。
17.一种气体分布装置,包括:
连接在一起的第一、第二和第三气体分布板,其中第二气体分布板置于第一和第三气体分布板之间,在第一气体分布板和第二气体分布板间形成第一空腔,在第二气体分布板和第三气体分布板间形成第二空腔;
若干个与所述第二气体分布板连接并与之大体垂直的第一气体分隔装置,相互之间留有预设间距,且该第一气体分隔装置相对于第一气体分布板并置,并处于第一空腔中;
若干个反应气体第一通道,至少部分地,由所述多个第一气体分隔装置形成,并且贯穿第二气体分布板,用于接收和传送第一气体;
若干个反应气体第二通道,设置在所述第二气体分布板上并贯穿其中,并且均匀地分布在所述第一气体分隔装置周围,用于接收和传送第二气体;
若干个与所述第三气体分布板连接并与之大体垂直的第二气体分隔装置,用于接收和传送该第二气体,所述若干个第二气体分隔装置位于第二空腔中并相对于第二气体分布板并置,第二气体分隔装置相互之间以一预设间距的方式排列;
若干个反应气体第三通道,至少部分地,由所述多个第二气体分隔装置形成,并且贯穿第三气体分布板,用于接收和传送第二气体;;以及
若干个反应气体第四通道,设置在所述第三气体分布板上并贯穿其中,均匀分布在所述第二气体分隔装置周围,用于接收和传送第一气体,其中,第一种和第二种反应气体的路径由所述反应气体第一、第二、第三和第四通道确定,两种反应气体分别沿第一种和第二种反应气体路径传输并保持相互隔离,直至逸出第三气体分布板。
18.一种半导体工艺件处理反应器,包括:
处理腔室,其包括沉积区域;
可移动的基座,用于在处理时支撑并水平地移动处于所述处理腔室的沉积区域中待处理的半导体工艺件;以及
安装在所述处理腔室中并靠近半导体工艺件的气体分布装置,其包括多个反应气体分布板,每一个反应气体分布板上设置一组以预设连接关系相互连通的反应气体通道,以提供至少两种相互隔离的反应气体路径,从而可将至少第一和第二反应气体相互隔离地并且以一种大致均匀分布的方式传送到该可移动的半导体工艺件上。
19.如权利要求18所述的半导体工艺件处理反应器,其特征在于:所述多个反应气体分布板包括一个第一反应气体供应板、至少一个第二反应气体分布板、以及一个第三反应气体传送面板。
20.如权利要求19所述的半导体工艺件处理反应器,进一步包括:
与第二反应气体分布板连接成一体的第一反应气体分隔装置,其中多个反应气体通道包括了第一反应气体分隔装置上设置的一组反应气体第一通道,以及第二反应气体分布板上设置的均匀分布在第一反应气体分隔装置周围的若干个反应气体第二通道;以及
与第三反应气体分布板连接一体的第二反应气体分隔装置,其中多个反应气体通道包括设置于第二反应气体分隔装置上的若干个反应气体第三通道,以及设置于第三反应气体分布板上并均匀分布在第二反应气体分隔装置周围的一组反应气体第四通道,其中,所述反应气体的第一、第二、第三和第四通道,至少部分地,包含了第一种和第二种气体的流通路径。
CNB2006101172602A 2006-10-18 2006-10-18 用于半导体工艺件处理反应器的气体分布装置及其反应器 Active CN100451163C (zh)

Priority Applications (5)

Application Number Priority Date Filing Date Title
CNB2006101172602A CN100451163C (zh) 2006-10-18 2006-10-18 用于半导体工艺件处理反应器的气体分布装置及其反应器
US11/602,568 US7658800B2 (en) 2006-10-18 2006-11-20 Gas distribution assembly for use in a semiconductor work piece processing reactor
TW095143259A TW200823317A (en) 2006-10-18 2006-11-22 Gas distribution device for treating reactor by semiconductor technological element and reactor thereof
JP2007194499A JP4773407B2 (ja) 2006-10-18 2007-07-26 半導体ワーク処理反応器に用いられるガス分配装置
KR1020070076293A KR100955371B1 (ko) 2006-10-18 2007-07-30 반도체 공작물 처리반응기에 사용되는 기체분배장치 및반응기

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CNB2006101172602A CN100451163C (zh) 2006-10-18 2006-10-18 用于半导体工艺件处理反应器的气体分布装置及其反应器

Publications (2)

Publication Number Publication Date
CN101058872A true CN101058872A (zh) 2007-10-24
CN100451163C CN100451163C (zh) 2009-01-14

Family

ID=38865175

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006101172602A Active CN100451163C (zh) 2006-10-18 2006-10-18 用于半导体工艺件处理反应器的气体分布装置及其反应器

Country Status (5)

Country Link
US (1) US7658800B2 (zh)
JP (1) JP4773407B2 (zh)
KR (1) KR100955371B1 (zh)
CN (1) CN100451163C (zh)
TW (1) TW200823317A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111101117A (zh) * 2018-10-29 2020-05-05 北京北方华创微电子装备有限公司 匀气装置和半导体处理设备

Families Citing this family (362)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN102308368B (zh) * 2008-12-04 2014-02-12 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
US8894767B2 (en) * 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
KR101141842B1 (ko) * 2009-07-20 2012-05-07 주식회사 엠티아이피 화학기상증착용 샤워 헤드 및 그 제조 방법
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI557183B (zh) 2015-12-16 2016-11-11 財團法人工業技術研究院 矽氧烷組成物、以及包含其之光電裝置
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US9175391B2 (en) * 2011-05-26 2015-11-03 Intermolecular, Inc. Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI654333B (zh) 2013-12-18 2019-03-21 美商蘭姆研究公司 具有均勻性折流板之半導體基板處理設備
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
USD725168S1 (en) * 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9905400B2 (en) 2014-10-17 2018-02-27 Applied Materials, Inc. Plasma reactor with non-power-absorbing dielectric gas shower plate assembly
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
TWI570187B (zh) 2015-12-17 2017-02-11 財團法人工業技術研究院 光學固態預聚物與模塑組成物
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
USD793526S1 (en) * 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD794753S1 (en) * 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD790039S1 (en) * 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD799646S1 (en) 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11834743B2 (en) 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP1648531S (zh) * 2019-01-28 2019-12-23
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11266005B2 (en) * 2019-02-07 2022-03-01 Fermi Research Alliance, Llc Methods for treating superconducting cavities
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
DE102019119019A1 (de) * 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US20210032753A1 (en) * 2019-07-30 2021-02-04 Applied Materials, Inc. Methods and apparatus for dual channel showerheads
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
WO2021076527A1 (en) * 2019-10-14 2021-04-22 Lam Research Corporation Dual plenum fractal showerhead
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
USD969980S1 (en) 2020-10-20 2022-11-15 Applied Materials, Inc. Deposition chamber showerhead
USD967351S1 (en) 2020-10-20 2022-10-18 Applied Materials, Inc. Showerhead reflector
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1659308A (en) * 1927-04-30 1928-02-14 Abbott Britton Vaughan Squash racket
JP2969596B2 (ja) * 1989-10-06 1999-11-02 アネルバ株式会社 Cvd装置
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
US6022414A (en) * 1994-07-18 2000-02-08 Semiconductor Equipment Group, Llc Single body injector and method for delivering gases to a surface
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
CN1186873A (zh) * 1996-11-26 1998-07-08 西门子公司 带多个气体入口和独立质流控制回路的反应室的分布板
JP3476638B2 (ja) 1996-12-20 2003-12-10 東京エレクトロン株式会社 Cvd成膜方法
JPH10298763A (ja) * 1997-04-25 1998-11-10 Ulvac Japan Ltd Cvd装置用ガス導入ノズル
US6089184A (en) 1997-06-11 2000-07-18 Tokyo Electron Limited CVD apparatus and CVD method
JPH11124676A (ja) * 1997-10-22 1999-05-11 Kokusai Electric Co Ltd プラズマcvd装置
JP3501930B2 (ja) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ プラズマ処理方法
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
JP2002110567A (ja) * 2000-10-03 2002-04-12 Mitsubishi Electric Corp 化学気相成長装置および該装置による半導体ウエハの成膜方法
JP2002261036A (ja) * 2001-02-28 2002-09-13 Dainippon Screen Mfg Co Ltd 熱処理装置
US20030061991A1 (en) * 2001-08-24 2003-04-03 Asml Us, Inc. Protective shield and system for gas distribution
KR100525462B1 (ko) * 2002-11-05 2005-11-02 주식회사 에버테크 샤워헤드 및 이를 구비하는 반응챔버
KR100509231B1 (ko) * 2003-01-03 2005-08-22 주식회사 아이피에스 박막증착용 반응용기
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US7572337B2 (en) 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
JP4451221B2 (ja) * 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
KR100589283B1 (ko) * 2004-06-23 2006-06-14 주식회사 아이피에스 박막증착장치용 샤워헤드
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111101117A (zh) * 2018-10-29 2020-05-05 北京北方华创微电子装备有限公司 匀气装置和半导体处理设备

Also Published As

Publication number Publication date
CN100451163C (zh) 2009-01-14
TW200823317A (en) 2008-06-01
KR20080035442A (ko) 2008-04-23
US20080092815A1 (en) 2008-04-24
TWI325898B (zh) 2010-06-11
JP4773407B2 (ja) 2011-09-14
US7658800B2 (en) 2010-02-09
JP2008103679A (ja) 2008-05-01
KR100955371B1 (ko) 2010-04-29

Similar Documents

Publication Publication Date Title
CN100451163C (zh) 用于半导体工艺件处理反应器的气体分布装置及其反应器
KR102156389B1 (ko) 반도체 처리를 위한 가스 분배 샤워헤드
EP1129234B1 (en) Dual channel gas distribution plate
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
CN101107384B (zh) 带有布置于平面中的前腔室的气体分配器
KR100446486B1 (ko) 화학증착(cvd) 및 플라즈마강화 화학증착(pecvd)공정에서 기질에 균일한 가스공급을 제공하는 방법 및 장치
US9855575B2 (en) Gas injector and cover plate assembly for semiconductor equipment
KR20170136432A (ko) 균일한 기상 증착을 위한 매니폴드들
KR20090079919A (ko) 화학적 증착 시스템에서의 균일한 유체 흐름 전달 장치 및 방법
CN101068950A (zh) 气体分配系统
JP2004214669A (ja) 薄膜蒸着用反応容器
KR20210048408A (ko) 반도체 증착 반응기 매니폴드
US9328419B2 (en) Gas treatment apparatus with surrounding spray curtains
CN113122824A (zh) 淋喷头组件和部件
US20130008382A1 (en) Thin-film forming device
US20240062993A1 (en) Temperature-controlled showerhead assembly for cyclic vapor deposition
US20210310123A1 (en) Flush fixture for showerhead
KR101789021B1 (ko) 박막증착장치
KR20130002331U (ko) 열전달 유체에 의한 teos 적용을 위한 정밀 온도 제어
KR20020058483A (ko) 원자층 증착 장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP03 Change of name, title or address
CP03 Change of name, title or address

Address after: 201201 No. 188 Taihua Road, Jinqiao Export Processing Zone, Pudong New Area, Shanghai

Patentee after: Medium and Micro Semiconductor Equipment (Shanghai) Co., Ltd.

Address before: 201201 No. 188 Taihua Road, Jinqiao Export Processing Zone, Pudong, Shanghai

Patentee before: Advanced Micro-Fabrication Equipment (Shanghai) Inc.