KR20170136432A - 균일한 기상 증착을 위한 매니폴드들 - Google Patents

균일한 기상 증착을 위한 매니폴드들 Download PDF

Info

Publication number
KR20170136432A
KR20170136432A KR1020170065507A KR20170065507A KR20170136432A KR 20170136432 A KR20170136432 A KR 20170136432A KR 1020170065507 A KR1020170065507 A KR 1020170065507A KR 20170065507 A KR20170065507 A KR 20170065507A KR 20170136432 A KR20170136432 A KR 20170136432A
Authority
KR
South Korea
Prior art keywords
bore
gas
manifold
longitudinal axis
end portion
Prior art date
Application number
KR1020170065507A
Other languages
English (en)
Other versions
KR102163744B1 (ko
Inventor
데이비드 마르쿠아드
앤드류 마이클 예드낙 Iii
에릭 제임스 쉬어로
허버트 테르호르스트
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20170136432A publication Critical patent/KR20170136432A/ko
Priority to KR1020200127402A priority Critical patent/KR102504326B1/ko
Application granted granted Critical
Publication of KR102163744B1 publication Critical patent/KR102163744B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

균일한 기상 퇴적을 위한 매니폴드를 포함하는 반도체 장치가 개시된다. 상기 반도체 장치는 보어를 포함하고, 내벽을 갖는 매니폴드를 포함할 수 있다. 상기 내벽은 상기 보어를 적어도 부분적으로 정의할 수 있다. 상기 보어의 제1 축 부분은 상기 매니폴드의 종축을 따라 연장될 수 있다. 공급 채널은 가스 소스 및 상기 보어 사이의 유체 연통을 제공할 수 있다. 상기 공급 채널은 상기 가스 소스로부터 상기 보어까지 가스를 전달하도록 상기 매니폴드의 상기 내벽을 통해 적어도 부분적으로 환형인 갭을 정의하는 슬릿을 포함할 수 있다. 상기 적어도 부분적으로 환형인 갭은 상기 종축에 대하여 회전될 수 있다.

Description

균일한 기상 퇴적을 위한 매니폴드들{Manifolds for uniform vapor deposition}
분야는 전반적으로 균일한 기상 증착을 위한 매니폴드들에 관한 것이며, 특히 원자 층 증착(ALD) 반응기들에서 반응물 혼합을 개선하기 위한 매니폴드들에 관한 것이다.
기판들의 표면들 상에 박막들을 증착시키기 위한 여러 개의 기상 증착 방법들이 있다. 이들 방법들은 진공 증발 증착, 분자 빔 에피택시(MBE), 화학적 기상 증착(CVD)의 상이한 변형들(저-압 및 유기금속 CVD 및 플라즈마-강화 CVD를 포함한), 및 원자 층 증착(ALD)을 포함한다.
ALD 프로세스에서, 코팅될 적어도 하나의 표면을 가진 하나 이상의 기판들이 증착 챔버로 도입된다. 기판은 원하는 온도로, 통상적으로 선택된 증기 상 반응물들의 응결 온도들 이상 및 그것들의 열 분해 온도들 미만으로 가열된다. 하나의 반응물은 기판 표면상에서 원하는 산물을 형성하기 위해 흡착된 종들의 이전 반응물과 반응할 수 있다. 2, 3 또는 그 이상의 반응물들은 통상적으로 공간적으로 및 시간적으로 분리된 펄스들에서, 기판에 제공된다.
예에서, 제 1 펄스에서, 전구체 재료를 나타낸 제 1 반응물은 웨이퍼 상에서의 자기-제한 프로세스에서 주로 온전하게 흡착된다. 프로세스는 증기 상 전구체가 전구체의 흡착된 부분과 반응하거나 또는 그것에서 흡착할 수 없기 때문에 자기-제한적이다. 임의의 남아있는 제 1 반응물이 웨이퍼 또는 챔버로부터 제거된 후, 기판상에서의 흡착된 전구체 재료는 원하는 재료의 단지 단일 분자 층만을 형성하기 위해 후속 반응물 펄스와 반응하였다. 후속 반응물은, 예로서 표면을 다시 반응성이게 만들기 위해 흡착된 전구체 재료로부터 리간드들을 벗기고, 리간드들을 교체하며 화합물을 위한 부가적인 재료를 남기는 등을 할 수 있다. 순수한 ALD 프로세스에서, 단층 미만이 입체 장해로 인해 평균적으로 사이클마다 형성되고, 그에 의해 전구체 분자들의 크기는 기판상에서 흡착 사이트들로의 액세스를 방지하며, 이것은 후속 사이클들에서 이용 가능해질 수 있다. 보다 두꺼운 막들이 타겟 두께가 달성될 때까지 반복된 성장 사이클들을 통해 생성된다. 성장 레이트는 종종 이론적으로 성장이 단지 사이클들의 수에만 의존하기 때문에 사이클당 옹스트롬들에 관하여 제공되며, 각각의 펄스가 포화적이며 온도가 이들 반응물들을 위한 이상적인 ALD 온도 윈도우(열 분해도 없고 응결도 없는) 내에 있는 한, 공급된 질량 또는 온도에 의존하지 않는다.
반응물들 및 온도들은 통상적으로 프로세스 동안 반응물들의 응결 및 열 분해 양쪽 모두를 회피하기 위해 선택되며, 따라서 화학적 반응은 다수의 사이클들을 통해 성장에 책임이 있다. 그러나, ALD 프로세싱에 대한 특정한 변형들에서, 조건들은, 하이브리드 CVD 및 ALD 반응 메커니즘들을 이용함으로써, 가능하게는 사이클당 하나의 분자 단층을 넘어, 사이클당 성장 레이트들을 변경하기 위해 선택될 수 있다. 다른 변형들은 아마 반응물들 사이에서 약간의 공간적 및/또는 시간적 중첩을 허용한다. ALD 및 그것의 변형들에서, 2, 3, 4 또는 그 이상의 반응물들은 단일 사이클에서 순차적으로 공급될 수 있으며, 각각의 사이클의 콘텐트는 조성에 맞도록 변경될 수 있다.
통상적인 ALD 프로세스 동안, 그 모두가 증기 형태에 있는, 반응물 펄스들은 증기 상에서의 반응물들 사이에서 직접 상호 작용을 회피하기 위해 반응물 펄스들 사이에서 제거 단계들을 갖고 반응 공간(예로서, 반응 챔버)으로 순차적으로 펄싱된다. 예를 들면, 불활성 가스 펄스들 또는 "퍼지(purge)" 펄스들은 반응물들의 펄스들 사이에 제공될 수 있다. 불활성 가스는 가스 상 혼합을 회피하기 위해 다음 반응물 펄스 전에 하나의 반응물 펄스의 챔버를 축출한다. 자기-제한 성장을 획득하기 위해, 충분한 양의 각각의 전구체가 기판을 포화시키기 위해 제공된다. 실제 ALD 프로세스의 각각의 사이클에서 성장 레이트가 자기-제한적임에 따라, 성장의 레이트는 반응물의 플럭스보다는 반응 시퀀스들의 반복 레이트에 비례한다.
본 발명의 시스템들 및 방법들은, 그 중 어떤 단일의 것도 단지 그것의 바람직한 속성들에 책임이 없는, 여러 개의 특징들을 갖는다. 이어지는 청구항들에 의해 표현되는 바와 같이 본 발명의 범위를 제한하지 않고, 다양한 특징들이 이제 간단히 논의될 것이다. 본 논의를 고려한 후, 및 특히 "상세한 설명"이라는 명칭의 섹션을 판독한 후, 여기에서 설명된 특징들이 어떻게 종래의 가스 전달 방법들 및 시스템들에 대해 여러 개의 이점들을 제공하는지를 이해할 것이다.
일 실시예에서, 반도체 프로세싱 디바이스가 개시된다. 반도체 프로세싱 디바이스는 보어를 포함하며 내부 벽을 갖는 매니폴드를 포함할 수 있으며, 상기 내부 벽은 상기 보어를 적어도 부분적으로 정의한다. 상기 보어의 제 1 축방향 부분은 매니폴드의 세로 축을 따라 연장될 수 있다. 상기 반도체 프로세싱 디바이스는 가스 소스 및 상기 보어 사이에 유체 연통을 제공하는 공급 채널을 포함할 수 있다. 상기 공급 채널은 상기 가스 소스로부터 상기 보어로 가스를 전달하기 위해 상기 매니폴드의 내부 벽을 통한 적어도 부분적 환형 갭을 정의하는 슬릿을 포함할 수 있다. 상기 적어도 부분적 환형 갭은 상기 세로 축 주위를 돌 수 있다.
또 다른 실시예에서, 반도체 프로세싱 디바이스가 개시된다. 상기 반도체 프로세싱 디바이스는 보어 및 가스를 상기 보어에 공급하기 위해 가스 소스 및 상기 보어 사이에 유체 연통을 제공하는 공급 채널을 포함한 매니폴드를 포함할 수 있다. 상기 보어는 적어도 부분적 환형 단면을 갖는 환형 흐름 부분 및 비-환형 단면을 갖는 비-환형 흐름 부분을 가진 채널을 포함할 수 있으며, 상기 비-환형 부분은 상기 환형 흐름 부분의 아래쪽에 배치된다.
또 다른 실시예에서, 증착의 방법이 개시된다. 상기 방법은 공급 채널을 통해 매니폴드의 보어로 가스를 공급하는 단계를 포함할 수 있다. 상기 방법은 상기 가스가 적어도 부분적 환형 단면을 갖는 상기 매니폴드의 세로 축을 따라 흐르도록 상기 보어의 환형 흐름 부분에 적어도 부분적 환형 흐름 패턴을 생성하는 단계를 포함할 수 있다. 환형 흐름 부분의 아래쪽으로, 비-환형 흐름 패턴이, 상기 가스가 비-환형 단면을 갖고 세로 축을 따라 흐르도록 상기 보어의 비-환형 부분에 생성될 수 있다.
또 다른 실시예에서, 증착의 방법이 개시된다. 상기 방법은 공급 채널에 가스를 공급하는 단계를 포함할 수 있다. 상기 방법은 매니폴드의 내부 벽을 따라 적어도 부분적 환형 갭을 정의한 슬릿을 통해 상기 공급 채널로부터 상기 매니폴드의 보어로 가스를 지향시키는 단계를 포함할 수 있으며, 상기 적어도 부분적 환형 갭은 상기 매니폴드의 세로 축 주위를 돈다.
또 다른 실시예에서, 반도체 프로세싱 디바이스가 개시된다. 상기 반도체 프로세싱 디바이스는 그 안에 보어를 포함한 매니폴드를 포함할 수 있으며, 상기 보어는 상기 매니폴드의 제 1 단부 부분과 상기 매니폴드의 제 2 단부 부분 사이에서의 가스 통로를 정의한다. 상기 제 1 단부 부분은 상기 매니폴드의 세로 축을 따르는 상기 제 2 단부 부분의 반대편에 배치되며 그로부터 제 1 거리만큼 이격될 수 있다. 상기 가스 통로는 제 1 거리보다 큰 제 2 거리에 걸쳐 매니폴드를 통해 연장될 수 있다. 반응 챔버는 상기 보어의 아래쪽에 배치되며 그것과 유체 연통할 수 있다.
또 다른 실시예에서, 반도체 프로세싱 디바이스가 개시된다. 상기 반도체 프로세싱 디바이스는 매니폴드의 세로 축을 정의하는 축방향 부분 및 상기 세로 축에 비-평행하게 연장되는 측방향 부분을 가진 보어를 포함한 매니폴드를 포함할 수 있다. 상기 반도체 프로세싱 디바이스는 상기 세로 축을 따라 제 1 위치에서 상기 보어의 축방향 부분으로 가스를 공급하는 공급 채널을 포함할 수 있다. 상기 측방향 부분은 상기 제 1 위치의 아래쪽으로 제 2 위치에 배치될 수 있으며, 상기 측방향 부분은 상기 세로 축에 대해 비-평행하게 연장된다. 상기 반도체 프로세싱 디바이스는 보어의 아래쪽에 배치되며 그것과 유체 연통하는 반응 챔버를 포함할 수 있다.
또 다른 실시예에서, 증착의 방법이 개시된다. 상기 방법은 그 안에 보어를 포함한 매니폴드를 제공하는 단계를 포함할 수 있다. 상기 보어는 상기 매니폴드의 제 1 단부 부분과 상기 매니폴드의 제 2 단부 부분 사이에서의 가스 통로를 정의할 수 있다. 상기 제 1 단부 부분은 상기 매니폴드의 세로 축을 따라 상기 제 2 단부 부분의 반대편에 배치되며 그로부터 제 1 거리만큼 이격될 수 있다. 상기 방법은 상기 보어에 반응 가스를 공급하는 단계를 포함할 수 있다. 상기 방법은 제 2 거리에 걸쳐 가스 통로를 따라 상기 제 1 단부 부분으로부터 상기 제 2 단부 부분으로 상기 반응 가스를 지향시키는 단계를 포함할 수 있으며, 상기 제 2 거리는 상기 제 1 거리보다 크다.
또 다른 실시예에서, 증착의 방법이 개시된다. 상기 방법은 매니폴드의 세로 축을 정의하는 축방향 부분 및 상기 세로 축에 비-평행하게 연장되는 측방향 부분을 가진 보어를 포함한 매니폴드를 제공하는 단계를 포함할 수 있다. 상기 방법은 상기 세로 축을 따라 제 1 위치에서 상기 보어의 축방향 부분으로 반응 가스를 공급하는 단계를 포함할 수 있다. 상기 방법은 상기 세로 축에 평행한 보어의 축방향 부분을 통해 상기 반응 가스를 지향시키는 단계를 포함할 수 있다. 상기 축방향 부분의 아래쪽으로, 상기 반응 가스는 상기 세로 축에 비-평행한 방향으로 상기 보어의 측방향 부분을 통해 지향될 수 있다.
또 다른 실시예에서, 반도체 프로세싱 디바이스가 개시된다. 상기 반도체 프로세싱 디바이스는 가스의 소스 및 매니폴드를 통해 채널의 내부 벽을 정의하는 보어를 포함한 매니폴드를 포함할 수 있다. 공급 채널은 상기 보어의 내부 벽 상에서의 개구에 의해 상기 보어로 가스를 전달할 수 있다. 모든 가스는 상기 개구에 의해 상기 보어로 전달될 수 있다.
또 다른 실시예에서, 증착의 방법이 개시된다. 상기 방법은 내부 벽을 가지며 매니폴드를 통해 채널을 정의하는 보어를 포함한 매니폴드를 제공하는 단계를 포함할 수 있다. 상기 방법은 상기 보어의 내부 벽 상에서의 단일 개구를 통해 반응 가스의 전부를 공급하는 단계를 포함할 수 있다.
본 발명의 이들 및 다른 특징들, 양상들 및 이점들이 이제 여러 개의 실시예들의 도면들을 참조하여 설명될 것이며, 실시예들은 본 발명을 제한하기 위해서가 아닌 예시하도록 의도된다.
도 1a는 반도체 프로세싱 디바이스의 매니폴드를 통한 흐름 경로의 개략적인 예시이다.
도 1b는 라인들(1B-1B)을 따라 취해진, 도 1a에 도시된 흐름 경로의 개략적인 부분 가로 단면이다.
도 1c는 도 1a 및 도 1b의 흐름 경로에 따라 프로세싱되는 기판상에서의 가스 증착 패턴의 개략적인 상면도이다.
도 2는 다양한 실시예들에 따라 구성된 ALD 매니폴드의 사시도이다.
도 3a 내지 도 3d는 슬릿을 포함한 공급 채널이 보어에 가스를 공급하기 위해 사용되는, 반도체 디바이스의 실시예를 예시한다.
도 4a 내지 도 4f는 보어가 환형 흐름 부분 및 비-환형 흐름 부분을 포함하는, 반도체 디바이스의 또 다른 실시예를 예시한다.
도 5a 및 도 5b는 다양한 실시예들에 따른, 예시적인 증착 방법들을 예시한 흐름도들이다.
도 6a 내지 도 6j는 매니폴드가 연장된 혼합 길이를 갖는 반도체 프로세싱 디바이스의 실시예를 예시한다.
도 7a 및 도 7b는 다양한 실시예들에 따른, 예시적인 증착 방법들을 예시한 흐름도들이다.
도 8a 내지 도 8f는 단일 공급 티어가 보어에 가스를 공급하는 반도체 프로세싱 디바이스의 다양한 실시예들을 예시한다.
도 9는 다양한 실시예들에 따른, 예시적인 증착 방법을 예시한 흐름도이다.
기상 또는 가스 증착 프로세스들에서, 기판(예로서, 반도체 웨이퍼)의 폭 또는 주요 표면에 걸쳐 균일한 증착을 제공하는 것이 중요할 수 있다. 균일한 증착은 증착된 층들이 기판에 걸쳐 동일한 두께 및/또는 화학적 조성을 갖는 것을 보장하며, 이것은 통합 디바이스들(예로서, 프로세서들, 메모리 디바이스들 등)의 수율, 및 그러므로 기판당 수익성을 개선한다. 증착의 균일성을 개선하기 위해, 여기에서 개시된 다양한 실시예들은 반도체 프로세싱 시스템의 매니폴드 내에 공급된 상이한 가스들의 혼합 프로파일을 강화할 수 있다. 공급된 가스들의 강화된 혼합은 유익하게는 기판의 주요 표면에 걸쳐 비교적 균일한 가스 혼합물을 공급할 수 있다.
I. 원자 층 증착 프로세스들의 개요
여기에서 개시된 실시예들은 임의의 적절한 가스 또는 기상 증착 프로세스를 위해 구성된 반도체 프로세싱 디바이스들을 갖고 이용될 수 있다. 예를 들면, 예시된 실시예들은 원자 층 증착(ALD) 기술들을 사용하여 기판상에 재료를 증착시키기 위한 다양한 시스템들을 도시한다. 기상 증착 기술들 중에서, ALD는 낮은 온도들에서의 높은 점착성 및 프로세스 동안 조성의 미세 제어를 포함한, 많은 이점들을 가진다. ALD 형 프로세스들은 전구체 화학 물질들의 제어된, 자기-제한적 표면 반응들에 기초한다. 가스 상 반응들은 전구체들을 교번하여 및 순차적으로 반응 챔버에 공급함으로써 회피된다. 증기 상 반응물들은, 예를 들면, 반응물 펄스들 사이에서 반응 챔버로부터 과도한 반응물들 및/또는 반응 부산물들을 제거함으로써, 반응 챔버에서 서로로부터 분리된다. 제거는 펄스들 사이에서 압력을 축출하는 것 및/또는 낮추는 것을 포함하여, 다양한 기술들에 의해 성취될 수 있다. 펄스들은 연속 흐름에서 순차적일 수 있거나, 또는 반응기는 격리될 수 있으며 각각의 펄스에 대해 뒤채움될 수 있다.
간단하게, 기판은 반응 챔버로 로딩되며 일반적으로 낮아진 압력에서, 적절한 증착 온도로 가열된다. 증착 온도들은 통상적으로 전구체 열 분해 온도 미만이지만 반응물들의 응결을 회피하기 위해 및 원하는 표면 반응들을 위한 활성화 에너지를 제공하기 위해 충분히 높은 레벨에서 유지된다. 물론, 임의의 주어진 ALD 반응을 위한 적절한 온도 윈도우는 수반된 표면 종단 및 반응물 종들에 의존할 것이다.
제 1 반응물은 증기 상 펄스의 형태로 챔버로 전도되며 기판의 표면과 접촉된다. 조건들은 바람직하게는 단지 전구체의 약 하나의 단층만이 자기-제한적 방식으로 기판 표면상에 흡착되도록 선택된다. 과도한 제 1 반응물 및 반응 부산물들은, 만약에 있다면, 종종 질소 또는 아르곤과 같은 불활성 가스의 펄스를 갖고, 반응 챔버로부터 축출된다.
진공 펌프를 갖고 챔버를 떠남으로써 및/또는 아르곤 또는 질소와 같은 불활성 가스로 반응기 안에서의 가스를 교체함으로써와 같은, 반응 챔버를 축출하는 것은 증기 상 전구체들 및/또는 증기 상 부산물들이 반응 챔버로부터 제거된다. 단일 웨이퍼 반응기를 위한 통상적인 축출 시간들은 약 0.05 내지 20초들까지, 보다 바람직하게는 약 1 내지 10초들 사이에, 및 계속해서 더 바람직하게는 약 1 내지 2초들 사이에 있다. 그러나, 극히 높은 종횡비 구조들 또는 복잡한 표면 형태를 가진 다른 구조들 위에 층들을 증착시키는 것이 요구될 때, 또는 고 볼륨 배치 반응기가 이용될 때와 같은, 다른 축출 시간들이 원한다면 이용될 수 있다. 적절한 펄싱 시간들은 특정한 상황들에 기초하여 숙련공들에 의해 쉽게 결정될 수 있다.
제 2 가스 반응물은 그것이 표면에 결합된 제 1 반응물과 반응하는 경우 챔버로 펄싱된다. 표면 반응의 과도한 제 2 반응물 및 가스 부산물들은 바람직하게는 불활성 가스의 도움으로, 반응 챔버 밖으로 축출된다. 펄싱 및 축출의 단계들은 원하는 두께의 박막이 기판상에 형성되었을 때까지 반복되며, 각각의 사이클은 단지 분자 단층만을 남긴다. 몇몇 ALD 프로세스들은 교번되는 3개 이상의 전구체 펄스들을 갖는 보다 복잡한 시퀀스들을 가질 수 있으며, 여기에서 각각의 전구체는 요소들을 성장 막에 기부한다. 반응물들은 또한 그것들 자신의 펄스들에서 또는 전구체 펄스들을 갖고, 요소를 막에 기부하기보다는, 부착된 리간드들을 벗기거나 또는 제거하며 및/또는 부산물을 없애기 위해 공급될 수 있다. 부가적으로, 모든 사이클들이 동일한 필요는 없다. 예를 들면, 이진 막은 막의 화학량론을 제어하기 위해, 예로서 제 5 사이클마다, 제 3 반응 펄스의 드문 부가에 의해 제 3 요소로 도핑될 수 있으며, 주파수는 막 조성을 분류하기 위해 증착 동안 변할 수 있다. 게다가, 흡착 반응물로 시작하는 것으로 설명되지만, 몇몇 방안들은 예를 들면, ALD 반응들을 개시하도록 최대 반응 사이트들을 보장하기 위해, 다른 반응물로 또는 별개의 표면 처리로 시작할 수 있다(예로서, 특정한 방안들에 대해, 물 펄스는 특정한 ALD 전구체들에 대한 반응도를 강화하기 위해 기판상에 수산기들을 제공할 수 있다).
상기 언급된 바와 같이, 각각의 사이클의 각각의 펄스 또는 상은 바람직하게는 자기-제한적이다. 반응물 전구체들의 초과량이 민감한 구조 표면들을 포화시키기 위해 각각의 상에서 공급된다. 표면 포화는 모든 이용 가능한 반응 사이트들의 반응물 점유를 보장하며(예로서, 물리적 크기 또는 입체 장해 제약들을 겪는) 따라서 기판상에서의 임의의 지형에 대한 우수한 단차 피복을 보장한다. 몇몇 배열들에서, 자기-제한 거동의 정도는 예로서, 반응물 펄스들의 몇몇 중첩이 점착성에 대해 증착 속도를 트레이드 오프하도록 허용함으로써(몇몇 CVD-형 반응들을 허용함으로써) 조정될 수 있다. 시간 및 공간에서 잘 분리된 반응물들을 가진 이상적인 ALD 조건들은 거의 완벽한 자기-제한 거동 및 그에 따라 최대 점착성을 제공하지만, 입체 장해가 사이클당 1개 미만의 분자 층을 야기한다. 자기-제한적 ALD 반응들과 혼합된 제한된 CVD 반응들은 증착 속도를 올릴 수 있다. 여기에서 설명된 실시예들은 ALD 및 혼합-모드 ALD/CVD처럼, 순차적으로 펄싱된 증착 기술들에 대해 특히 유리하지만, 매니폴드가 또한 펄싱 또는 연속 CVD 프로세싱을 위해 이용될 수 있다.
사용될 수 있는 적절한 반응기들의 예들은 네덜란드, 알메러(Almere)의 ASM International로부터 이용 가능한, EmerALD® 또는 Eagle® 시리즈 반응기들 중 임의의 것과 같은 상업적으로 이용 가능한 ALD 장비를 포함한다. 전구체들을 펄싱하기 위한 적절한 장비 및 수단을 구비한 CVD 반응기들을 포함한, 박막들의 ALD 성장이 가능한 많은 다른 종류들의 반응기들이 이용될 수 있다. 몇몇 실시예들에서, 흐름 형 ALD 반응기가, 뒤채움형 반응기에 비교하여, 사용된다. 몇몇 실시예들에서, 매니폴드는 반응 공간으로 가스를 분배하도록 설계된 주입기, 특히 단일-웨이퍼 반응 공간 위에서의 샤워헤드 어셈블리와 같은 분산 메커니즘의 위쪽에 있다.
ALD 프로세스들은 클러스터 툴에 연결된 반응기 또는 반응 공간에서 선택적으로 실행될 수 있다. 클러스터 툴에서, 각각의 반응 공간이 일 유형의 프로세스에 전용되기 때문에, 각각의 모듈에서 반응 공간의 온도는 일정하게 유지될 수 있으며, 이것은 기판이 각각의 런 전에 프로세스 온도로 가열되는 반응기에 비교하여 스루풋을 개선한다. 독립형 반응기는 로드-록(load-lock)을 구비할 수 있다. 상기 경우에, 각각의 런 사이에서 반응 공간을 냉각시키는 것은 필요하지 않다. 이들 프로세스들은 또한 동시에 다수의 기판들을 프로세싱하도록 설계된 반응기, 예로서 미니-배치형 샤워헤드 반응기에서 실행될 수 있다.
도 1a는 반도체 프로세싱 디바이스의 매니폴드를 통한 흐름 경로(1)의 개략적 예시이다. 도 1a는 매니폴드의 내부 채널들의 상대적 방위 및 상호 연결을 보다 양호하게 예시하기 위해, 매니폴드 자체의 구조를 도시하지 않고, 매니폴드 안에서의 다양한 채널들의 구성을 예시한다. 예시된 흐름 경로(1)는 불활성 가스 유입구(20) 및 유출구(32)를 가진 보어(30)를 포함한다. 보어(30)의 단면적은 유입구(20)와 유출구(32) 사이에서 증가한다. 예시된 배열에서, 단면적은 테이퍼링 부분(34)에서 증가하며, 이것은 예시된 배열에서 반응물 흐름 경로들 중 일부의 병합기와 동시에 일어난다. 흐름 경로(1)는 또한 불활성 가스 분배 채널(40)과 유체 연통하는 제 2 불활성 가스 유입구(22)를 포함한다. 불활성 가스 분배 채널(40)은 일반적으로 보어(30)의 세로 축을 교차하는 평면에서 연장된다. 예시된 불활성 가스 분배 채널(40)은 원형 곡률을 따르며 전체 360°를 연장시키지만, 몇몇 실시예들에서, 불활성 또는 반응 가스 분배 채널들은 다른 형태들(예로서, 타원형)을 가질 수 있으며, 폐쇄 형태일 필요가 없고, 즉 C-형 채널과 같은, 단지 보어의 세로 축에 대해 어느 정도 연장될 수 있다.
불활성 가스 분배 채널(40)은, 그 각각이 불활성 가스 밸브에 연결될 수 있는, 두 개의 불활성 가스 통로들(42a, 42b)로 불활성 가스를 공급한다. 불활성 가스 통로들(42a, 42b)은 보어(30)의 축 주위에 분배된 상이한 각도 위치들에서 불활성 가스 분배 채널(40)과 연결한다(가로 단면에서 보여지는 바와 같이). 예시된 배열에서, 불활성 가스 통로들(42a, 42b)은 서로로부터 약 90°및 불활성 가스 유입구(22)가 불활성 가스 분배 채널(40)과 연결하는 경우 약 135°(반대 방향들로) 떨어져 불활성 가스 분배 채널(40)과 연결한다.
흐름 경로(1)는 또한 반응 가스 분배 채널(36)과 유체 연통하는 반응 가스 통로(37)를 포함한다. 반응 가스 분배 채널(36)은 일반적으로 보어(30)의 세로 축에 교차하는 평면에서 연장되며, 일반적으로 불활성 가스 분배 채널(40)과 중심이 같다. 반응 가스 분배 채널(36)은 그 각각이 보어(30)의 축에 대해 상이한 각도 위치에서 반응 가스 분배 채널(36)과 연결하는(가로 단면에서 보여지는 바와 같이), 다수의, 예로서 3개의 반응 가스 공급 채널들(38a, 38b, 38c)(그 중 두 개만이 도 1a에서 가시적이다)로 가스를 운반한다. 예시된 실시예에서, 반응 가스 공급 채널들(38a, 38b, 38c)의 각각은 반응 가스 통로(37)가 반응 가스 분배 채널(36)과 연결하는 곳으로부터 각도 오프셋되는 위치에서 반응 가스 분배 채널(36)과 연결한다. 반응 가스 공급 채널들(38a, 38b, 38c)은 또한 보어의 축 주위에 분배된 상이한 각도 위치들에서(가로 단면에서 보여지는 바와 같이), 및 보어(30)의 세로 축에 대하여 비스듬히(세로 단면에서 보여지는 바와 같이) 보어(30)와 연결한다.
흐름 경로(1)는 또한 반응 가스 분배 채널(50)과 유체 연통하는 또 다른 반응 가스 통로(44b)를 포함한다. 반응 가스 분배 채널(50)은 일반적으로 보어(30)의 세로 축에 교차하는 평면에서 연장된다. 반응 가스 분배 채널(50)은 그 각각이 보어(30)의 축 주위에서의 상이한 각도 위치에서 반응 가스 분배 채널(50)과 연결하는(가로 단면에서 보여지는 바와 같이), 다수의, 예로서 3개의 반응 가스 공급 채널들(52a, 52b, 52c)(그 중 단지 두 개만이 도 1a에서 가시적이다)로 반응 가스를 운반한다. 반응 가스 공급 채널들(52a, 52b, 52c)은 또한 보어의 축 주위에서의 상이한 각도 위치들에서(가로 단면에서 보여지는 바와 같이), 및 보어의 세로 축에 대해 비스듬히(세로 단면에서 보여지는 바와 같이) 보어(30)와 연결한다.
흐름 경로(1)는 또한 반응 가스 분배 채널(46)과 유체 연통하는 추가 반응 가스 유입구(44a)를 포함한다. 반응 가스 분배 채널(46)은 일반적으로 보어(30)의 세로 축에 교차하는 평면에서 연장된다. 반응 가스 분배 채널(46)은, 그 각각이 보어(30)의 축에 대해 상이한 각도 위치에서 반응 가스 분배 채널(46)과 연결하는(가로 단면에서 보여지는 바와 같이), 다수의, 예로서 3개의 반응 가스 공급 채널들(48a, 48b, 48c)로 반응 가스를 운반한다. 반응 가스 공급 채널들(48a, 48b, 48c)은 또한 보어(30)의 축에 대해 상이한 각도 위치들에서(가로 단면에서 보여지는 바와 같이), 및 보어(30)의 세로 축에 대하여 비스듬히(세로 단면에서 보여지는 바와 같이) 보어(30)와 연결한다. 반응 가스 공급 채널들(48a, 48b, 48c)의 각각은 반응 가스 공급 채널들(52a, 52b, 52c)이 보어와 연결하는 곳으로부터 각도 오프셋되는 위치에서 보어(30)와 연결한다. 반응 가스 공급 채널들(48a, 48b, 48c)은 또한 반응 가스 분배 채널(50)보다 보어(30)로부터 먼 거리인 반응 가스 분배 채널(46)로 인해 반응 가스 공급 채널들(52a, 52b, 52c)보다 큰 각도에서 보어(30)와 연결한다. 부가적으로, 보어(30)는 반응 가스 공급 채널들(52a, 52b, 52c, 48a, 48b, 48c)이 보어(30)와 병합하는 테이퍼링 부분(34)에서 넓어진다. 이것은 보다 평활한 병합기 및 반응물들의 혼합이 보어(30)의 업스트림 부분들에 들어가는 가스(예로서, 불활성 가스)의 흐름을 갖고 이 포인트에 들어가는 것을 허용한다.
도 1b는 라인들(1B-1B)을 따라 취해진, 도 1a에 도시된 흐름 경로의 개략적인 부분 가로 단면이다. 도 1b에 도시된 바와 같이, 반응 가스 공급 채널들(38a, 38b, 38c)은 보어의 축에 대해 상이한 각도 위치들에서 보어(30)와 연결한다. 도 1b에서 또한 도시되는 바와 같이, 반응 가스 공급 채널들(38a, 38b, 38c)의 수평 구성요소들은 보어의 축으로부터(또는 그것의 중심으로부터) 방사 방향으로 연장된다. 반응 가스 공급 채널들(52a, 52b, 52c) 및 반응 가스 공급 채널들(48a, 48b, 48c)의 수평 구성요소들은 또한 방사 방식으로 보어와 연결할 수 있다. 여기에서, "수평"은 접지에 대해 임의의 특정한 방위보다는, 보어 축에 가로 방향인, 단면의 평면에서 공급 채널들의 구성요소들을 운반하는 것으로 여겨진다.
따라서, 도 1a 및 도 1b에 도시된 흐름 경로(1)에서, 반응 가스 펄스는 3개의 별개의 공급 채널들 및 개구들을 통해 반응 가스를 보어(30)로 전달할 수 있다. 예를 들면, 일 펄스에서, 제 1 반응 가스는 공급 채널들(38a, 38b, 38c)에 의해 보어(30)로 공급될 수 있다. 또 다른 펄스에서, 제 2 반응 가스는 공급 채널들(52a, 52b, 52c)에 의해 보어(30)로 공급될 수 있다. 제 3 펄스에서, 제 3 반응 가스는 공급 채널들(48a, 48b, 48c)에 의해 보어(30)로 공급될 수 있다. 흐름 경로(40)의 부가적인 세부사항들, 및 흐름 경로(40)를 정의하는 반도체 프로세싱 디바이스들은, 2011년 10월 28일에 출원된, 미국 특허 출원 번호 제13/284738호 전체에 걸쳐 발견될 수 있으며, 그 내용들은 모든 목적들을 위해 전체적으로 여기에서 참조로서 통합된다.
도 1c는 도 1a 및 도 1b의 흐름 경로(1)에 따라 프로세싱되는 기판상에서의 가스 증착 패턴(90)의 개략적인 상면도이다. 도 1c에 도시된 바와 같이, 증착 패턴(90)은 보다 낮은 농도들에서의 주변 영역들과 함께, 높은 농도의 반응 가스 혼합물들을 가진 영역들의 3개의 별개의 스팟들(92)을 포함한다. 3개의 별개의 스팟들(92)은 동일한 반응 가스를 보어(30)로 및 궁극적으로 기판으로 운반하는 3개의 별개의 공급 채널들(공급 채널들(52a 내지 52c, 48a 내지 48c, 38a 내지 38c)과 같은)과 연통하는 보어(30)로의 3개의 별개의 개구들의 사용에서 비롯될 수 있다. 이러한 불균일 증착은, 기판의 상이한 영역들이 상이한 증착 화학적 성질들 및/또는 두께들을 가질 수 있으며, 이것은 궁극적으로 디바이스 수율을 감소시킬 수 있기 때문에, 바람직하지 않을 수 있다. 따라서, 반도체 프로세싱 디바이스들에서 기상 증착의 균일성을 개선하기 위한 계속된 요구가 남아있다.
II. 환형 공급 슬릿 및/또는 환형 흐름 경로들을 가진 매니폴드들
몇몇 실시예들에서, 기상 증착 균일성은 가스들을 보어에 공급하기 위해 보어의 내부 벽에 적어도 부분적 환형 슬릿을 제공함으로써 개선될 수 있다. 예를 들면, 다양한 실시예들에서, 보어는 매니폴드의 세로 축을 따라 연장된 제 1 축방향 부분을 포함할 수 있다. 공급 채널은 가스 소스(예로서, 반응 가스 소스)와 보어 사이에서 유체 연통할 수 있다. 공급 채널은 가스 소스로부터 보어로 가스를 전달하기 위해 보어의 내부 벽을 지나 적어도 부분적 환형 갭을 정의한 슬릿을 포함할 수 있다. 적어도 부분적 환형 갭은 매니폴드의 세로 축 주위를 돌 수 있다.
또한, 또는 대안적으로, 적어도 부분적 환형 흐름 경로는 매니폴드의 세로 축을 따라 가스들을 전달하기 위해 보어에 생성될 수 있다. 예를 들면, 공급 채널은 가스 소스(예로서, 반응 가스 소스)와 보어 사이에서 유체 연통할 수 있다. 보어는 적어도 부분적 환형 단면을 가진 환형 흐름 부분 및 비-환형 단면을 가진 비-환형 흐름 부분을 가진 채널을 포함할 수 있다. 비-환형 단면은 환형 흐름 부분의 아래쪽에 배치될 수 있다.
도 2는 다양한 실시예들에 따라 구성된 ALD 매니폴드(100)의 사시도이다. 달리 주지되지 않는다면, 도 2의 구성요소들은, 유사한 구성요소들이 도 1에 대해 100만큼 증가되었다는 것을 제외하고, 일반적으로 도 1의 구성요소들과 유사할 수 있다. 도 2에 도시된 바와 같이, 매니폴드(100)는 4개의 블록들: 상부 블록(104), 중간 블록(106), 하부 블록(108)(도 3a 참조), 및 확산기 블록(110)을 포함하는 몸체(102)를 포함한다. 도 2는 다수의 적층된 서브-부분들 또는 블록들을 포함한 합성 매니폴드 몸체(102)를 도시하지만, 몇몇 실시예들은 보다 적은 또는 보다 많은 서브-부분들 또는 블록들을 포함할 수 있는 반면, 다른 것들은 모놀리식 또는 단일 매니폴드 몸체를 포함할 수 있다. 다수의 블록들(104, 106, 108, 110)의 사용은 유익하게는 매니폴드(100) 안에서 다양한 각도들로 배치된 채널들의 구성을 가능하게 할 수 있다.
두 개의 밸브 블록들(112a, 112b)이 몸체(102) 상에 장착된다. 불활성 가스 밸브(114a) 및 반응 가스 밸브(116a)는 밸브 블록(112a) 상에 장착된다. 불활성 가스 밸브(114b) 및 반응 가스 밸브(116b)는 밸브 블록(112b) 상에 장착된다. 밸브 블록들(112a, 112b)의 각각은 반응 가스 유입구(118a, 118b)를 포함할 수 있다. 상부 블록(104)에서, 매니폴드 몸체(102)는 두 개의 불활성 가스 유입구들(120, 122)을 포함한다. 반응 가스 유입구들(118a, 118b)은, 그 일부가 물론 가스(즉, 실온 및 대기압에서 가스)일 수 있으며, 그 일부가 표준 조건들 하에서 고체 또는 액체일 수 있는, 상이한 반응물 소스들에 연결될 수 있다.
몸체(102)는 또한 하나 이상의 히터들(128)을 포함할 수 있다. 밸브 블록들(112a, 112b)의 각각은 또한 하나 이상의 히터들(126)을 포함할 수 있다. 히터들(126, 128)은 몸체(102) 및/또는 밸브 블록들 전체에 걸쳐 가능한 일정한 온도를 유지하도록 하는 방식으로 배치될 수 있다. 히터들(126, 128)은 제한 없이, 선형 막대-스타일, 히터 자켓, 히터 블랭크, 열 트레이스 테이프, 또는 코일형 저항 히터들을 포함한, ALD 프로세스들에 적합한 고온들에서 동작할 수 있는 임의의 유형의 히터일 수 있다.
도 3a는 도 2의 라인들(3A-3A)을 따라 취해진, 도 2의 매니폴드(100)를 포함한 반도체 프로세싱 디바이스(10)의 개략적인 측 단면도이다. 도 3a에 도시된 바와 같이, 반도체 프로세싱 디바이스(10)는 매니폴드(100) 및 매니폴드 몸체(102)의 아래쪽에 배치되며 그것과 결합된 반응 챔버(810)를 포함할 수 있다. 매니폴드 몸체(102)는 그것을 따라 보어(130)가 연장되는(또는 그것을 따라 보어(130)이 축방향 부분이 연장되는) 세로 축(Z)을 포함할 수 있다. 도 3a에서, 매니폴드 몸체(102)의 최상부에서의 불활성 가스 유입구(120)는 몸체(102)를 통해 유출구(132)로 세로 방향으로 연장되는 보어(130)와 연결한다. 보어(130)는 그것이 유입구(120) 가까이에서보다 유출구(132) 가까이에서 보다 큰 단면적을 가진다. 예시된 실시예에서, 단면적에서의 증가는 보어(130)의 테이퍼링 부분(134)에서 발생한다. 예시되지 않지만, 팽창기 또는 다른 세그먼트가 보어(130)의 유출구(132)와 반응 챔버(810) 사이에서의 흐름 경로를 넓히기 위해 매니폴드(100)의 최하부에 연결될 수 있다.
제 1 반응 가스 소스(850a)는 통로(137)를 통해 몸체(102)에서 분배 채널(136)과 연결할 수 있다. 분배 채널(136)은 상부 블록(104) 및 중간 블록(106)의, 각각 하부 및 상부 표면들에 의해 형성될 수 있으며, 보어(130)의 세로 축과 교차하는 평면에서 연장될 수 있다. 예를 들면, 몇몇 실시예들에서, 분배 채널(136)은 매니폴드(100)의 세로 축(Z) 주위를 적어도 부분적으로(예로서, 완전히) 돌 수 있다. 분배 채널(136)은 보어(130)에 의해 정의된 내부 벽(103)을 통한 슬릿을 포함한 공급 채널(138)을 통해 보어(130)와 유체 연통할 수 있다. 도 3b는 내부 벽(103)을 통해 형성된 슬릿의 예들을 예시한다.
불활성 가스 유입구(122)(또한 도 2 참조)는 몸체(102)에서 불활성 가스 분배 채널(140)과 연결한다. 도 3a에서의 유입구(122)에 도시된 파선은 유입구(122)를 불활성 가스 분배 채널(140)에 연결하는 통로가 도 3a에 정의된 단면에 배치되지 않음을 나타낸다. 불활성 가스 소스(855)는 불활성 가스 유입구(122) 및 불활성 가스 분배 채널(140)로 불활성 가스를 공급할 수 있다. 도 3a에 도시된 불활성 가스 분배 채널(140)은 상부 블록(104) 및 중간 블록(106)의, 각각 하부 및 상부 표면들에 의해 형성되며, 보어(130)의 세로 축에 교차하는 평면에서 연장된다. 몇몇 실시예들에서, 불활성 가스 채널(140)은 분배 채널(136)과 대략 동일한 세로 위치에 배치될 수 있다. 불활성 가스 분배 채널(140)은 통로(142a)를 통해 불활성 가스 밸브(114a)로 불활성 가스를 공급할 수 있다. 불활성 가스 채널(140)은 세로 축(Z) 주위를 돌 수 있으며 분배 채널(136)에 대해 중심이 같게(예로서, 그것에 대해 동심으로) 배치될 수 있다. 도 2 및 도 3a에 도시된 바와 같이, 통로(142a)는 중간 블록(106) 및 밸브 블록(112a)을 통해 연장된다. 불활성 가스 분배 채널(140)은 또한 통로(142b)를 통해 불활성 가스를 불활성 가스 밸브(114b)로 공급할 수 있다. 통로(142b)에 대한 파선들은 통로(142b)가 예시된 단면에 있지 않음을 나타낸다.
도 3a를 계속해서 참조하면, 불활성 가스 밸브(114a)는 통로(142a)로부터(및 그에 따라, 불활성 가스 분배 채널(140)로부터) 반응 가스 밸브(116a)로의 불활성 가스의 공급을 제어한다. 반응 가스 밸브(116a)는 유입구(118a)로부터의 반응 가스(또는 유입구(118a)로부터의 반응 가스 및 불활성 가스 밸브(114a)로부터의 불활성 가스의 혼합물)의 통로(144a)로의 공급을 제어하며, 이것은 몸체(102)에서 가스 분배 채널(146)에 연결된다. 제 2 반응물 소스(850b)는 유입구(118a), 반응 가스 밸브(116a), 및 통로(144a)로 반응 가스를 공급할 수 있다. 도 2 및 도 3a에 도시된 바와 같이, 통로(144a)는 밸브 블록(112a), 중간 블록(106), 및 하부 블록(108)을 통해 연장된다. 분배 채널(146)은 하부 블록(108) 및 확산 블록(110)의 각각 하부 및 상부 표면들에 의해 형성될 수 있으며, 매니폴드(100)의 세로 축(Z)에 교차하는(예로서, 몇몇 실시예들에서 세로 축(Z)에 수직인) 평면에서 연장될 수 있다. 분배 채널(146)은 보어(130)에 의해 정의된 내부 벽(103)을 통한 슬릿(도 3b 참조)을 포함하는 공급 채널(148)을 통해 보어(130)와 유체 연통할 수 있다.
도 3a에 도시된 바와 같이, 불활성 가스 밸브(114b)는 통로(142b)로부터(및 그에 따라, 불활성 가스 분배 채널(140)로부터) 반응 가스 밸브(116b)(도 2 참조)로의 불활성 가스의 공급을 제어할 수 있다. 반응 가스 밸브(116b)는 유입구(118b)로부터의 반응 가스(또는 유입구(118b)로부터의 반응 가스 및 불활성 가스 밸브(114b)로부터의 불활성 가스의 혼합물)의 통로(144b)로의 공급을 제어하며, 이것은 몸체(102)에서 분배 채널(150)에 연결된다. 도 3a에서의 파선들은 통로들(142b, 144b)이 도 3a에 예시된 단면에 있지 않음을 나타낸다. 제 3 반응물 소스(850c)는 반응 가스를 유입구(118b), 반응 가스 밸브(116b), 및 통로(144b)에 공급할 수 있다. 도 3a에 도시된 바와 같이, 통로(144b)는 밸브 블록(112b) 및 중간 블록(106)을 통해 연장된다. 분배 채널(150) 및/또는 통로(144b)는 중간 블록(106) 및 하부 블록(108)의, 각각 하부 및 상부 표면들에 의해 형성될 수 있으며, 매니폴드(100)의 세로 축(Z)에 교차하는(예로서, 몇몇 실시예들에서 세로 축(Z)에 수직인) 평면에서 연장될 수 있다. 분배 채널(150)은 보어(130)에 의해 정의된 내부 벽(103)을 통한 슬릿(도 3b 참조)을 포함한 공급 채널(152)을 통해 보어(130)와 유체 연통할 수 있다. 도 3a에 도시된 바와 같이, 분배 채널(150) 및 공급 채널(152)은 분배 채널(146) 및 공급 채널(148)의 위쪽에 있는 세로 축(Z)을 따르는 위치에 배치될 수 있으며 보어(130)와 연결할 수 있다.
매니폴드 몸체(102)로의 3개의 반응물 유입구들 및 두 개의 불활성 가스 유입구들을 갖고 예시되지만, 전구체/반응물 및 불활성 가스 유입구들의 수는 실시예들에서 달라질 수 있다. 또한, 각각 두 개를 갖고 예시되지만, 전구체/반응물 밸브들(116a, 116b) 및 분배 채널들을 공급하는 불활성 가스 밸브들(114a, 114b)의 수는, ALD 시스템의 특정한 응용 및 원하는 프로세싱 능력에 의존하여, 실시예들에서 달라질 수 있다. ALD 시스템은 적어도 두 개의 반응물들 및 그것에 대한 가스 분배 채널들을 포함할 수 있다. 밸브들(114a, 114b, 116a, 및 116b)은 ALD 핫 존 내에서 고온들을 견딜 수 있는 임의의 유형의 밸브일 수 있다. 밸브들(114a, 114b, 116a, 및 116b)은 볼 밸브들, 버터플라이 밸브들, 검사 밸브들, 게이트 밸브들, 글로브 밸브들 등일 수 있다. 금속 다이어프램 밸브들이 또한 사용될 수 있으며, 고온 환경을 위해(예로서, 약 220℃까지의 온도들에서) 선호될 수 있다. 몇몇 실시예들에서, 밸브들(114a, 114b, 116a, 및 116b)은 예를 들면 및 제한 없이, 공압 작동 밸브들 또는 압전 솔레노이드형 밸브들일 수 있다. 실시예들에서, 밸브들(114a, 114b, 116a, 및 116b)은 예를 들면, 80ms의 개방 및 폐쇄 시간들을 갖고, 몇몇 실시예들에서 10ms 미만의 속도들을 갖고, 매우 높은 속도들로 동작하도록 구성될 수 있다. 밸브들(114a, 114b, 116a, 및 116b)은 316L 스테인리스 스틸 등과 같은, ALD 프로세싱을 위해 요구된 고온들에서 기능할 임의의 재료로부터 형성될 수 있다. 알루미나 증착을 위해 구성된 ALD 시스템과 같은, 몇몇 실시예들은 220℃까지 동작하도록 구성된 밸브들을 포함할 수 있다. 계속해서 다른 실시예들은 300℃까지, 400℃까지의 온도들에서 또는 심지어 더 높은 온도들에서 동작하도록 구성된 밸브들을 포함할 수 있다.
도 3a의 매니폴드 몸체(102)는 반응 챔버(810)의 위쪽으로 연결될 수 있다. 특히, 보어(130)의 유출구(132)는 반응물 주입기, 특히 예시된 실시예에서 샤워헤드(820)의 형태에 있는 분산 메커니즘과 연통할 수 있다. 샤워헤드(820)는 판(822) 위에서 샤워헤드 플리넘(824) 또는 챔버를 정의하는 샤워헤드 판(822)을 포함한다. 샤워헤드(820)는 매니폴드(100)로부터 샤워헤드(820) 아래에서의 반응 공간(826)으로 증기들을 전달한다. 반응 챔버(810)는 반응 공간(826)에서 기판(829)(예로서, 반도체 웨이퍼)을 지지하도록 구성된 기판 지지대(828)를 포함한다. 반응 챔버는 또한 진공 소스에 연결된 배출 개구(830)를 포함한다. 반응 챔버의 단일-웨이퍼, 샤워헤드 유형을 갖고 도시되지만, 숙련공은 매니폴드가 또한 다른 유형들의 주입기들, 예로서 배치 또는 노(furnace) 형, 수평 또는 교차-흐름 반응기 등을 갖고 다른 유형들의 반응 챔버들에 연결될 수 있다는 것을 이해할 것이다.
예시된 실시예에서, 3개의 반응물 소스들(850a 내지 850c)이 도시되지만, 보다 적은 또는 보다 많은 수들이 다른 배열들에서 제공될 수 있다. 몇몇 실시예들에서, 반응물 소스들(850a 내지 850c) 중 하나 이상은 H2, NH3, N2, O2, 또는 O3와 같은, 자연스럽게 가스 ALD 반응물을 포함할 수 있다. 부가적으로 또는 대안적으로, 반응물 소스들(850a 내지 850c) 중 하나 이상은 실온 및 대기압에서 고체 또는 액체인 반응물을 증발시키기 위한 기화기를 포함할 수 있다. 기화기(들)는 예로서 액체 버블러들 또는 고체 승화 관들일 수 있다. 기화기에서 유지되며 증발될 수 있는 고체 또는 액체 반응물들의 예들은, 제한 없이, 트리메틸알루미늄(TMA), TEMAHf, 또는 TEMAZr과 같은 액체 유기금속 전구체들; 디클로로실란(DCS), 트리클로로실란(TCS), 트리실란, 유기 실란들, 또는 TiCl4와 같은, 액체 반도체 전구체들; 및 ZrCl4 또는 HfCl4와 같은 가루형 전구체들을 포함한다. 숙련공은 실시예들이 자연스럽게 가스, 고체 또는 액체 반응물 소스들의 임의의 원하는 조합 및 배열을 포함할 수 있다는 것을 이해할 것이다.
도 3a에 도시된 바와 같이, 불활성 가스 소스(855)는 퍼지 가스를 반응물 밸브들(116a, 116b)에 및 그에 따라 반응물 분배 채널들(146, 150)에 제공할 수 있다(불활성 가스 유입구(122), 분배 채널(140), 통로들(142a, 142b) 및 불활성 가스 밸브들(114a, 114b)을 통해). 불활성 가스 소스(855)는 중심 보어(130)의 최상부에 공급하여 도시된다(불활성 가스 유입구(120)를 통해). 동일한 불활성 가스 소스(855)는 또한 반응물 분배 채널(136)을 축출할 수 있다(반응물 유입구(124) 및 통로(137)를 통해). 그러나, 다른 실시예들에서, 별개의 불활성 가스 소스들이 이들 피드들의 각각을 위해 제공될 수 있다.
반도체 프로세싱 디바이스(10)는 또한 디바이스(10)의 다양한 구성요소들을 제어하기 위한 프로그래밍을 가진 프로세서(들) 및 메모리를 포함하여, 적어도 하나의 제어기(860)를 포함할 수 있다. 반응 챔버(810)에 연결되는 것으로 개략적으로 도시되지만, 숙련공은 제어기(860)가 증착 프로세스들을 실행하기 위해, 증기 제어 밸브들, 가열 시스템들, 게이트 밸브들, 로봇 웨이퍼 캐리어들 등과 같은 반응기의 다양한 구성요소들과 연통한다는 것을 이해할 것이다. 동작 시, 제어기(860)는 기판(829)(반도체 웨이퍼와 같은)이 기판 지지대(828)로 로딩되도록, 및 반응 챔버(810)가 증착 프로세스들, 특히 원자 층 증착(ALD)을 위한 준비에서 폐쇄되고, 퍼징되며 통상적으로 펌프 다운되도록 배열할 수 있다. 통상적인 ALD 시퀀스는 이제 도 2 및 도 3a의 반응기 구성요소들을 참조하여 설명될 것이다.
일 실시예에서, 반응물 공급 이전에 및 전체 ALD 프로세스 동안, 퍼지 가스는 최상부 유입구(120)를 통해 보어(130)로 흐른다. 제어기가 예를 들면, 반응물 소스(850b)로부터, 제 1 ALD 반응물 펄스를 지시할 때, 반응물 밸브(116a)는 반응물 소스(850a)로부터 통로(144a)로 및 분배 채널(146) 주위로 흐르도록 허용하기 위해 개방된다. 분배 채널(146) 내에서의 배압은 분배 채널(146)로부터 보어(130)로 이어지는 공급 채널(148)을 통해 가스의 분배를 가능하게 하며, 여기에서 제 1 반응물은 유입구(120)로부터의 불활성 가스와 병합한다. 동시에, 불활성 가스는 모든 다른 반응물 채널들(예로서, 반응물 분배 채널(136), 공급 채널(138), 반응물 분배 채널(150) 및 공급 채널(152))을 통해 보어(130)로 흐를 수 있다. 보어(130)로부터, 불활성 가스 및 제 1 반응물의 혼합물은 샤워헤드 플리넘(824)으로 공급되며 샤워헤드 판(822)(또는 다른 분산 메커니즘)에 걸쳐 반응 공간(826)으로 분배된다. 이러한 제 1 반응물 펄스 동안, 테이퍼링 부분(134)의 위쪽에서의 보어(130)의 보다 좁은 부분은 흐르는 불활성 가스로 채워지며 반응물의 위쪽 확산을 방지한다.
제 1 반응물을 갖고 기판(829) 표면을 포화시키기 위한 충분한 지속 기간 후, 제어기(860)는 반응물 밸브(116a)를 스위치 오프하고, 불활성 가스 밸브(114a)를 개방하며, 따라서 반응물 밸브(116a), 통로(144a), 반응물 분배 채널(146), 및 의존하는 공급 채널(148)을 축출한다. 불활성 가스는 임의의 남아있는 제 1 반응물 및/또는 부산물의 매니폴드(100), 샤워헤드 플리넘(824), 및 반응 공간(826)을 축출하기 위해 충분한 지속 기간 동안 최상부 유입구(120) 및 다른 반응물 경로들로부터 보어(130)를 통해 계속해서 공급될 수 있다. 숙련공은 다른 반응물 제거 절차들이 축출 대신에 또는 그것 외에 사용될 수 있다는 것을 이해할 것이다.
후속 반응물과 제 1 반응물의 상호 작용을 회피하기 위해 적절하게 긴 제거 기간 후, 제어기(860)는 예로서, 가스 반응물 소스(850a)로부터 반응물 통로(137) 및 상부 반응물 분배 채널(136)로 제 2 ALD 반응물을 공급하도록 제어 밸브들에 지시할 수 있다. 분배 채널(136) 내에서의 배압은 분배 채널(136)로부터 보어(130)로 이어지는 공급 채널(138)을 통해 가스의 분배를 가능하게 하며, 여기에서 제 2 반응물은 불활성 가스 흐름과 병합한다. 동시에, 불활성 가스는 모든 다른 반응물 채널들(예로서, 반응물 분배 채널(146), 공급 채널(148), 반응물 분배 채널(150) 및 공급 채널(152))을 통해 보어(130)로 흐를 수 있다. 보어(130)로부터, 유입구 가스 및 제 2 반응물의 혼합물은 샤워헤드 플리넘(824)에 공급되며 샤워헤드 판(822)(또는 다른 분산 메커니즘)에 걸쳐 반응 공간(826)으로 분배된다. 이러한 제 2 반응물 펄스 동안, 공급 채널(138)을 가진 그것의 병합기의 위쪽에서의 보어(130)의 부분은 흐르는 불활성 가스로 채워지며, 이것은 제 2 반응물의 위쪽 확산을 방지한다. 유사하게, 모든 다른 반응물 흐름 경로들을 통한 불활성 가스의 흐름은 제 2 반응물의 역방향 확산을 방지한다.
기판상에서의 포화적 표면 반응에 이어서, 분배 채널(136) 및 그것의 의존하는 공급 채널(138)의 축출을 포함하여, 상기 설명된 퍼지 단계와 유사한 제거 단계가 수행될 수 있다. 상기 설명된 사이클은 제 3 반응 가스를 기판(829)에 공급하기 위해 반응물 분배 채널(150) 및 공급 채널(152)을 갖고 반복될 수 있다. 사이클은 충분히 두꺼운 층이 기판(829)상에 형성될 때까지 추가로 반복될 수 있다.
도 3b는 도 3a에 도시된 반도체 프로세싱 디바이스(10)의 부분(3B)의 확대된 측단면도이다. 특히, 도 3b는 각각의 공급 채널들(148, 152)에 의해 보어(130)와 연통하는 반응물 분배 채널들(146, 150)을 도시한다. 도 3c는 분배 채널들(146, 150) 및 공급 채널들(148, 152)을 통해 정의된 유체 경로(101)의 개략적인 투시 단면도이다. 특히, 도 3c는 도 3c가 채널들을 정의하는 구조(예로서, 매니폴드(100))보다는 그것을 통해 가스가 공급되는 채널을 예시하는 한, 반도체 디바이스(10)의 부분(3B)의 부정이다.
도 3b 및 도 3c에 도시되는 바와 같이, 공급 채널들(148, 152)은 각각 보어(130)의 내부 벽(103)에서 적어도 부분적 환형 갭(107a, 107b)을 정의하는 각각의 슬릿(105a, 105b)을 포함할 수 있다. 도 3c에 도시된 바와 같이, 연관된 갭들(107a, 107b)을 가진 슬릿들(105a, 105b)은 슬릿들(105a, 105b)이 내부 벽(103)에서 적어도 부분적인 환형을 정의하도록 매니폴드(100)의 세로 축(Z) 주위를 돌 수 있다. 도 3a 내지 도 3c에 예시된 실시예에서, 슬릿들(105a, 105b)은 전적으로 세로 축(Z) 주위에서 연장되며, 즉 슬릿들(105a, 105b)은 세로 축(Z)에 대해 360°회전의 완전한 환형관(annulus)을 정의한다. 다른 실시예들에서, 그러나, 슬릿들(105a, 105b)은 세로 축(Z)에 대해 부분적인 환형관을 정의할 수 있다. 예를 들면, 슬릿들(105a, 105b)은 90°내지 360°의 범위에서, 120°내지 360°의 범위에서, 180°내지 360°의 범위에서, 240°내지 360°의 범위 등에서의 각도만큼 Z 축 주위를 돌 수 있다.
슬릿들(105a, 105b)에 의해 정의된 갭들(107a, 107b)은 슬릿들(105a, 105b)의 원주 길이 미만의 두께를 가진 좁은 개구를 포함할 수 있다. 즉, 내부 벽(103)(즉, 축(Z)에 대해)의 둘레 또는 원주를 따르는 슬릿들(105a, 105b)의 아크 길이는 갭들(107a, 107b)의 두께보다 클 수 있다. 몇몇 실시예들에서, 갭들(107a, 107b)의 두께는 0.05mm 내지 1.5mm의 범위, 또는 보다 특히 0.1mm 내지 1mm의 범위, 0.1mm 내지 0.7mm의 범위에 있을 수 있다. 몇몇 실시예들에서, 갭들(107a, 107b)의 두께는 0.05mm 내지 0.5mm의 범위, 예로서 0.1mm 내지 0.5mm의 범위, 0.1mm 내지 0.3mm의 범위, 또는 0.2mm 내지 0.3mm의 범위에 있거나, 또는 몇몇 실시예들에서 약 0.25mm일 수 있다. 몇몇 실시예들에서, 갭들(107a, 107b)의 두께는 0.3mm 내지 1.5mm의 범위, 예로서 0.3mm 내지 1mm의 범위, 0.3mm 내지 0.7mm의 범위, 또는 0.4mm 내지 0.6mm의 범위에 있을 수 있거나, 또는 몇몇 실시예들에서 약 0.5mm일 수 있다.
반대로, 축(Z)을 따르는 분배 채널들(146, 150)의 두께는 갭들(107a, 107b)의 두께보다 상당히 더 클 수 있다. 예를 들면, 분배 채널들(146, 150)의 두께는 갭들(107a, 107b)의 적어도 두 배만큼 두껍고, 갭들(107a, 107b)의 적어도 5배만큼 두껍고, 갭들(107a, 107b)의 적어도 10배만큼 두껍고, 갭들(107a, 107b)의 적어도 20배만큼 두껍거나, 또는 갭들(107a, 107b)의 적어도 50배만큼 두꺼울 수 있다. 분배 채널들(146, 150) 안에서의 가스들은 좁은 갭들(107a, 107b)에 의해 제공된 두께에서의 제한에 의해 야기된 배압을 가질 수 있다. 배압은 유익하게는 슬릿들(105a, 105b)의 갭들(107a, 107b)을 통해 가스들을 보어(130)로 푸시할 수 있다.
도 3d는 예시적인 프로세싱 펄스 동안 소스 가스(S) 및 불활성 가스(I)의 흐름을 예시한 매니폴드 몸체(102) 및 보어(130)의 개략적인 측 단면 프로파일이다. 도 3d에 도시된 바와 같이, 불활성 가스(I)(아르곤, Ar과 같은)는 매니폴드(100)의 최상부에서 중심 불활성 가스 유입구(120)에 의해 보어(130)로 공급될 수 있다. 불활성 가스(I)(예로서, Ar)는 또한 업스트림 공급 채널(152)에 의해 보어(130)로 공급될 수 있으며, 이것은 또한 상기 설명된 바와 같이 슬릿을 포함할 수 있다. 예시된 배열에서, 공급 채널(148)의 위쪽으로 보어(130)를 통해 공급된 불활성 가스(I)는 가스들이 반응 챔버(810)로 빠르게 이동하게 하기 위해 충분한 압력에서 보어(130)를 통해 가스를 구동하는 푸시 가스를 포함할 수 있다.
도 3d에 도시된 바와 같이, 소스 가스(S)(예로서, 염화 티타늄)는 매니폴드(102)의 내부 벽(103)에서 좁은 갭(107a)에 의해 공급 채널(148)의 슬릿(105a)을 통해 공급될 수 있으며 보어(130)에 의해 정의될 수 있다. 소스 가스(S)는 반응 챔버(810)를 향해 아래쪽으로 흐르는 불활성 가스(I)와 동반될 수 있다. 도 3d에 도시된 바와 같이, 소스 가스(S)는 슬릿(105a)을 통해 보어(130)의 주변부를 따라 도입되기 때문에, 소스 가스(S)는 중심 불활성 가스(I) 흐름 경로의 외부 에지들 주위에 집중될 수 있다. 따라서, 도 3d에 도시된 바와 같이, 결과적인 다운스트림 흐름 패턴은 보어(130)의 중간에 배치된 중심 불활성 가스(I) 패턴, 및 불활성 가스(I) 패턴 주위에 배치된 환형 소스 가스(S) 패턴을 포함할 수 있다. 불활성(I) 및 소스(S) 가스들의 흐름 패턴은 보어(130)의 단면에 의해 정의된 비-환형 패턴을 포함할 수 있다. 예를 들면, 불활성(I) 및 소스(S) 가스들의 흐름 패턴은 보어(130)의 단면에 의해 정의된 둥근(예로서, 원형 또는 타원형) 또는 다각형 단면에서 함께 혼합할 수 있다.
도 3d에 도시된 결과적인 패턴들은 도 1c에 도시된 3-로브(lobe) 흐름 패턴에 대한 개선일 수 있다. 도 3d에서, 높은 소스 가스 농도를 나타낸 3개의 상이한 로브들 대신에, 소스 및 불활성 가스들의 농도는 도 1c에 도시된 3개의 핫 스팟들 없이 계속해서 달라진다. 예를 들면, 도 3d에서, 흐름 패턴은 다소 불균일하며 보어(130)의 중심으로부터 보어(130)의 벽(103)으로 달라진다. 그러나, 다양한 프로세싱 온도들에 대한 조정은 불균일성을 감소시키며 혼합을 강화할 수 있다.
도 3a 내지 도 3d와 관련되어 설명된 슬릿들을 가진 공급 채널들이 매니폴드(100)에서 가스 혼합의 균일성을 개선할 수 있지만, 디바이스 수율을 개선하기 위해 혼합을 추가로 개선하는 것이 바람직할 수 있다. 도 4a 내지 도 4f는 반도체 디바이스(1)의 또 다른 실시예를 예시하며, 여기에서 보어는 환형 흐름 부분 및 비-환형 흐름 부분을 가진 채널을 포함한다. 달리 주지되지 않는다면, 도 4a 내지 도 4f의 구성요소들은 도 2 내지 도 3d에서의 유사하게 넘버링된 구성요소들과 유사하거나 또는 동일할 수 있다.
특히, 도 4a는 4A-4A로 라벨링된 단면을 따라 취해진, 도 2의 매니폴드(100)를 포함하는 반도체 디바이스(1)의 개략적인 측 단면도이다. 도 4b는 도 2에서 4B-4B로 라벨링된 단면을 따라 취해진, 도 4a의 반도체 디바이스(1)의 개략적인 측 단면도이다. 가스 소스들(850a 내지 850c, 855) 및 밸브들(114a 내지 114b, 116a 내지 116b)은 예시의 용이함을 위해 도 4a 및 도 4b에 도시되지 않지만, 도 4a 및 도 4b에서의 채널들은 도 3a 내지 도 3d에 도시된 것과 유사한 방식으로 가스 소스들 및 밸브들에 연결될 수 있다는 것이 이해되어야 한다.
도 4a 및 도 4b에서, 매니폴드 몸체(102)는 통로들(142a, 142b)(도 3a 참조)에 의해 불활성 가스 소스(소스(855)와 같은)와 및 밸브들(114a 내지 114b, 116a 내지 116b)과 연통하는 불활성 가스 분배 채널(140)을 포함할 수 있다. 또한, 도 3a와 마찬가지로, 매니폴드 몸체(102)는 제 1 분배 채널(146) 및 제 2 분배 채널(150)을 포함할 수 있다. 제 1 및 제 2 분배 채널들(146, 160)은 매니폴드(100)의 세로 축(Z) 주위에 적어도 부분적으로 배치될 수 있으며, 통로들(144a, 144b) 및 반응물 및 불활성 가스 밸브들에 의해, 대응하는 반응 가스 소스들(소스들(850a -c)과 같은)과 및 불활성 가스 소스와 유체 연통할 수 있다. 도 3a에 대하여 상기 설명된 바와 같이, 불활성 가스 밸브들(114a 내지 114b) 및 반응 가스 밸브들(116a 내지 116b)은 불활성 가스 및/또는 반응 가스를 보어(130)에 공급하기 위해 선택적으로 활성화될 수 있다. 예를 들면, 도 3a 내지 도 3d에 대하여 상기 설명된 바와 같이, 공급 채널들(148, 152)은 보어(130)의 내부 벽(103)을 통해 대응하는 슬릿들(105a, 105b)에 의해 가스를 보어(130)에 공급할 수 있다. 슬릿들(105a, 105b)은 보어(130)의 벽(103)에서 적어도 부분적 환형관(예로서, 완전한 환형관)을 정의하기 위해 세로 축(Z) 주위를 돌 수 있다.
도 3a 내지 도 3d의 실시예와 달리, 하부 블록(108)은 3개의 서브-블록들(108a, 108b, 108c)을 포함할 수 있으며, 여기에서 분배 채널들(146, 150) 및 공급 채널들(148, 152)이 형성된다. 예를 들면, 도 4a에 도시된 바와 같이, 분배 채널(150) 및 공급 채널(152)은 서브-블록(108a)의 하부 표면 및 서브-블록(108b)의 상부 표면에 의해 정의될 수 있다. 분배 채널(146) 및 공급 채널(148)은 서브-블록(108b)의 하부 표면 및 서브-블록(108c)의 상부 표면에 의해 정의될 수 있다.
또한, 도 4a 및 도 4b에 도시된 바와 같이, 제 3 분배 채널(171)은 확산 블록(110)에서 정의될 수 있으며, 예로서 채널(171)은 서브-블록(108c)의 하부 표면 및 확산 블록(110)의 상부 표면에 의해 정의될 수 있다. 제 3 분배 채널(171)은 세로 축(Z) 주위를 적어도 부분적으로(예로서, 완전히 또는 부분적으로) 돌 수 있으며 통로(175)에 의해 가스 소스(반응 소스(850a 내지 850c) 및/또는 불활성 가스 소스(855))와 유체 연통할 수 있다. 분배 채널들(146, 150)과 마찬가지로, 분배 채널(171)은, 일반적으로 공급 채널(148, 152)과 유사할 수 있는, 공급 채널(172)에 의해 보어(130)로 가스를 공급할 수 있다. 예를 들면, 공급 채널(172)은 보어(130)의 내부 벽(103)을 통해 적어도 부분적 환형 갭을 가진 슬릿을 포함할 수 있다. 슬릿 및 갭은 여기에서 설명된 공급 채널들(148, 152)과 마찬가지로 세로 축(Z) 주위를 돌 수 있다.
도 3a 내지 도 3d의 실시예와 달리, 도 4a 및 도 4b에 도시된 디바이스(10)는 보어(130)의 업스트림 비-환형 흐름 부분(174A)에서의 업스트림 비-환형 흐름 패턴, 보어(130)의 환형 흐름 부분(173)에서의 환형 흐름 부분, 및 보어(130)의 다운스트림 비-환형 흐름 부분(174B)에서의 다운스트림 비-환형 흐름 패턴을 포함한다. 반대로, 도 3a 내지 도 3d에서의 디바이스(10)는 보어(130)의 길이를 거쳐 비-환형 흐름 부분을 포함할 수 있다. 도 4a 및 도 4b에 도시된 바와 같이, 플러그(170)는 보어(130)의 환형 흐름 부분(173)에서 보어(130) 내에 배치될 수 있다. 여기에서 설명된 바와 같이, 플러그(170)는 보어(130)의 단면에 보여지는 바와 같이 적어도 부분적 환형 흐름 경로를 생성하기 위해 매니폴드(100)의 내부 벽(103)과 협력할 수 있다.
여기에서 사용된 바와 같이, 비-환형 흐름 패턴 및 비-환형 흐름 부분들(174A, 174B)은 보어(130)의 임의의 적절한 비-환형 단면을 포함할 수 있다. 예를 들면, 비-환형 흐름 부분들(174A, 174B)은 가스들이 전체 단면을 채우는 둥근(예로서, 원형 또는 타원형) 또는 다각형 단면을 정의할 수 있으며, 예로서 비-환형 흐름 부분들(174A, 174B)에 어떤 플러그 또는 장애물도 없다. 오히려, 가스들은 보어(130)의 전체 단면을 통해 흐른다.
반대로, 환형 흐름 패턴 및 환형 흐름 부분(173)은 보어(130)의 환형 단면을 포함할 수 있으며, 여기에서 보어(130)의 내부 영역은 가스들이 보어(130) 내에서의 장애물, 예로서 플러그(170) 및 매니폴드(130)의 내부 벽(103)에 의해 경계를 이룬 환형 영역을 통해 세로 축(Z)을 따라 흐를 수 있게 하기 위해 부분적으로 가려진다. 환형 흐름 패턴 및 환형 부분(173)의 단면은 둥글고(예로서, 동심원들 또는 타원형들에 의해 경계를 이룬), 다각형(예로서, 동심 다각형들에 의해 경계를 이룬), 또는 임의의 다른 적절한 환형 형태일 수 있다. 환형 단면은 몇몇 실시예들에서 대칭일 수 있다. 다른 실시예들에서, 환형 단면은 비대칭일 수 있다.
도 4c는 하부 블록(108)의 서브-블록(108a)과 결합된 플러그(170)의 개략적인 사시도이다. 도 4d는 도 4c의 서브-블록(108a) 및 플러그(170)의 개략적인 투시 단면도이다. 유익하게는, 매니폴드 몸체(102)는 여기에서 설명된 바와 같이, 다수의 블록들 및 서브-블록들로 형성될 수 있다. 매니폴드 몸체(102)가 구성될 수 있는 모듈성은 도 4c 및 도 4d에 도시된 플러그(170)와 같은 유용한 구성요소들의 도입을 가능하게 한다. 예를 들면, 도 4c 및 도 4d에 도시된 바와 같이, 서브-블록(108a)은 플러그(170)가 배치되는 개구(176)를 포함할 수 있다. 몇몇 실시예들에서, 플러그(170)는 접착제 또는 파스너에 의해 서브-블록(108a)에 연결될 수 있다. 몇몇 실시예들에서, 플러그(170)는 억지 또는 마찰 결합에 의해 개구(176)에 맞춰질 수 있다. 계속해서 다른 실시예들에서, 플러그(170)는 네일-형 피처를 포함할 수 있으며, 여기에서 업스트림 플랜지는 서브-블록(108a)에 플러그(170)를 고정시키기 위해 서브-블록(108a)의 상부 표면 위에서 연장된다.
게다가, 도 4c 및 도 4d에 도시된 바와 같이, 서브-블록(108a)은 개구(176) 및 플러그(170) 주위에 배치된 복수의 홀들(177)을 포함할 수 있다. 따라서, 서브-블록(108a)의 위쪽으로 공급된 가스들은 플러그(170)의 업스트림 테이퍼링 부분(170A)을 전달할 수 있다. 플러그(170)의 업스트림 테이퍼링 부분(170A)은 비-환형 흐름에서 환형 흐름으로의 전이를 생성할 수 있다. 가스들이 테이퍼링 부분(170A)의 업스트림 팁에 도달함에 따라, 가스들은 적어도 부분적 환형 흐름 패턴으로 분할될 수 있다. 홀들(177)은 업스트림 가스들이 서브-블록(108a)을 통해 및 플러그(170) 주위를 지나갈 수 있게 할 수 있다. 플러그(170)의 다운스트림 테이퍼링 부분(170B)은 환형 흐름에서 비-환형 흐름으로 가스들을 전이시킬 수 있다.
도 4e는 분배 채널들(146, 150, 171) 및 공급 채널들(148, 152, 172)을 통해 정의된 흐름 경로(178)의 개략적인 투시 단면도이다. 특히, 도 4e는 채널들을 정의하는 구조(예로서, 매니폴드(100))보다는 그것을 통해 가스가 공급되는 채널들이 예시되는 한, 매니폴드 몸체(102)의 일 부분의 부정이다. 도 4e에 도시된 바와 같이, 흐름 경로(178)의 업스트림 부분에서, 가스는 도 4c 및 도 4d의 홀들(177)에 의해 정의된 홀 채널들(177A)을 통해 적어도 부분적 환형 경로(173)를 따라 흐를 수 있다. 상기 설명된 바와 같이, 홀들(177)은 가스들이 서브-블록(108a)을 통해 흐를 수 있게 할 수 있다. 분배 채널(150)로부터의 가스는 슬릿(105b)을 포함할 수 있는, 공급 채널(152)에 의해 보어(130)의 환형 부분(173)에 공급될 수 있다. 분배 채널(146)로부터의 가스는 슬릿(105a)을 포함한 공급 채널(148)에 의해 보어(130)의 환형 부분(173)에 공급될 수 있다. 유사하게, 분배 채널(171)로부터의 가스는 슬릿(105c)을 포함할 수 있는 공급 채널(172)에 의해 보어(130)의 환형 부분(173)에 공급될 수 있다.
도 4e에 도시된 적어도 부분적 환형 부분(173)은 완전한 환형관이지만(즉, 360°만큼 세로 축(Z) 주위를 도는), 다른 실시예들에서, 부분(173)은 90°내지 360°사이, 120°내지 360°사이, 180°내지 360°사이, 240°내지 360°사이 등에서의 회전을 정의하는 부분적 환형관을 포함할 수 있다. 도 4e에 도시된 바와 같이, 환형 부분(173)은 다운스트림 비-환형 경로(174B)로 전이할 수 있으며, 가스들은 여기에서 설명된 바와 같이 반응 챔버(510)로 운반될 수 있다.
도 4f는 업스트림 비-환형 흐름 부분(174A), 환형 흐름 부분(173), 및 다운스트림 비-환형 흐름 부분(174B)을 예시한, 매니폴드 몸체(102)의 확대된, 개략적인 측 단면도이다. 도 4f에 도시된 바와 같이, 제 1 불활성 가스(I1)(아르곤과 같은)는 유입구(120)를 통해 공급될 수 있다. 제 1 불활성 가스(I1)는 비-환형 흐름 단면을 가진 보어의 비-환형 흐름 부분(174A)을 통해 세로 축(Z)을 따라 흐를 수 있다. 도 4f의 우측 측면 상에 도시된 바와 같이, 비-환형 부분(174A)을 통해 흐르는 가스들은 비-환형 단면 흐름 프로파일(N1)을 가질 수 있으며, 여기에서 제 1 불활성 가스(I1)는 보어(130)의 내부 벽(103)에 의해 경계를 이루는 전체 볼륨을 통해 흐른다. 따라서, 흐름 프로파일(N1)은 보어(130)의 내부에 임의의 배리어들 또는 플러그들을 갖지 않는다.
제 1 불활성 가스(I1)는 제 1 불활성 가스(I1)가 플러그(170)의 다운스트림 테이퍼링 부분(170A)을 접할 때 비-환형 흐름에서 환형 흐름 부분(173) 내에서의 적어도 부분적 환형 흐름(예로서, 완전한 환형 흐름)으로 전이할 수 있다. 제 1 불활성 가스(I1)는 홀들(177)을 통과할 수 있으며 플러그(170)의 외부 주변부 주위에서, 예로서, 플러그(170)의 외부 주변부와 매니폴드 몸체(102)의 내부 벽(103) 사이에서, 환형 흐름 부분(173)을 따라 아래쪽으로 이동할 수 있다. 제 1 환형 흐름 프로파일(A1)에 도시된 바와 같이, 제 1 불활성 가스(I1)는 플러그(170) 및 매니폴드 몸체(102)의 내부 벽(103) 사이에 제공된 환형 공간을 균일하게 채울 수 있다.
디바이스(10)로의 가스의 예시적인 펄스 동안, 소스 가스(S)는 분배 채널(146) 및 공급 채널(148)에 의해 보어(130)의 환형 부분(173)에 공급될 수 있다. 예를 들면, 상기 설명된 바와 같이, 소스 가스(S)(예로서, 반응 가스)는 채널(146)에 형성된 배압에 의해 보다 넓은 분배 채널(146)로부터 좁은 슬릿(105a)으로 전달될 수 있다. 제 2 환형 흐름 프로파일(A2)에 도시된 바와 같이, 소스 가스(S)는 소스 가스(S)가 방사상 안쪽으로 불활성 가스(I1)를 넣을 수 있도록 벽(103) 주위에서 균일하게 들어갈 수 있다. 제 2 환형 흐름 프로파일(A2)에서, 소스 가스(S)는 불활성 가스(I1) 주위에서 동심으로 배치될 수 있다. 유익하게는, 환형 흐름 부분(173)은, 적어도 부분적으로 흐름 부분(173)에 의해 제공된 수축 면적이 소스 가스(S) 및 제 1 불활성 가스(I1)로 하여금 함께 섞이게 하기 때문에, 소스 가스(S)와 제 1 불활성 가스(I1) 사이에서의 혼합을 촉진시킬 수 있다.
제 2 불활성 가스(I2)(아르곤과 같은)는 제 3 분배 채널(171) 및 공급 채널(172)에 의해 보어(130)의 환형 흐름 부분(173)에 공급될 수 있으며, 이것은 보어(130)의 벽(103)을 지나 적어도 부분적 환형 갭(107c)을 정의하는 좁은 슬릿(105c)을 포함할 수 있다. 유리하게는, 제 2 불활성 가스(I2)는 혼합을 강화하기 위해 플러그(170)의 외부 주변부로 소스 가스(S) 및 제 1 불활성 가스(I1)를 넣을 수 있다. 도 4f에 도시된 바와 같이, 제 3 환형 흐름 프로파일(A3)은 소스 가스(S)가 제 1와 제 2 불활성 가스들(I1, I2) 사이에서 환형으로 배치되는 환형 부분(173)에서 정의될 수 있다. 수축된 환형 부분(173)은 플러그(170)의 다운스트림 테이퍼링 부분(170B) 주위에 배치된 제 4 환형 흐름 프로파일(A4)에 도시된 바와 같이, 소스 가스(S) 및 불활성 가스들(I1, I2) 중에서 손실을 강화할 수 있다.
혼합 가스들은 환형 흐름 프로파일(A4)에서 플러그(170)의 아래쪽으로의 제 2 비-환형 프로파일(N2)로 전이할 수 있다. 혼합 가스들이 다운스트림 비-환형 부분(174B)으로 나옴에 따라, 가스들은 기판상에서 실질적으로 균일한 농도 및/또는 두께를 제공하도록 충분히 혼합될 수 있다. 따라서, 도 4a 내지 도 4f에 도시된 실시예는 혼합을 개선하며 다른 유형들의 흐름 매니폴드들과 연관된 불균일성들을 감소시킬 수 있다. 보어(130)의 환형 부분(173)에 의해 정의된 제한된 흐름 경로들은 임의의 수 및 유형의 가스들의 개선된 혼합을 가능하게 할 수 있다. 도 3a 내지 도 3d 및 도 4a 내지 도 4f의 실시예는 약 14%의 평균 불균일성을 야기할 수 있는 도 1c의 패턴과 비교하여, 5% 미만, 예로서 2% 미만(예로서, 약 1.8%)의 평균 증착 불균일성을 야기할 수 있다.
도 5a는 기판상에 막을 증착시키는 방법(500)을 예시한 흐름도이다. 방법(500)은 반응 가스를 공급 채널을 통해 매니폴드의 보어로 공급하기 위해 블록(501)에서 시작된다. 여기에서 설명된 바와 같이, 분배 채널은 소스(반응 또는 불활성 가스의 소스와 같은)로부터 공급 채널로 가스를 운반할 수 있다. 분배 채널은 매니폴드의 세로 축 주위에서 환형으로 배치될 수 있다.
블록(502)에서, 반응 가스는 슬릿을 통해 공급 채널로부터 보어로 지향될 수 있다. 슬릿은 보어의 내부 벽을 따르는 적어도 부분적 환형 갭을 정의할 수 있다. 적어도 부분적 환형 갭은 세로 축 주위를 돌 수 있다. 예를 들면, 슬릿은 세로 축에 대해 360°회전된 완전한 환형관을 포함할 수 있다. 다른 실시예들에서, 슬릿은 세로 축 주위를 단지 부분적으로만 도는 부분적 환형관을 포함할 수 있다. 여기에서 설명된 바와 같이, 적어도 부분적 환형 갭은 매니폴드의 벽을 따라 갭의 원주 또는 주변 길이보다 상당히 더 작은 두께를 포함할 수 있다. 유익하게는, 여기에서 설명된 바와 같이, 슬릿은 보어에 비교적 균일한 가스 흐름을 제공할 수 있다. 몇몇 실시예들에서, 여기에서 설명된 바와 같이, 플러그는 적어도 부분적 환형 흐름 경로를 정의하기 위해 제공될 수 있다. 비-환형 흐름 경로들은 적어도 부분적 환형 흐름 경로의 위쪽으로 및 아래쪽으로 제공될 수 있다.
도 5b는 다양한 실시예들에 따른, 기판상에 막을 증착시키는 방법(550)을 예시한 흐름도이다. 방법(550)은 반응 가스를 공급 채널을 통해 매니폴드의 보어로 공급하기 위해 블록(551)에서 시작된다. 여기에서 설명된 바와 같이, 분배 채널은 소스(반응 또는 불활성 가스의 소스와 같은)로부터 공급 채널로 가스를 운반할 수 있다. 분배 채널은 몇몇 실시예들에서 매니폴드의 세로 축 주위에서 환형으로 배치될 수 있다.
블록(552)으로 이동하면, 적어도 부분적 환형 흐름 패턴은 반응 가스가 적어도 부분적 환형 단면을 갖고 매니폴드의 세로 축을 따라 흐르도록 보어의 환형 흐름 부분에 생성될 수 있다. 예를 들면, 몇몇 실시예들에서, 적어도 부분적 환형 흐름 패턴은 보어 내에 배치된 플러그(플러그(170)와 같은)에 의해 정의될 수 있다. 플러그는 가스가 플러그의 외부 주변부 주위에서 흐르도록 가스 흐름을 분할하기 위해 보어를 부분적으로 막을 수 있다. 여기에서 설명된 바와 같이, 적어도 부분적 환형 단면의 위쪽으로, 가스는 업스트림 비-환형 흐름 패턴에서 흐를 수 있다. 가스가 환형 흐름 부분에 도달할 때, 가스는 플러그의 외부 주변부 주위에서 흐를 수 있다. 환형 흐름 경로에 의해 제공된 수축 면적은 유익하게는 보어를 통해 흐르는 가스들의 혼합을 강화할 수 있다.
블록(553)에서, 환형 흐름 부분의 아래쪽으로, 비-환형 흐름 부분은 반응 가스가 비-환형 단면을 갖고 세로 축을 따라 흐르도록 보어의 비-환형 부분에 생성될 수 있다. 여기에서 설명된 바와 같이, 플러그는 비-환형에서 환형으로, 및 환형에서 비-환형으로 가스 흐름의 전이를 가능하게 할 수 있는 업스트림 및 다운스트림 테이퍼링 부분들을 포함할 수 있다. 다운스트림 비-환형 부분으로의 환형 가스 경로의 융합은 공급된 가스들의 혼합을 추가로 강화할 수 있으며, 이것은 유리하게는 디바이스 수율을 개선할 수 있다.
III. 연장된 혼합 길이를 가진 매니폴드들
여기에서 개시된 다양한 실시예들은 가스들이 보어(130)에 공급되는 위치(들)의 아래쪽으로 보어(130)를 따라 혼합 길이를 연장시킴으로써 증착 불균일성을 감소시키며 혼합을 개선할 수 있게 할 수 있다. 예를 들면, 몇몇 실시예들에서, 반도체 프로세싱 디바이스는 그 안에 보어를 포함한 매니폴드를 포함할 수 있다. 보어는 매니폴드의 제 1 단부 부분과 매니폴드의 제 2 단부 부분 사이에서 가스 통로를 정의할 수 있다. 제 1 단부 부분은 매니폴드의 세로 축을 따라 제 2 단부 부분의 반대편에 배치되며 그로부터 제 1 거리만큼 이격될 수 있다. 가스 통로는 제 1 거리보다 큰 제 2 거리에 걸쳐 매니폴드를 통해 연장될 수 있다. 예를 들면, 몇몇 실시예들에서, 제 2 거리는 제 1 거리의 적어도 1.5배, 제 1 거리의 적어도 2배, 제 1 거리의 적어도 3배, 또는 제 1 거리의 적어도 5배일 수 있다. 몇몇 실시예들에서, 제 2 거리는 제 1 거리의 1.5배 내지 10배의 범위에서, 예로서 제 1 거리의 2배 내지 5배의 범위에 있을 수 있다. 반응 챔버는 보어의 아래쪽에 배치되며 그것과 유체 연통할 수 있다.
몇몇 실시예들에서, 반도체 프로세싱 디바이스는 매니폴드의 세로 축을 정의하는 축방향 부분 및 세로 축에 비-평행하게 연장된 측방향 부분을 가진 보어를 포함한 매니폴드를 포함할 수 있다. 보어의 축방향 부분으로 가스를 공급하는 공급 채널은 세로 축을 따라 제 1 위치에 배치될 수 있다. 측방향 부분은 제 1 위치의 아래쪽으로 제 2 위치에 배치될 수 있다. 측방향 부분은 세로 축에 대해 비-평행하게 연장될 수 있다. 반응 챔버는 보어의 아래쪽에 배치되며 그것과 유체 연통할 수 있다.
도 6a 내지 도 6j는 매니폴드(100)가 연장된 혼합 길이를 갖는 반도체 프로세싱 디바이스(10)의 실시예를 예시한다. 달리 주지되지 않는다면, 도 6a 내지 도 6j에서의 참조 번호들은 도 2 내지 도 4f로부터의 유사하게 넘버링된 구성요소들과 동일하거나 또는 그것과 유사한 구성요소들을 나타낸다. 예를 들면, 도 6a는 연장된 혼합 길이를 가진 매니폴드(100)의 개략적인 사시도이다. 도 6b는 도 6a의 매니폴드(100)의 개략적인 투시 확대도이다. 매니폴드(100)는 밸브 블록들(112a, 112b)과 연결된 매니폴드 몸체(102)를 포함할 수 있다. 반응물 밸브들(116a, 116b) 및 불활성 가스 밸브들(114a, 114b)은 블록들(112a, 112b) 상에 배치될 수 있다. 불활성 가스 유입구들(120, 122)은 매니폴드(100)로 불활성 가스를 공급할 수 있다. 매니폴드 몸체(102)는 다수의 블록들(104, 106, 108)을 포함할 수 있다. 도 3a 내지 도 4f의 실시예와 달리, 중간 블록(106)은 서브-블록(106a) 및 서브-블록(106b)을 포함할 수 있다. 하부 블록(108)은 제 1 서브-블록(108a), 제 2 서브-블록(108b), 및 제 3 서브-블록(108c)을 포함할 수 있다. 상기 설명된 바와 같이, 다수의 블록들 및 서브-블록들의 사용은 곡선 형태들 및 다른 내부 루멘들을 가진 내부 채널들의 사용을 가능하게 할 수 있는 매니폴드(100)의 모듈식 구성을 가능하게 할 수 있다.
유익하게는, 여기에서 설명된 바와 같이, 서브-블록들(108a 내지 108c)은 제 1 측방향 부분(180a), 오프셋 축방향 부분(180b), 및 제 2 측방향 부분(180b)을 가진 연장된 혼합 길이 경로(180)를 정의할 수 있다. 여기에서 설명된 바와 같이, 경로(180)는 그것의 아래쪽으로 공급 가스들이 보어(130)로 도입되는 연장된 혼합 길이를 제공할 수 있다.
도 6c는 도 6a 및 도 6b의 매니폴드(100) 및 반응 챔버(810)를 포함하는 반도체 프로세싱 디바이스(10)의 개략적인 측 단면도이다. 도 1a 및 도 2 내지 도 4f와 마찬가지로, 매니폴드(100)는 불활성 가스 분배 채널(140) 외에, 가스 분배 채널들(136, 150, 및 146)을 포함할 수 있다. 공급 채널들(138a-c)은 분배 채널(136)로부터 보어(130)로 가스들을 운반할 수 있다. 공급 채널들(152a-c)은 분배 채널(150)로부터 보어(130)로 가스들을 운반할 수 있다. 공급 채널들(148a-c)은 분배 채널(146)로부터 보어(130)로 가스들을 운반할 수 있다. 도 6c의 실시예에서, 공급 채널들(138a-c, 152a-c, 148a-c)은 도 1a에 도시된 것들과 유사한 각진 공급 채널들을 포함할 수 있다. 다른 실시예들에서, 그러나, 공급 채널들은 도 3a 내지 도 4f의 공급 채널들(138, 148, 152, 및/또는 172)을 포함할 수 있으며, 이것은 매니폴드(100)의 세로 축(Z) 주위에서 적어도 부분적 환형 갭을 정의한 슬릿들을 포함한다. 게다가, 몇몇 실시예들에서, 도 6c의 보어(130)는 그것을 통해 가스들이 흐를 수 있는 적어도 부분적 환형 흐름 경로를 정의한 플러그(170)를 포함할 수 있다. 따라서, 도 6c의 매니폴드(100)는 도 3a 내지 도 4f와 관련되어 설명된 슬릿들 및/또는 환형 흐름 부분들과 조합하여 사용될 수 있다.
도 6d 내지 도 6j와 관련되어 이하에서 설명되는 바와 같이, 연장된 혼합 길이 경로(180)는 혼합을 강화하기 위해 보어(130)의 혼합 길이를 연장시킬 수 있다. 도 6c에 도시된 바와 같이, 보어(130)의 경로(180)는 가장 아래쪽 공급 채널이 가스를 보어(130)에 공급하는 위치(L)의 아래쪽에 배치될 수 있다. 따라서, 여기에서 설명된 바와 같이, 공급 채널들(138, 146, 152)에 의해 공급된 가스들은 처음에 연장된 혼합 길이 경로(180)의 위쪽으로 세로 축(Z)을 따라 연장되는 보어(130)의 업스트림 축방향 부분(130A) 내에서 혼합할 수 있다.
경로(180)는 세로 축(Z)을 따라 매니폴드(100)를 통해 일직선으로 연장되는 보어와 비교하여 공급된 가스들의 혼합 길이(및 그러므로 혼합 시간)를 연장시킬 수 있다. 여기에서 설명된 바와 같이, 연장된 길이 경로(180)는 세로 축(Z)에 비-평행하게 및 그로부터 떨어져 연장되는 제 1 측방향 부분(180a), 세로 축(Z)에 일반적으로 평행하게 그러나 그로부터 오프셋되어 연장되는 오프셋 축방향 부분(180b), 및 세로 축(Z)에 비-평행하게 및 그것을 향해 연장되는 제 2 측방향 부분(180c)을 포함할 수 있다. 보어(130)의 제 2 측방향 부분(180c)은 경로(180)로부터 세로 축(Z)을 따라 반응 챔버(810)로 아래쪽으로 연장되는 다운스트림 축방향 부분(130B)으로 전이할 수 있다. 다운스트림 축방향 부분(130B)이 몇몇 길이에 대해 매니폴드(100) 내에 배치되는 것으로 예시되지만, 다운스트림 축방향 부분(130B)은 매우 짧은 길이를 포함할 수 있거나 또는 경로(180)가 반응 챔버(810)로의 유입구에서 보어(130)와 병합하는 시점을 포함할 수 있다는 것이 이해되어야 한다. 즉, 제 2 측방향 부분(180c)은 축(Z)을 향해 측방향으로 연장될 수 있으며, 매니폴드에서의 개구는 제 2 측방향 부분(180c)과 반응 챔버(810) 사이에서 축방향 유체 연통을 직접 제공할 수 있다. 이러한 실시예에서, 다운스트림 축방향 부분(130B)은 경로(180)와 반응 챔버(810) 사이에서 축방향 유체 연통을 제공하는 개구 또는 애퍼처를 포함할 수 있다.
도 6d 내지 도 6j는 가장 아래쪽 공급 채널이 보어(130)와 병합하는 위치(L)의 아래쪽에 배치되는 연장된 혼합 길이 흐름 경로(180)를 예시한다. 특히, 도 6a 내지 도 6i는 서브-블록들(108a 내지 108c)을 거치는 경로(180)를 예시한다. 도 6j는 매니폴드(100)를 거치는 흐름 경로들의 개략적인 사시도이다.
예를 들면, 도 6d는 제 1 서브-블록(108a)의 개략적인 최상부 사시도이다. 도 6e는 제 1 서브-블록(108a)의 개략적인 최하부 사시도이다. 도 6d 및 도 6j에 도시된 바와 같이, 보어(130)는 서브-블록(108a)의 위쪽에 배치되며 그것에서 종단하는 업스트림 축방향 흐름 부분(130A)을 포함할 수 있다. 업스트림 축방향 흐름 부분(130A)은 매니폴드(100)의 세로 축(Z)을 따라 연장될 수 있다. 매니폴드(100)의 세로 축(Z)이 매니폴드(100)의 최상부 표면에 수직으로 배치되는 것으로 예시되지만, 다른 실시예들에서, 세로 축(Z)은 매니폴드(100)를 통해 비스듬히 배치될 수 있다. 보어(130)의 업스트림 축방향 흐름 부분(130A)은 일반적으로 축(Z)에 평행하게 또는 그것을 따라 배치될 수 있다. 도 6d에 도시된 바와 같이, 업스트림 축방향 흐름 부분(130A)은 제 1 서브-블록(108a)의 상부 표면(181)에 들어간다.
도 6e 및 도 6j에서, 업스트림 축방향 흐름 부분(130A)은 서브-블록(108a)의 두께의 일 부분을 통해 축방향으로(즉, 세로 축(Z)을 따라) 연장될 수 있다. 도 6f는 제 2 서브-블록(108b)의 최상부 개략적 사시도이다. 조립될 때(도 6c 참조), 제 1 서브-블록(108a) 및 제 2 서브-블록(108b)은 하나 이상의 기계적 파스너들에 의해 함께 연결할 수 있다. 제 1 및 제 2 서브-블록들(108a, 108b)은 보어(130)의 경로(180)의 제 1 측방향 부분(180a)을 정의하기 위해 협력할 수 있다. 예를 들면, 제 1 서브-블록(108a)의 하부 표면(182)은 제 1 서브-블록(108a)의 두께의 일 부분을 통해 형성된 제 1 홈(183)을 포함할 수 있다. 제 2 서브-블록(108b)의 상부 표면(181)은 제 2 서브-블록(108b)의 두께의 일 부분을 통해 형성된 제 2 홈(184)을 포함할 수 있다. 도 6e 및 도 6f에 도시된 바와 같이, 홈들(183, 184)은 세로 축(Z)으로부터 떨어져 및 그것에 비-평행하게 축방향 경로(130A)로부터 연장될 수 있다. 예시된 실시예에서, 홈들(183, 184)은 축(Z)에 수직으로 연장된다. 도 6e 및 도 6f에 도시된 바와 같이, 홈들(183, 184)은, 축방향 흐름 부분(130A)에서 시작하며 서브-블록들(108a 및 108b)의 외부 부분으로 바깥쪽으로 곡선을 이루는, 나선형 패턴을 구분한다.
도 6g는 제 2 서브-블록(108b)의 개략적인 최하부 사시도이다. 도 6c, 도 6f, 도 6g, 및 도 6j에 도시된 바와 같이, 오프셋 축방향 부분(180b)은 축(Z)으로부터 오프셋되어 배치될 수 있으며 축(Z)에 일반적으로 평행하는 구성요소를 포함할 수 있다. 예시된 실시예에서, 오프셋 축방향 부분(180b)은 일반적으로 축(Z)에 평행하게 배치된다. 다른 실시예들에서, 오프셋 축방향 부분(180b)은 축(Z)에 평행하지 않을 수 있지만, 오히려 축(Z)에 평행한 지향성 구성요소를 포함할 수 있으며, 따라서 오프셋 축방향 부분(180b)은 축(Z)을 따라 적어도 몇몇 변위를 통해 가스를 운반한다. 도 6f 및 도 6g에 도시된 바와 같이, 오프셋 축방향 부분(180b)은 서브-블록(108b)의 전체 두께를 통해 형성된 축방향 채널(185)을 따라 정의될 수 있다.
도 6h는 제 3 서브-블록(108c)의 개략적인 최상부 사시도이다. 조립될 때, 제 2 서브-블록(108b)의 하부 표면(182)은 도 6j에 도시된 바와 같이, 흐름 경로(180)의 제 2 측방향 부분(180c)을 정의하기 위해 제 3 서브-블록(108c)의 상부 표면(181)과 협력할 수 있다. 예를 들면, 제 3 홈(186)이 제 2 서브-블록(108b)의 하부 표면(182)에 형성될 수 있다. 제 4 홈(187)은 제 3 서브-블록(108c)의 상부 표면(181)에 형성될 수 있다. 도 6g, 도 6h, 및 도 6j에 도시된 바와 같이, 홈들(186, 187) 및 제 2 측방향 부분(180c)은 나선형 패턴으로 세로 축(Z)에 비-평행하게 및 그것을 향해 연장될 수 있다. 예를 들면, 제 2 측방향 흐름 부분(180c)은 오프셋 축방향 채널(185)로부터 서브-블록(108c)의 중심 부분으로 측방향으로(예로서, 축(Z)에 비-평행하게) 연장될 수 있다.
도 6i는 제 3 서브-블록(108c)의 개략적인 최하부 사시도이다. 도 6h 내지 도 6j에 예시된 바와 같이, 제 3 서브-블록(108c)의 홈(187)은 보어(130)의 다운스트림 축방향 흐름 부분(130B)과 연통할 수 있다. 제 2 측방향 부분(180c)을 통해 흐르는 가스들은 경로(180)를 통한 측방향 흐름에서 보어(130)의 축방향 부분(130B)을 통한 축방향 흐름으로 전이할 수 있다.
예시된 실시예들에서, 연장된 길이 흐름 경로(180)는 세로 축(Z)으로부터 떨어져 측방향으로 연장되고, 축(Z)에 평행하지만 그로부터 오프셋되어 연장되며, 세로 축(Z)을 향해 측방향으로 연장된다. 예시된 실시예에서, 보어(130)의 제 1 및 제 2 축방향 부분들(130A, 130B)은 일반적으로 세로 축(Z)을 따라 정렬된다. 그러나, 다른 실시예들에서, 다운스트림 축방향 부분(130B)이 세로 축(Z)으로부터 오프셋될 수 있다는 것이 이해되어야 한다. 예를 들면, 이러한 실시예들에서, 반응 챔버(810) 및 유출구(132)는 유입구(120) 및 축(Z)으로부터 오프셋되어 배치될 수 있다. 게다가, 예시된 실시예들에서, 경로(180)는 두 개의 측방향 부분들(180a, 180b) 및 하나의 오프셋 축방향 부분(180b)을 포함한다. 다른 실시예들에서, 그러나, 부가적인 서브-블록들이 부가적인 혼합 길이를 제공하기 위해 부가될 수 있다. 예를 들면, 이러한 배열들에서, 경로(180)는 임의의 적절한 수의 측방향 부분들 및 오프셋 축방향 부분들을 포함할 수 있다. 부가적인 측방향 및 오프셋 축방향 부분들은 공급된 가스들의 혼합을 추가로 개선할 수 있다.
측방향 흐름 부분들(180a, 180c), 및 오프셋 축방향 부분(180b)의 위치 결정은 유익하게는 공급 채널들이 보어(130)에 들어가는 위치(L)의 아래쪽으로 보어(130)의 혼합 길이를 연장시킬 수 있다. 보어(130)의 혼합 길이를 연장시키는 것은 또한 보어(130)에 공급된 가스들의 혼합 시간을 연장시킬 수 있으며, 이것은 증착의 균일성을 개선하며 디바이스 수율을 개선할 수 있다. 특히, 도 6a 내지 도 6j의 실시예는 2% 미만, 예로서 1% 미만의 혼합 불균일성을 제공할 수 있다. 몇몇 실시예들에서, 도 6a 내지 도 6j에 의해 제공된 연장된 혼합 길이는 0.5% 미만, 또는 보다 특히 0.15% 미만, 예로서 약 0.09%의 혼합 불균일성을 제공할 수 있다.
예를 들면, 도 6c에 도시된 바와 같이, 매니폴드(100)는 매니폴드 길이(l)를 따라 연장될 수 있다. 도 1 내지 도 4f에 예시된 것들과 같은, 몇몇 반도체 디바이스들에서, 보어는 일반적으로 유입구(120)로부터 유출구(132)로 축(Z)을 따라 연장될 수 있다. 이러한 배열들에서, 그러므로, 보어의 길이는 보어의 길이가 매니폴드(100)의 길이(l)와 동일하도록 유입구(120)에서의 제 1 단부 부분으로부터 유출구(132)에서의 제 2 단부 부분으로 연장될 수 있다. 그러나, 도 6a 내지 도 6j에 도시된 실시예에서, 유입구(120)로부터, 업스트림 축방향 부분(130A)을 지나, 연장된 길이 혼합 경로(180)를 지나, 및 다운스트림 축방향 부분(130B)을 지나 보어(130)에 의해 정의된 가스 경로의 길이는 유입구(120)와 유출구(132) 사이에서(예로서, 매니폴드(100)의 제 1와 제 2 단부 부분들 사이에서) 정의된 길이(l)보다 클 수 있다.
도 7a는 기판상에 하나 이상의 층들을 증착시키기 위한 방법(700)을 예시한 흐름도이다. 방법(700)은 그 안에 보어를 포함한 매니폴드를 제공하기 위해 블록(701)에서 시작된다. 보어는 매니폴드의 제 1 단부 부분과 매니폴드의 제 2 단부 부분 사이에서의 가스 통로를 정의할 수 있다. 제 1 단부 부분은 매니폴드의 세로 축을 따라 제 2 단부 부분의 반대편에 배치되며 그로부터 제 1 거리만큼 이격될 수 있다. 다양한 실시예들에서, 매니폴드의 제 1 단부 부분은 불활성 가스 유입구가 배치되는 단부 부분을 포함할 수 있다. 제 2 단부 부분은 유출구가 배치되는 단부 부분을 포함할 수 있다.
블록(702)으로 가면, 반응 가스가 보어로 공급될 수 있다. 몇몇 실시예들에서, 반응 가스는 가스 소스로부터 분배 채널로 공급될 수 있다. 가스는 분배 채널로부터 보어로 연장된 하나 이상의 공급 채널들에 의해 보어로 운반될 수 있다. 몇몇 실시예들에서, 공급 채널은 보어의 내부 벽을 지나 적어도 부분적 환형 갭을 정의한 슬릿을 포함할 수 있다. 다른 실시예들에서, 공급 채널들은 예각에서 분배 채널로부터 보어로 안쪽으로 각이 있는 각진 통로들을 포함할 수 있다.
블록(703)에서, 반응 가스는 제 2 거리에 걸쳐 제 1 단부 부분으로부터 제 2 단부 부분으로 가스 통로를 따라 지향될 수 있다. 제 2 거리는 제 1 거리보다 클 수 있다. 여기에서 설명된 바와 같이, 몇몇 실시예들에서, 반응 가스는 매니폴드의 세로 축에 비-평행하게 및 그로부터 떨어져 연장된 제 1 측방향 부분을 따라 지향될 수 있다. 경로의 오프셋 축방향 부분은 세로 축을 따라 가스를 운반할 수 있다. 제 2 측방향 부분은 매니폴드의 세로 축에 비-평행하게 및 그것을 향해 연장될 수 있다. 몇몇 배열들에서, 보어의 다운스트림 축방향 부분은 혼합 가스들을 반응 챔버로 운반할 수 있다. 유리하게는, 여기에서 설명된 바와 같이, 연장된 혼합 길이는 혼합을 개선하며 증착 프로세스들의 불균일성들을 감소시킬 수 있다.
도 7b는 다양한 실시예들에 따라, 기판상에 하나 이상의 층들을 증착시키기 위한 방법(750)을 예시한 흐름도이다. 블록(751)에서, 보어를 포함한 매니폴드가 제공될 수 있다. 보어는 매니폴드의 세로 축을 정의하는 축방향 부분 및 세로 축에 비-평행하게 연장된 측방향 부분을 포함할 수 있다. 블록(752)에서, 반응 가스는 세로 축을 따라 제 1 위치에서 보어의 축방향 부분에 공급될 수 있다. 예를 들면, 상기 설명된 바와 같이, 가스는 슬릿을 포함한 공급 채널을 거쳐 축방향 부분에 공급될 수 있다. 다른 실시예들에서, 가스는 하나 이상의 각진 공급 채널들에 의해 축방향 부분에 공급될 수 있다.
블록(752)에서, 반응 가스는 세로 축에 평행한 보어의 축방향 부분을 통해 지향될 수 있다. 블록(753)에서, 축방향 부분의 아래쪽으로, 반응 가스가 세로 축에 비-평행한 방향으로 보어의 측방향 부분을 통해 지향될 수 있다. 몇몇 실시예들에서, 가스는 세로 축에 평행한(또는 그것에 평행한 지향성 구성요소를 포함한) 방향으로 측방향 부분으로부터 보어의 오프셋 축방향 부분으로 지나갈 수 있다. 여기에서 설명된 바와 같이, 제 2 측방향 부분은 오프셋 축방향 부분으로부터 다운스트림 축방향 부분으로 가스를 운반하기 위해 세로 축을 향해 측방향으로 연장될 수 있다. 가스는 다운스트림 축방향 부분을 따라 반응 챔버로 운반될 수 있다.
IV. 단일 가스 공급 티어를 가진 매니폴드들
여기에서 개시된 다양한 실시예들은 보어(100)로 공급될 각각의 반응 가스에 대한 단일 반응물 공급 채널을 가진 매니폴드들(100)에 관한 것이다. 예를 들면, 반도체 프로세싱 디바이스는 내부 벽을 정의한 보어 및 매니폴드를 통한 채널을 포함한 매니폴드를 포함할 수 있다. 디바이스는 반응 가스의 소스를 포함할 수 있다. 공급 채널은 보어의 내부 벽 상에서의 개구에 의해 반응 가스를 보어로 전달하도록 구성될 수 있다. 모든 반응 가스는 개구에 의해 보어로 전달될 수 있다.
도 8a는 보어(130)로의 가스 전달의 단일 티어를 포함하는 매니폴드(100)의 개략적인 사시도이다. 달리 주지되지 않는다면, 도 8a 내지 도 8f에서의 참조 번호들은 도 1 내지 도 7b에서 유사하게 넘버링된 구성요소들과 동일하거나 또는 유사한 구성요소들을 나타낸다. 예를 들면, 도 8a에 도시된 바와 같이, 매니폴드(100)는 두 개의 블록들(112a, 112b)과 연결된 매니폴드 몸체(102)를 포함할 수 있다. 제 1 및 제 2 밸브들(116a, 116b)(예로서, 반응 가스 밸브들)은 블록들(112a, 112b) 상에 배치될 수 있다. 불활성 가스 유입구(120)는 불활성 가스를 보어(130)에 공급할 수 있다.
도 8b는 도 8a의 매니폴드(10) 및 반응 챔버(810)를 포함한 반도체 프로세싱 디바이스(10)의 개략적인 측 단면도이다. 도 8c는 도 8b의 매니폴드(100)를 통한 가스들의 흐름 경로를 예시한다. 도 6a 내지 도 6j의 실시예와 마찬가지로, 매니폴드(100)는 업스트림 축방향 흐름 부분(130A), 연장된 혼합 길이 통로(180), 및 다운스트림 축방향 흐름 부분(130B)을 포함할 수 있다. 또한, 경로(180)는 제 1 측방향 부분(180a), 오프셋 축방향 부분(180b), 및 제 2 측방향 부분(180c)을 포함할 수 있다. 유익하게는, 경로(180)는 가스들이 보어(130)에 들어가는 위치의 아래쪽으로 혼합 길이를 연장시킬 수 있다. 연장된 혼합 길이는 균일성 및 디바이스 수율을 개선하기 위해 공급된 가스들의 혼합을 개선할 수 있다.
경로(180)에 의해 제공된 연장된 혼합 길이는 또한 유리하게는 단일 가스 공급 티어(190)의 사용을 가능하게 할 수 있다. 가스들이 세로 축(Z)을 따라 이격된 다수의 티어들을 따라 공급되는, 도 2 내지 도 6j의 실시예들과 달리, 도 8b 및 도 8c의 실시예에서, 공급 티어(190)는 매니폴드(100)의 내부 벽에서 대응하는 개구들(191a, 191b)에 의해 가스들을 보어(130)로 전달하는 제 1 공급 채널(190a) 및 제 2 공급 채널(190b)을 포함할 수 있다. 제 1 및 제 2 공급 채널들(190a, 190b)은 대략 동일한 축방향 위치에서 서로 반대편에 배치될 수 있다. 다른 배열들에서, 보어(130)를 따라 이격된 관계에서 공급 채널들을 배치하는 것은 혼합을 개선할 수 있다. 그러나, 도 8b 및 도 8c에서, 경로(180)에 의해 제공된 연장된 혼합 길이는 제 1 공급 채널(190a)의 사용이 대응하는 제 1 가스 소스에 의해 매니폴드(100)에 제공된 반응 가스 전부를 공급할 수 있게 할 수 있다. 유사하게, 제 2 공급 채널(190b)은 제 2 가스 소스에 의해 매니폴드(100)에 제공된 반응 가스 전부를 공급할 수 있다. 따라서, 제 1 가스 모두는 공급 채널(190a) 및 제 1 개구(191a)를 통해 보어(130)로, 예로서, 업스트림 축방향 부분(130A)으로 지나갈 수 있다. 제 2 가스 모두는 제 2 공급 채널(190b) 및 제 2 개구(191b)를 보어(130)로, 예로서 보어(130)의 업스트림 축방향 부분(130A)으로 지나갈 수 있다.
도 8d 내지 도 8f는 다양한 실시예들에 따라, 도 8b 및 도 8c에 도시된 공급 티어(190)의 개략적인 측 단면도들이다. 도 8d에서, 가스 공급 티어(190)는 세로 축(Z)에 비-평행하게 연장되는 제 1 및 제 2 공급 채널들(190a, 190b)을 포함하며, 예로서 공급 채널들(190a, 190b)은 축(Z)에 수직으로 배치된다. 가스는 개구들(191a, 191b)을 통해 보어(130)로 흐르며, 축(Z)을 따라 연장된 혼합 경로(180) 및 반응 챔버(810)로 축방향으로 운반된다. 도 8d에서, 공급 채널들(190a, 190b)은 채널들(190a, 190b)이 보어(130)에 수직이도록 수평으로 연장된다. 이러한 배열은 유리하게는 각진 채널들을 이용하는 매니폴드들과 비교하여 제조 프로세스들을 간소화할 수 있다. 연장된 혼합 길이 경로(180)는 공급된 가스들의 다운스트림 혼합을 용이하게 할 수 있다.
도 8e는 가스들을 보어(130)로 운반하는 아래쪽으로-각진 부분들(192)을 가진 제 1 및 제 2 공급 채널들(190a, 190b)을 예시한다. 축(Z)을 따라 부분들(192)을 비스듬히 움직이는 것은 유익하게는 보어(130)의 축방향 부분(130A)에서 혼합을 강화할 수 있다. 도 8f에서, 각진 부분들(192)은 확장된 부분(193)의 위쪽으로 보어(130)의 부분보다 큰 직경을 가진 확장된 보어 부분(193)의 위쪽으로 보어(130)에 들어간다. 확장된 부분(193)은 공급된 가스들이 함께 혼합할 수 있는 보어(130)에 혼합 챔버를 포함할 수 있다.
도 9는 다양한 실시예들에 따라, 기판상에 하나 이상의 막들을 증착시키는 방법(900)을 예시한 흐름도이다. 블록(901)에서, 매니폴드가 제공될 수 있다. 매니폴드는 내부 벽을 가지며 매니폴드를 통한 채널을 정의한 보어를 포함할 수 있다. 블록(902)에서, 반응 가스의 모두는 보어의 내부 벽 상에서 단일 개구를 통해 공급될 수 있다. 여기에서 설명된 바와 같이, 단일 가스 공급 티어의 사용은 매니폴드의 제조를 간소화할 수 있다. 예를 들면, 제 2 공급 채널 및 제 2 개구는 단일 개구 및 가스를 단일 개구로 공급하는 제 1 공급 채널과 동일한 축방향 위치에 배치될 수 있다.
앞서 말한 것은 명료함 및 이해를 위해 예시들 및 예들로서 상세히 설명되었지만, 특정한 변화들 및 수정들이 실시될 수 있다는 것이 이 기술분야의 숙련자들에게 명백하다. 그러므로, 설명 및 예들은 여기에서 설명된 특정 실시예들 및 예들에 본 발명의 범위를 제한하는 것으로서 해석되지 않아야 하며, 오히려 본 발명의 실제 범위 및 사상을 따르는 모든 수정 및 대안들을 또한 커버하도록 해석되어야 한다. 게다가, 여기에서 상기 설명된 특징들, 양상들 및 이점들의 모두가 본 발명을 실시하기 위해 반드시 요구되는 것은 아니다.

Claims (82)

  1. 반도체 프로세싱 디바이스에 있어서,
    보어(bore)를 포함하며 내부 벽을 갖는 매니폴드(manifold)로서, 상기 내부 벽은 상기 보어를 적어도 부분적으로 정의하고, 상기 보어의 제 1 축방향 부분은 상기 매니폴드의 세로 축(longitudinal axis)을 따라 연장되는, 상기 매니폴드;
    가스 소스와 상기 보어 사이에서 유체 연통(fluid communication)을 제공하는 공급 채널로서, 상기 공급 채널은 상기 가스 소스로부터 상기 보어로 가스를 전달하기 위해 상기 매니폴드의 내부 벽을 지나 적어도 부분적 환형 갭을 정의한 슬릿을 포함하고, 상기 적어도 부분적 환형 갭은 상기 세로 축 주위를 도는(revolve), 상기 공급 채널을 포함하는, 반도체 프로세싱 디바이스.
  2. 청구항 1에 있어서,
    상기 보어의 아래쪽(downstream)에 배치되며 그것과 유체 연통하는 반응 챔버를 더 포함하며, 상기 반응 챔버는 기판을 지지하도록 구성된 기판 지지대를 포함하는, 반도체 프로세싱 디바이스.
  3. 청구항 2에 있어서,
    가스를 상기 반응 챔버로 분산시키도록 구성된 샤워헤드를 더 포함하는, 반도체 프로세싱 디바이스.
  4. 청구항 1 내지 청구항 3 중 어느 한 항에 있어서,
    상기 가스 소스로부터 상기 공급 채널로 상기 가스를 운반하는 가스 분배 채널을 더 포함하며, 상기 가스 분배 채널은 상기 적어도 부분적 환형 갭보다 큰 상기 세로 축을 따라서의 두께를 갖는, 반도체 프로세싱 디바이스.
  5. 청구항 4에 있어서,
    상기 가스를 상기 가스 분배 채널로 선택적으로 전달하도록 구성된 반응 가스 밸브를 더 포함하는, 반도체 프로세싱 디바이스.
  6. 청구항 4 또는 청구항 5에 있어서,
    상기 가스 분배 채널의 두께는 상기 적어도 부분적 환형 갭의 두께의 적어도 두 배인, 반도체 프로세싱 디바이스.
  7. 청구항 6에 있어서,
    상기 가스 분배 채널의 두께는 상기 적어도 부분적 환형 갭의 두께의 적어도 10배인, 반도체 프로세싱 디바이스.
  8. 청구항 1 내지 청구항 7 중 어느 한 항에 있어서,
    상기 적어도 부분적 환형 갭은 360°만큼 상기 세로 축 주위를 도는 완전한 환형관을 포함하는, 반도체 프로세싱 디바이스.
  9. 청구항 1 내지 청구항 7 중 어느 한 항에 있어서,
    상기 적어도 부분적 환형 갭은 90°내지 360°의 범위에서 상기 세로 축 주위를 도는, 반도체 프로세싱 디바이스.
  10. 청구항 1 내지 청구항 9 중 어느 한 항에 있어서,
    상기 적어도 부분적 환형 갭의 두께는 0.1mm 내지 1mm의 범위에 있는, 반도체 프로세싱 디바이스.
  11. 청구항 1 내지 청구항 10 중 어느 한 항에 있어서,
    상기 가스 소스를 더 포함하는, 반도체 프로세싱 디바이스.
  12. 청구항 1 내지 청구항 11 중 어느 한 항에 있어서,
    제 2 가스 소스와 상기 보어 사이에서 유체 연통을 제공하는 제 2 공급 채널을 더 포함하며, 상기 제 2 공급 채널은 상기 제 2 가스 소스로부터 상기 보어로 제 2 가스를 전달하기 위해 상기 매니폴드의 내부 벽을 지나 제 2 적어도 부분적 환형 갭을 정의한 제 2 슬릿을 포함하고, 상기 제 2 적어도 부분적 환형 갭은 상기 세로 축 주위를 돌며 상기 적어도 부분적 환형 갭의 위쪽(upstream)에 배치되는, 반도체 프로세싱 디바이스.
  13. 청구항 1 내지 청구항 12 중 어느 한 항에 있어서,
    상기 반도체 프로세싱 디바이스의 동작을 제어하도록 구성된 제어기를 더 포함하는, 반도체 프로세싱 디바이스.
  14. 청구항 1 내지 청구항 13 중 어느 한 항에 있어서,
    상기 보어는 적어도 부분적 환형 단면을 가진 환형 흐름 부분 및 비-환형 단면을 가진 비-환형 흐름 부분을 갖는 채널을 포함하며, 상기 비-환형 흐름 부분은 상기 환형 흐름 부분의 아래쪽에 배치되는, 반도체 프로세싱 디바이스.
  15. 청구항 14에 있어서,
    상기 슬릿은 상기 가스를 상기 보어의 환형 흐름 부분으로 공급하는, 반도체 프로세싱 디바이스.
  16. 청구항 14 또는 청구항 15에 있어서,
    상기 환형 흐름 부분은 상기 보어에 배치된 플러그(plug)를 포함하며, 상기 환형 흐름 부분은 상기 플러그의 외부 주변부 (outer periphery) 및 상기 매니폴드의 내부 벽 사이에 배치되는, 반도체 프로세싱 디바이스.
  17. 청구항 16에 있어서,
    상기 플러그는 환형 흐름과 비-환형 흐름 사이에서 전이하기 위한 하나 이상의 테이퍼링 부분(tapered portion)들을 포함하는, 반도체 프로세싱 디바이스.
  18. 청구항 16 또는 청구항 17에 있어서,
    상기 매니폴드는 상기 보어 및 상기 공급 채널을 정의하기 위해 함께 연결된 복수의 블록들을 포함하는, 반도체 프로세싱 디바이스.
  19. 청구항 18에 있어서,
    상기 환형 흐름 부분은 상기 복수의 블록들 중 제 1 블록을 포함하고, 상기 제 1 블록은 상기 플러그가 배치되는 개구 및 상기 개구에 인접하여 배치된 하나 이상의 홀들을 포함하는, 반도체 프로세싱 디바이스.
  20. 청구항 14 내지 청구항 19 중 어느 한 항에 있어서,
    상기 보어는 상기 환형 흐름 부분의 위쪽에 배치된 제 2 비-환형 흐름 부분을 포함하는, 반도체 프로세싱 디바이스.
  21. 청구항 1 내지 청구항 20 중 어느 한 항에 있어서,
    상기 보어는 상기 매니폴드의 세로 축을 정의하는 축방향 부분(axial portion) 및 상기 세로 축에 비-평행하게 연장된 측방향 부분(lateral portion)을 포함하는, 반도체 프로세싱 디바이스.
  22. 청구항 21에 있어서,
    상기 보어는 상기 측방향 부분으로부터 아래쪽으로 연장되며 상기 세로 축을 따라 지향성 구성요소를 갖는 오프셋 축방향 부분을 포함하며, 상기 오프셋 축방향 부분은 상기 세로 축으로부터 측방향으로(laterally) 오프셋되어 배치되는, 반도체 프로세싱 디바이스.
  23. 청구항 22에 있어서,
    상기 보어는 상기 오프셋 축방향 부분으로부터 상기 세로 축에 비-평행하게 연장되는 제 2 측방향 부분을 포함하는, 반도체 프로세싱 디바이스.
  24. 청구항 1 내지 청구항 23 중 어느 한 항에 있어서,
    상기 보어는 상기 매니폴드의 제 1 단부 부분과 상기 매니폴드의 제 2 단부 부분 사이에 가스 통로를 정의하며, 상기 제 1 단부 부분은 상기 매니폴드의 상기 세로 축을 따라서 상기 제 2 단부 부분의 반대편에 배치되며 상기 제 2 단부 부분으로부터 제 1 거리만큼 이격되고, 상기 가스 통로는 상기 제 1 거리보다 큰 제 2 거리에 걸쳐 상기 매니폴드를 통해 연장되는, 반도체 프로세싱 디바이스.
  25. 반도체 프로세싱 디바이스에 있어서,
    보어를 포함하는 매니폴드; 및
    가스를 상기 보어로 공급하기 위해 가스 소스와 상기 보어 사이에서 유체 연통을 제공하는 공급 채널을 포함하며,
    상기 보어는 적어도 부분적 환형 단면을 가진 환형 흐름 부분 및 비-환형 단면을 가진 비-환형 흐름 부분을 갖는 채널을 포함하며, 상기 비-환형 흐름 부분은 상기 환형 흐름 부분의 아래쪽에 배치되는, 반도체 프로세싱 디바이스.
  26. 청구항 25에 있어서,
    상기 슬릿은 상기 가스를 상기 보어의 환형 흐름 부분으로 공급하는, 반도체 프로세싱 디바이스.
  27. 청구항 25 또는 청구항 26에 있어서,
    상기 환형 흐름 부분은 상기 보어에 배치된 플러그를 포함하며, 상기 환형 흐름 부분은 상기 플러그의 외부 주변부와 상기 매니폴드의 내부 벽 사이에 배치되는, 반도체 프로세싱 디바이스.
  28. 청구항 27에 있어서,
    상기 플러그는 환형 흐름과 비-환형 흐름 사이에서 전이하기 위한 하나 이상의 테이퍼링 부분들을 포함하는, 반도체 프로세싱 디바이스.
  29. 청구항 25 내지 청구항 28 중 어느 한 항에 있어서,
    상기 매니폴드는 상기 보어 및 상기 공급 채널을 정의하기 위해 함께 연결된 복수의 블록들을 포함하는, 반도체 프로세싱 디바이스.
  30. 청구항 29에 있어서,
    상기 환형 흐름 부분은 상기 복수의 블록들 중 제 1 블록을 포함하며, 상기 제 1 블록은 상기 플러그가 배치되는 개구 및 상기 개구에 인접하여 배치된 하나 이상의 홀들을 포함하는, 반도체 프로세싱 디바이스.
  31. 청구항 25 내지 청구항 30 중 어느 한 항에 있어서,
    상기 보어는 상기 환형 흐름 부분의 위쪽에 배치된 제 2 비-환형 흐름 부분을 포함하는, 반도체 프로세싱 디바이스.
  32. 청구항 25 내지 청구항 31 중 어느 한 항에 있어서,
    상기 보어의 아래쪽에 배치되며 그것과 유체 연통하는 반응 챔버를 더 포함하며, 상기 반응 챔버는 기판을 지지하도록 구성된 기판 지지대를 포함하는, 반도체 프로세싱 디바이스.
  33. 청구항 32에 있어서,
    가스를 상기 반응 챔버로 분산시키도록 구성된 샤워헤드(showerhead)를 더 포함하는, 반도체 프로세싱 디바이스.
  34. 청구항 25 내지 청구항 33 중 어느 한 항에 있어서,
    상기 가스를 상기 가스 소스로부터 상기 공급 채널로 운반하는 가스 분배 채널을 더 포함하는, 반도체 프로세싱 디바이스.
  35. 청구항 34에 있어서,
    상기 가스를 상기 가스 분배 채널로 선택적으로 전달하도록 구성된 반응 가스 밸브를 더 포함하는, 반도체 프로세싱 디바이스.
  36. 청구항 25 내지 청구항 35 중 어느 한 항에 있어서,
    제 2 가스 소스와 상기 보어 사이에서 유체 연통을 제공하는 제 2 공급 채널을 더 포함하며, 상기 제 2 공급 채널은 상기 공급 채널의 위쪽에 배치되는, 반도체 프로세싱 디바이스.
  37. 청구항 25 내지 청구항 36 중 어느 한 항에 있어서,
    상기 반도체 프로세싱 디바이스의 동작을 제어하도록 구성된 제어기를 더 포함하는, 반도체 프로세싱 디바이스.
  38. 청구항 25 내지 청구항 37 중 어느 한 항에 있어서,
    상기 보어는 상기 매니폴드의 세로 축을 정의하는 축방향 부분 및 상기 세로 축으로 비-평행하게 연장되는 측방향 부분을 포함하는, 반도체 프로세싱 디바이스.
  39. 청구항 38에 있어서,
    상기 보어는 상기 측방향 부분으로부터 아래쪽으로 연장되며 상기 세로 축을 따라 지향성 구성요소를 갖는 오프셋 축방향 부분을 포함하며, 상기 오프셋 축방향 부분은 상기 세로 축으로부터 측방향으로 오프셋되어 배치되는, 반도체 프로세싱 디바이스.
  40. 청구항 39에 있어서,
    상기 보어는 상기 오프셋 축방향 부분으로부터 상기 세로 축에 비-평행하게 연장된 제 2 측방향 부분을 포함하는, 반도체 프로세싱 디바이스.
  41. 청구항 25 내지 청구항 40 중 어느 한 항에 있어서,
    상기 보어는 상기 매니폴드의 제 1 단부 부분과 상기 매니폴드의 제 2 단부 부분 사이에서의 가스 통로를 정의하며, 상기 제 1 단부 부분은 상기 매니폴드의 상기 세로 축을 따라 상기 제 2 단부 부분의 반대편에 배치되며 상기 제 2 단부 부분으로부터 제 1 거리만큼 이격되고, 상기 가스 통로는 상기 제 1 거리보다 큰 제 2 거리에 걸쳐 상기 매니폴드를 통해 연장되는, 반도체 프로세싱 디바이스.
  42. 증착 방법에 있어서,
    공급 채널을 통해 가스를 매니폴드의 보어로 공급하는 단계;
    상기 가스가 적어도 부분적 환형 단면을 갖는 상기 매니폴드의 세로 축을 따라 흐르도록 상기 보어의 환형 흐름 부분에 적어도 부분적 환형 흐름 패턴을 생성하는 단계; 및
    상기 환형 흐름 부분의 아래쪽에, 상기 가스가 비-환형 단면을 갖는 상기 세로 축을 따라 흐르도록 상기 보어의 비-환형 부분에 비-환형 흐름 패턴을 생성하는 단계를 포함하는, 증착 방법.
  43. 청구항 42에 있어서,
    상기 적어도 부분적 환형 흐름 패턴을 생성하는 단계는 상기 가스가 플러그의 외부 주변부와 상기 매니폴드의 내부 벽 사이에서 흐르도록 상기 보어 내에 상기 플러그를 제공하는 단계를 포함하는, 증착 방법.
  44. 청구항 42 또는 청구항 43에 있어서,
    상기 비-환형 흐름 패턴에 상기 가스를 반응 챔버로 지향시키는 단계(directing) 및 상기 가스를 상기 챔버로 분산시키는 단계를 더 포함하는, 증착 방법.
  45. 청구항 42 내지 청구항 44 중 어느 한 항에 있어서,
    상기 매니폴드의 내부 벽을 지나 적어도 부분적 환형 갭을 정의한 슬릿을 통해 상기 공급 채널로부터 상기 보어로 상기 가스를 지향시키는 단계를 더 포함하며, 상기 적어도 부분적 환형 갭은 상기 매니폴드의 세로 축 주위를 도는, 증착 방법.
  46. 청구항 42 내지 청구항 45 중 어느 한 항에 있어서,
    상기 보어는 상기 매니폴드의 제 1 단부 부분과 상기 매니폴드의 제 2 단부 부분 사이에 가스 통로를 정의하며, 상기 제 1 단부 부분은 상기 매니폴드의 상기 세로 축을 따라 상기 제 2 단부 부분의 반대편에 배치되며 상기 제 2 단부 부분으로부터 제 1 거리만큼 이격되고, 상기 방법은 제 2 거리에 걸쳐 상기 제 1 단부 부분으로부터 상기 제 2 단부 부분으로 상기 가스 통로를 따라 상기 가스를 지향시키는 단계를 포함하며, 상기 제 2 거리는 상기 제 1 거리보다 큰, 증착 방법.
  47. 청구항 42 내지 청구항 46 중 어느 한 항에 있어서,
    상기 보어는 상기 매니폴드의 세로 축을 정의하는 축방향 부분 및 상기 세로 축에 비-평행하게 연장된 측방향 부분을 가지며, 상기 방법은 상기 세로 축에 평행하는 상기 보어의 축방향 부분을 통해 상기 가스를 지향시키는 단계, 및 상기 축방향 부분의 아래쪽에, 상기 세로 축에 비-평행한 방향으로 상기 보어의 측방향 부분을 통해 상기 가스를 지향시키는 단계를 포함하는, 증착 방법.
  48. 증착 방법에 있어서,
    가스를 공급 채널로 공급하는 단계; 및
    매니폴드의 내부 벽을 따라 적어도 부분적 환형 갭을 정의한 슬릿을 통해 상기 공급 채널로부터 상기 매니폴드의 보어로 상기 가스를 지향시키는 단계로서, 상기 적어도 부분적 환형 갭은 상기 매니폴드의 세로 축 주위를 도는, 상기 가스를 지향시키는 단계를 포함하는, 증착 방법.
  49. 청구항 48에 있어서,
    상기 비-환형 흐름 패턴에서 상기 가스를 반응 챔버로 지향시키는 단계 및 상기 가스를 상기 챔버로 분산시키는 단계를 더 포함하는, 증착 방법.
  50. 청구항 48 또는 청구항 49에 있어서,
    상기 적어도 부분적 환형 갭은 완전한 환형관(annulus)을 포함하는, 증착 방법.
  51. 반도체 프로세싱 디바이스에 있어서,
    그 안에 보어를 포함한 매니폴드로서, 상기 보어는 상기 매니폴드의 제 1 단부 부분과 상기 매니폴드의 제 2 단부 부분 사이에서의 가스 통로를 정의하고, 상기 제 1 단부 부분은 상기 매니폴드의 세로 축을 따라 상기 제 2 단부 부분의 반대편에 배치되며 상기 제 2 단부 부분으로부터 제 1 거리만큼 이격되고,
    상기 가스 통로는 상기 제 1 거리보다 큰 제 2 거리에 걸쳐 상기 매니폴드를 통해 연장되는, 상기 매니폴드; 및
    상기 보어의 아래쪽에 배치되며 그것과 유체 연통하는 반응 챔버를 포함하는, 반도체 프로세싱 디바이스.
  52. 청구항 51에 있어서,
    상기 보어는 상기 매니폴드의 세로 축을 정의하는 축방향 부분 및 상기 세로 축에 비-평행하게 연장되는 측방향 부분을 포함하는, 반도체 프로세싱 디바이스.
  53. 청구항 52에 있어서,
    상기 보어는 상기 측방향 부분으로부터 아래쪽으로 연장되며 상기 세로 축을 따라 지향성 구성요소를 갖는 오프셋 축방향 부분을 포함하며, 상기 오프셋 축방향 부분은 상기 세로 축으로부터 측방향으로 오프셋되어 배치되는, 반도체 프로세싱 디바이스.
  54. 청구항 53에 있어서,
    상기 보어는 상기 오프셋 축방향 부분으로부터 상기 세로 축에 비-평행하게 연장된 제 2 측방향 부분을 포함하는, 반도체 프로세싱 디바이스.
  55. 청구항 51 내지 청구항 54 중 어느 한 항에 있어서,
    기판을 지지하도록 구성된 기판 지지대를 더 포함하는, 반도체 프로세싱 디바이스.
  56. 청구항 55에 있어서,
    가스를 상기 반응 챔버로 분산시키도록 구성된 샤워헤드를 더 포함하는, 반도체 프로세싱 디바이스.
  57. 청구항 51 내지 청구항 56 중 어느 한 항에 있어서,
    공급 채널을 거쳐 가스 소스로부터 보어로 가스를 운반하는 가스 분배 채널을 더 포함하는, 반도체 프로세싱 디바이스.
  58. 청구항 57에 있어서,
    상기 가스를 상기 가스 분배 채널로 선택적으로 전달하도록 구성된 반응 가스 밸브를 더 포함하는, 반도체 프로세싱 디바이스.
  59. 반도체 프로세싱 디바이스에 있어서,
    매니폴드의 세로 축을 정의하는 축방향 부분 및 상기 세로 축에 비-평행하게 연장된 측방향 부분을 갖는 보어를 포함하는 상기 매니폴드;
    상기 세로 축을 따라 제 1 위치에서 상기 보어의 축방향 부분으로 가스를 공급하는 공급 채널로서, 상기 측방향 부분은 상기 제 1 위치의 아래쪽 제 2 위치에 배치되며, 상기 측방향 부분은 상기 세로 축에 대해 비-평행하게 연장되는, 상기 공급 채널; 및
    상기 보어의 아래쪽에 배치되며 상기 보어와 유체 연통하는 반응 챔버를 포함하는, 반도체 프로세싱 디바이스.
  60. 청구항 59에 있어서,
    상기 보어는 상기 측방향 부분으로부터 아래쪽으로 연장되며 상기 세로 축을 따라 지향성 구성요소를 갖는 오프셋 축방향 부분을 포함하며, 상기 오프셋 축방향 부분은 상기 세로 축으로부터 측방향으로 오프셋되어 배치되는, 반도체 프로세싱 디바이스.
  61. 청구항 60에 있어서,
    상기 보어는 상기 오프셋 축방향 부분으로부터 상기 세로 축에 비-평행하게 연장된 제 2 측방향 부분을 포함하는, 반도체 프로세싱 디바이스.
  62. 청구항 59 내지 청구항 61 중 어느 한 항에 있어서,
    기판을 지지하도록 구성된 기판 지지대를 더 포함하는, 반도체 프로세싱 디바이스.
  63. 청구항 59 내지 청구항 62 중 어느 한 항에 있어서,
    가스를 상기 반응 챔버로 분산시키도록 구성된 샤워헤드를 더 포함하는, 반도체 프로세싱 디바이스.
  64. 청구항 59 내지 청구항 63 중 어느 한 항에 있어서,
    공급 채널을 거쳐 가스 소스로부터 상기 보어로 가스를 운반하는 가스 분배 채널을 더 포함하는, 반도체 프로세싱 디바이스.
  65. 청구항 64에 있어서,
    상기 가스를 상기 가스 분배 채널로 선택적으로 전달하도록 구성된 반응 가스 밸브를 더 포함하는, 반도체 프로세싱 디바이스.
  66. 증착 방법에 있어서,
    그 안에 보어를 포함하는 매니폴드를 제공하는 단계로서, 상기 보어는 상기 매니폴드의 제 1 단부 부분과 상기 매니폴드의 제 2 단부 부분 사이에 가스 통로를 정의하고, 상기 제 1 단부 부분은 상기 매니폴드의 세로 축을 따라 상기 제 2 단부 부분의 반대편에 배치되며 상기 제 2 단부 부분으로부터 제 1 거리만큼 이격되는, 상기 제공 단계;
    반응 가스를 상기 보어로 공급하는 단계; 및
    제 2 거리에 걸쳐 상기 제 1 단부 부분으로부터 상기 제 2 단부 부분으로 상기 가스 통로를 따라 상기 반응 가스를 지향시키는 단계로서, 상기 제 2 거리는 상기 제 1 거리보다 큰, 상기 반응 가스를 지향시키는 단계를 포함하는, 증착 방법.
  67. 청구항 66에 있어서,
    상기 보어는 상기 매니폴드의 상기 세로 축을 정의하는 축방향 부분 및 상기 세로 축에 비-평행하게 연장된 측방향 부분을 가지며, 상기 방법은 상기 세로 축에 평행한 상기 보어의 축방향 부분을 통해 상기 반응 가스를 지향시키는 단계, 및 상기 축방향 부분의 아래쪽에, 상기 세로 축에 비-평행한 방향으로 상기 보어의 상기 측방향 부분을 통해 상기 반응 가스를 지향시키는 단계를 포함하는, 증착 방법.
  68. 청구항 66 또는 청구항 67에 있어서,
    상기 보어의 내부 벽 상에서 단일 개구를 통해 상기 반응 가스의 전부를 공급하는 단계를 더 포함하는, 증착 방법.
  69. 증착 방법에 있어서,
    매니폴드의 세로 축을 정의하는 축방향 부분 및 상기 세로 축에 비-평행하게 연장된 측방향 부분을 갖는 보어를 포함한 매니폴드를 제공하는 단계;
    상기 세로 축을 따라 제 1 위치에서 상기 보어의 상기 축방향 부분으로 반응 가스를 공급하는 단계;
    상기 세로 축에 평행한 상기 보어의 축방향 부분을 통해 상기 반응 가스를 지향시키는 단계; 및
    상기 축방향 부분의 아래쪽에, 상기 세로 축에 비-평행한 방향으로 상기 보어의 상기 측방향 부분을 통해 상기 반응 가스를 지향시키는 단계를 포함하는, 증착 방법.
  70. 청구항 69에 있어서,
    상기 보어의 내부 벽 상에 단일 개구를 통해 상기 반응 가스의 전부를 공급하는 단계를 더 포함하는, 증착 방법.
  71. 반도체 프로세싱 디바이스에 있어서,
    매니폴드를 통해 채널의 내부 벽을 정의하는 보어를 포함하는 상기 매니폴드;
    가스의 소스;
    상기 보어의 내부 벽 상에서의 개구에 의해 상기 보어로 상기 가스를 전달하기 위한 공급 채널을 포함하며,
    상기 가스 전부는 상기 개구에 의해 상기 보어로 전달되는, 반도체 프로세싱 디바이스.
  72. 청구항 71에 있어서,
    상기 보어의 아래쪽에 배치되며 상기 보어와 유체 연통하는 반응 챔버를 더 포함하며, 상기 반응 챔버는 기판을 지지하도록 구성된 기판 지지대를 포함하는, 반도체 프로세싱 디바이스.
  73. 청구항 72에 있어서,
    상기 가스를 상기 반응 챔버로 분산시키도록 구성된 샤워헤드를 더 포함하는, 반도체 프로세싱 디바이스.
  74. 청구항 71 내지 청구항 73 중 어느 한 항에 있어서,
    상기 소스로부터 상기 공급 채널로 상기 가스를 운반하는 가스 분배 채널을 더 포함하는, 반도체 프로세싱 디바이스.
  75. 청구항 74에 있어서,
    상기 가스를 상기 가스 분배 채널로 선택적으로 전달하도록 구성된 반응 가스 밸브를 더 포함하는, 반도체 프로세싱 디바이스.
  76. 청구항 71 내지 청구항 75 중 어느 한 항에 있어서,
    제 2 개구를 거쳐 제 2 가스를 상기 보어로 전달하는 제 2 공급 채널을 더 포함하며, 상기 제 2 공급 채널은 상기 공급 채널로부터 상기 보어의 반대편에 배치되며, 상기 제 2 가스 전부는 상기 제 2 개구에 의해 상기 보어로 전달되는, 반도체 프로세싱 디바이스.
  77. 청구항 71 내지 청구항 76 중 어느 한 항에 있어서,
    상기 보어는 상기 매니폴드의 세로 축을 정의하는 축방향 부분 및 상기 세로 축에 비-평행하게 연장된 측방향 부분을 포함하는, 반도체 프로세싱 디바이스.
  78. 청구항 77에 있어서,
    상기 보어는 상기 측방향 부분으로부터 아래쪽으로 연장되며 상기 세로 축을 따라 지향성 구성요소를 갖는 오프셋 축방향 부분을 포함하며, 상기 오프셋 축방향 부분은 상기 세로 축으로부터 측방향으로 오프셋되어 배치되는, 반도체 프로세싱 디바이스.
  79. 청구항 78에 있어서,
    상기 보어는 상기 오프셋 축방향 부분으로부터 상기 세로 축에 비-평행하게 연장된 제 2 측방향 부분을 포함하는, 반도체 프로세싱 디바이스.
  80. 청구항 71 내지 청구항 79 중 어느 한 항에 있어서,
    상기 보어는 상기 매니폴드의 제 1 단부 부분과 상기 매니폴드의 제 2 단부 부분 사이에서의 가스 통로를 정의하며, 상기 제 1 단부 부분은 상기 매니폴드의 세로 축을 따라 상기 제 2 단부 부분의 반대편에 배치되며 상기 제 2 단부 부분으로부터 제 1 거리만큼 이격되고, 상기 가스 통로는 상기 제 1 거리보다 큰 제 2 거리에 걸쳐 상기 매니폴드를 통해 연장되는, 반도체 프로세싱 디바이스.
  81. 증착 방법에 있어서,
    내부 벽을 가지며 매니폴드를 통한 채널을 정의하는 보어를 포함하는 상기 매니폴드를 제공하는 단계; 및
    상기 보어의 내부 벽 상에서의 단일 개구를 통해 반응 가스의 전부를 공급하는 단계를 포함하는, 증착 방법.
  82. 청구항 81에 있어서,
    상기 보어의 내부 벽 상에 제 2 개구를 통해 제 2 반응 가스의 전부를 공급하는 단계를 더 포함하며, 상기 제 2 개구는 상기 단일 개구로부터 상기 보어의 반대편에 있는, 증착 방법.

KR1020170065507A 2016-06-01 2017-05-26 균일한 기상 증착을 위한 매니폴드들 KR102163744B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200127402A KR102504326B1 (ko) 2016-06-01 2020-09-29 균일한 기상 증착을 위한 매니폴드들

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/170,639 2016-06-01
US15/170,639 US10662527B2 (en) 2016-06-01 2016-06-01 Manifolds for uniform vapor deposition

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020200127402A Division KR102504326B1 (ko) 2016-06-01 2020-09-29 균일한 기상 증착을 위한 매니폴드들

Publications (2)

Publication Number Publication Date
KR20170136432A true KR20170136432A (ko) 2017-12-11
KR102163744B1 KR102163744B1 (ko) 2020-10-12

Family

ID=60483039

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020170065507A KR102163744B1 (ko) 2016-06-01 2017-05-26 균일한 기상 증착을 위한 매니폴드들
KR1020200127402A KR102504326B1 (ko) 2016-06-01 2020-09-29 균일한 기상 증착을 위한 매니폴드들
KR1020230023889A KR20230031271A (ko) 2016-06-01 2023-02-22 균일한 기상 증착을 위한 매니폴드들

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020200127402A KR102504326B1 (ko) 2016-06-01 2020-09-29 균일한 기상 증착을 위한 매니폴드들
KR1020230023889A KR20230031271A (ko) 2016-06-01 2023-02-22 균일한 기상 증착을 위한 매니폴드들

Country Status (4)

Country Link
US (3) US10662527B2 (ko)
KR (3) KR102163744B1 (ko)
CN (1) CN107447204B (ko)
TW (2) TWI708862B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021091948A1 (en) * 2019-11-08 2021-05-14 Applied Materials, Inc. Chamber components for gas delivery modulation

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN111670265A (zh) * 2018-01-31 2020-09-15 朗姆研究公司 用于多前体的歧管阀
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) * 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
SG11202105321TA (en) * 2018-12-20 2021-07-29 Applied Materials Inc Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP2022525108A (ja) * 2019-03-11 2022-05-11 アプライド マテリアルズ インコーポレイテッド 基板処理チャンバ用のリッドアセンブリ装置及び方法
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
TWI768838B (zh) * 2019-04-09 2022-06-21 美商應用材料股份有限公司 半導體處理系統部件
KR20210017147A (ko) * 2019-08-07 2021-02-17 주성엔지니어링(주) 가스 유입 장치 및 이를 이용한 기판 처리 장치
TW202117217A (zh) * 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20220077875A (ko) 2020-12-02 2022-06-09 에이에스엠 아이피 홀딩 비.브이. 샤워헤드 어셈블리용 세정 고정구
CN114134486B (zh) * 2021-12-09 2023-06-09 拓荆科技股份有限公司 一种反应腔匀气结构
CN116103640B (zh) * 2023-04-07 2023-06-27 上海陛通半导体能源科技股份有限公司 一种ald反应腔装置及ald镀膜设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4667541B2 (ja) * 2008-10-20 2011-04-13 旭有機材工業株式会社 渦巻き式流体混合器及び渦巻き式流体混合器を用いた装置
KR101151192B1 (ko) * 2002-11-14 2012-06-08 어플라이드 머티어리얼스, 인코포레이티드 혼합 화학 프로세스를 위한 장치 및 방법
KR20150100536A (ko) * 2014-02-25 2015-09-02 에이에스엠 아이피 홀딩 비.브이. 가스 공급 매니폴드와 그것을 사용하여 가스들을 챔버로 공급하는 방법
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition

Family Cites Families (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1523156A (en) * 1923-07-05 1925-01-13 Leslie M Adams Electrically-energized faucet heater
US1853045A (en) * 1931-01-09 1932-04-12 Air Conditioning & Eng Fluid mixing means
US3429903A (en) 1968-03-12 1969-02-25 American Metal Climax Inc Method of preparing molybdenum (iii) acetylacetonate
US3784631A (en) 1972-03-09 1974-01-08 Goodyear Tire & Rubber Dimerization or codimerization of alpha-olefins
US3882934A (en) * 1972-06-02 1975-05-13 Aga Ab Heat exchanger
DE7242602U (ko) * 1972-11-20 1976-04-29 Hoogovens Ijmuiden B.V., Ijmuiden (Niederlande)
US4222671A (en) * 1978-09-05 1980-09-16 Gilmore Oscar Patrick Static mixer
US4401052A (en) 1979-05-29 1983-08-30 The University Of Delaware Apparatus for continuous deposition by vacuum evaporation
US4422773A (en) * 1980-08-04 1983-12-27 Technicon Instruments Corporation Apparatus and method for the non-invasive mixing of a flowing fluid stream
US4410281A (en) * 1981-03-02 1983-10-18 Ralph B. Carter Company Mixing method and apparatus utilizing pipe elbows
US4649859A (en) * 1985-02-19 1987-03-17 The United States Of America As Represented By The United States Department Of Energy Reactor design for uniform chemical vapor deposition-grown films without substrate rotation
GB8526566D0 (en) * 1985-10-29 1985-12-04 Plessey Co Plc Manifold assembly
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5080549A (en) 1987-05-11 1992-01-14 Epsilon Technology, Inc. Wafer handling system with Bernoulli pick-up
DE3715644A1 (de) 1987-05-11 1988-12-01 Fraunhofer Ges Forschung Molekularstrahlepitaxieanlage
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5071460A (en) 1988-03-04 1991-12-10 Nippon Telegraph And Telephone Corporation Process for the preparation of fluoride glass and process for the preparation of optical fiber preform using the fluoride glass
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
DE58909880D1 (de) 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US4889609A (en) 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US4907534A (en) 1988-12-09 1990-03-13 Siemens Aktiengesellschaft Gas distributor for OMVPE Growth
WO1990010092A1 (en) 1989-02-24 1990-09-07 Massachusetts Institute Of Technology A modified stagnation flow apparatus for chemical vapor deposition providing excellent control of the deposition
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5106453A (en) * 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5004374A (en) * 1990-02-28 1991-04-02 Bettie Grey Method of laying out a pathway for piping
DE4006489A1 (de) 1990-03-02 1991-09-05 Hoechst Ag Vorrichtung zum herstellen duenner schichten aus metallmischoxiden aus organischen metallverbindungen auf einem substrat
US5028724A (en) 1990-03-30 1991-07-02 Air Products And Chemicals, Inc. Synthesis of volatile fluorinated and non-fluorinated metal-beta-ketonate and metal-beta-ketoiminato complexes
CA2016970A1 (en) 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US7323581B1 (en) 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US6110529A (en) 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5131627A (en) * 1990-10-17 1992-07-21 Nupro Company Diaphragm valve
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH04308090A (ja) 1991-04-05 1992-10-30 M B K Maikurotetsuku:Kk 気相化学反応生成装置のロードロック機構
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5192371A (en) 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
US5223001A (en) 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
US5248253A (en) 1992-01-28 1993-09-28 Digital Equipment Corporation Thermal processing furnace with improved plug flow
JPH05218176A (ja) 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
JPH0811718B2 (ja) * 1992-02-27 1996-02-07 大同ほくさん株式会社 ガスソース分子線エピタキシー装置
US5229615A (en) 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
GB2264957B (en) * 1992-03-12 1995-09-20 Bell Communications Res Deflected flow in a chemical vapor deposition cell
JP3183575B2 (ja) 1992-09-03 2001-07-09 東京エレクトロン株式会社 処理装置および処理方法
JP3405466B2 (ja) 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
JP3186262B2 (ja) 1992-10-14 2001-07-11 ソニー株式会社 半導体装置の製造方法
KR100302012B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
JP2548062B2 (ja) 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
JP3292540B2 (ja) 1993-03-03 2002-06-17 東京エレクトロン株式会社 熱処理装置
JP3218488B2 (ja) 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
JP2875458B2 (ja) * 1993-07-16 1999-03-31 大日本スクリーン製造株式会社 基板の熱処理装置
JPH07122500A (ja) 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3042335B2 (ja) 1994-10-25 2000-05-15 信越半導体株式会社 気相成長方法及びその装置
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3380091B2 (ja) 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5609459A (en) 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
JP3258885B2 (ja) 1995-12-27 2002-02-18 東京エレクトロン株式会社 成膜処理装置
TW322602B (ko) 1996-04-05 1997-12-11 Ehara Seisakusho Kk
US6534133B1 (en) 1996-06-14 2003-03-18 Research Foundation Of State University Of New York Methodology for in-situ doping of aluminum coatings
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US5980983A (en) 1997-04-17 1999-11-09 The President And Fellows Of Harvard University Liquid precursors for formation of metal oxides
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
CN1272804A (zh) * 1997-07-24 2000-11-08 阿克西瓦有限公司 连续无序的对流混合器、热交换器和反应器
US6432479B2 (en) 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
CN1289264A (zh) * 1998-01-26 2001-03-28 福永和二 凝聚浓缩装置和凝聚浓缩法
CN1107830C (zh) 1998-03-05 2003-05-07 斯瓦戈洛克公司 按标准形式设计的表面安装歧管
US6079353A (en) 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US5893641A (en) * 1998-05-26 1999-04-13 Garcia; Paul Differential injector
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP2000172343A (ja) 1998-12-02 2000-06-23 Hitachi Ltd ガス供給装置と成膜装置
DE60035948T2 (de) 1999-06-19 2008-05-15 Asm Genitech Korea Ltd. Chemischer abscheidungsreaktor und dessen verwendung für die abscheidung eines dünnen films
AU1218401A (en) 1999-10-20 2001-04-30 Cvd Systems, Inc. Fluid processing system
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
JP4778655B2 (ja) 2000-02-04 2011-09-21 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
FI117980B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä ohutkalvon kasvattamiseksi alustalle
US6303501B1 (en) 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
US6299692B1 (en) 2000-07-21 2001-10-09 Applied Materials, Inc. Head for vaporizing and flowing various precursor materials onto semiconductor wafers during chemical vapor deposition
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
EP1313744A4 (en) 2000-08-28 2004-03-31 Advanced Tech Materials REAGENT COMPOSITION AND METHOD FOR FORMING METAL LAYERS ON SUBSTRATES BY MEANS OF CHEMICAL GAS PHASE DEPOSITION
US20020072164A1 (en) 2000-09-13 2002-06-13 Applied Materials, Inc. Processing chamber with multi-layer brazed lid
DE10064944A1 (de) 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6428847B1 (en) 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
US20040028810A1 (en) 2000-10-16 2004-02-12 Primaxx, Inc. Chemical vapor deposition reactor and method for utilizing vapor vortex
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
CN1966762B (zh) * 2001-01-18 2015-01-21 株式会社渡边商行 汽化器、使用汽化器的各种装置以及汽化方法
US7591957B2 (en) 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
KR100781820B1 (ko) * 2001-02-21 2007-12-03 시부야 코교 가부시키가이샤 기체액체 혼합류의 분사장치
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
BR0101433B1 (pt) * 2001-04-10 2011-02-22 método e dispositivo de entrada multi-funcional para reator tubular de fluxo descendente.
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6626997B2 (en) * 2001-05-17 2003-09-30 Nathan P. Shapiro Continuous processing chamber
KR100421219B1 (ko) 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7163587B2 (en) 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6684719B2 (en) * 2002-05-03 2004-02-03 Caterpillar Inc Method and apparatus for mixing gases
CN1464401B (zh) 2002-06-28 2010-05-26 国际商业机器公司 使用影子对象进行核准控制的面向对象系统和方法
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
FR2846733B1 (fr) * 2002-10-31 2006-09-15 Valeo Thermique Moteur Sa Condenseur, notamment pour un circuit de cimatisation de vehicule automobile, et circuit comprenant ce condenseur
JP3889698B2 (ja) * 2002-11-22 2007-03-07 本田技研工業株式会社 蓄熱装置
US7045060B1 (en) * 2002-12-05 2006-05-16 Inflowsion, L.L.C. Apparatus and method for treating a liquid
US7296532B2 (en) 2002-12-18 2007-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bypass gas feed system and method to improve reactant gas flow and film deposition
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US20050000428A1 (en) 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7297892B2 (en) 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US7304263B2 (en) 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
JP2005101454A (ja) * 2003-09-26 2005-04-14 Watanabe Shoko:Kk 気化器
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
EP1676067B1 (en) * 2003-10-17 2010-03-31 Sundew Technologies, LLC Fail safe pneumatically actuated valve
JP2007511902A (ja) 2003-10-29 2007-05-10 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US9029189B2 (en) 2003-11-14 2015-05-12 President And Fellows Of Harvard College Bicyclic guanidines, metal complexes thereof and their use in vapor deposition
DE102004007456A1 (de) 2004-02-13 2005-09-01 Degussa Ag Hochgefüllte Polyolefin-Compounds
NL1026873C2 (nl) * 2004-02-25 2005-08-26 Ferro Techniek Holding Bv Inrichting en werkwijze voor het verwarmen van vloeistoffen, en basisstructuur.
ATE390511T1 (de) * 2004-03-08 2008-04-15 Laitram Llc Verfahren und vorrichtung zum erwärmen oder kühlen von lebensmitteln
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
CN101056923B (zh) * 2004-11-09 2011-06-01 住友电木株式会社 分解反应装置、再生树脂组合物原料制造系统、再生树脂组合物原料制造方法、再生树脂组合物原料及成型体
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
KR101332739B1 (ko) 2005-01-18 2013-11-25 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US8298336B2 (en) 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US7416994B2 (en) 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
JP4855471B2 (ja) * 2005-09-26 2012-01-18 エルジー・ケム・リミテッド 積層反応装置
US20070119371A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
JP5280861B2 (ja) 2006-01-19 2013-09-04 エーエスエム アメリカ インコーポレイテッド 高温aldインレットマニホールド
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
KR101467587B1 (ko) 2006-06-28 2014-12-01 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속(ⅳ) 테트라-아미디네이트 화합물 및 기상증착에서의 그의 용도
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
CN101117308A (zh) 2006-08-04 2008-02-06 浙江医药股份有限公司新昌制药厂 乙酰丙酮酸钼的制备方法
KR100791073B1 (ko) 2006-08-16 2008-01-02 삼성전자주식회사 난류 날개들을 갖는 배기 배관 및 배기 시스템
CN101506561B (zh) * 2006-08-23 2012-04-18 株式会社堀场Stec 组合式气体分配盘装置
US8137462B2 (en) 2006-10-10 2012-03-20 Asm America, Inc. Precursor delivery system
CN101191612A (zh) * 2006-11-20 2008-06-04 游图明 用于家用电器的蒸汽形成方法及装置
US7809696B2 (en) 2006-12-28 2010-10-05 Sap, Ag System and method for matching similar master data using associated behavioral data
US20080241387A1 (en) 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080254218A1 (en) 2007-04-16 2008-10-16 Air Products And Chemicals, Inc. Metal Precursor Solutions For Chemical Vapor Deposition
JP2008264640A (ja) 2007-04-18 2008-11-06 Shimadzu Corp 混合器
US8142847B2 (en) 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR100880773B1 (ko) * 2008-01-23 2009-02-02 (주) 씨엠테크 유체 가열장치
JP5233734B2 (ja) 2008-02-20 2013-07-10 東京エレクトロン株式会社 ガス供給装置、成膜装置及び成膜方法
JP2009239082A (ja) 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US8463117B2 (en) * 2008-06-24 2013-06-11 Advanced Materials Enterprises Company Limited Water heating apparatus
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
KR20100015213A (ko) 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8666238B2 (en) * 2008-08-06 2014-03-04 Nexthermal Corporation Fluid preheater
CN102112215B (zh) * 2008-08-07 2014-08-13 旭有机材工业株式会社 流体混合器及使用流体混合器的装置
US8187381B2 (en) 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
WO2010047167A1 (ja) * 2008-10-20 2010-04-29 旭有機材工業株式会社 螺旋式流体混合器及び螺旋式流体混合器を用いた装置
US8702017B2 (en) * 2008-12-16 2014-04-22 Asm Assembly Automation Ltd Nozzle device employing high frequency wave energy
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
JP5658463B2 (ja) 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100266765A1 (en) 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US9312154B2 (en) 2009-04-21 2016-04-12 Applied Materials, Inc. CVD apparatus for improved film thickness non-uniformity and particle performance
US8628618B2 (en) * 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
JP2011104483A (ja) 2009-11-13 2011-06-02 Asahi Organic Chemicals Industry Co Ltd 静的流体混合器及び静的流体混合器を用いた装置
JP5484008B2 (ja) 2009-11-13 2014-05-07 旭有機材工業株式会社 静止型流体混合器及び静止型流体混合器を用いた装置
JP5441746B2 (ja) * 2010-02-05 2014-03-12 旭有機材工業株式会社 流体混合器および流体混合器を用いた装置
JP5889806B2 (ja) * 2010-03-12 2016-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複式噴射を伴う原子層堆積チャンバ
JP5839830B2 (ja) 2010-04-28 2016-01-06 昭和電工株式会社 有機金属錯体化合物、有機金属錯体化合物の製造方法および有機金属錯体化合物を含む光硬化性組成物
WO2011158430A1 (ja) * 2010-06-16 2011-12-22 株式会社 日立ハイテクノロジーズ 液体混合装置、および液体クロマトグラフ
EP2609102B1 (en) 2010-08-27 2014-12-31 Sigma-Aldrich Co. LLC Molybdenum (iv) amide precursors and use thereof in atomic layer deposition
JP2012099594A (ja) 2010-11-01 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
JP5730670B2 (ja) 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
US8636958B2 (en) * 2011-09-07 2014-01-28 Marathon Oil Canada Corporation Nozzle reactor and method of use
US8485230B2 (en) * 2011-09-08 2013-07-16 Laor Consulting Llc Gas delivery system
JP2013075281A (ja) 2011-09-30 2013-04-25 Asahi Organic Chemicals Industry Co Ltd 流体混合器および流体混合器を用いた装置
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
WO2013111789A1 (ja) 2012-01-23 2013-08-01 旭有機材工業株式会社 スタティックミキサーおよびスタティックミキサーを用いた装置
ITTO20120451A1 (it) * 2012-05-24 2013-11-25 Lavazza Luigi Spa Dispositivo riscaldatore elettrico per la produzione di acqua calda e/o vapore.
US9279185B2 (en) * 2012-06-14 2016-03-08 Asm Technology Singapore Pte Ltd Feed-through apparatus for a chemical vapour deposition device
CN102974255B (zh) * 2012-10-31 2015-07-01 中国科学院过程工程研究所 一种被动式螺旋微结构混合装置及应用
US10008368B2 (en) * 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
US10480077B2 (en) 2013-03-13 2019-11-19 Applied Materials, Inc. PEALD apparatus to enable rapid cycling
US20140284404A1 (en) * 2013-03-20 2014-09-25 Asm Technology Singapore Pte Ltd. Chemical vapour deposition injector
KR101464173B1 (ko) 2013-07-23 2014-11-21 영남대학교 산학협력단 전이금속 칼코겐화합물 박막 형성 방법
KR101621470B1 (ko) 2013-07-31 2016-05-16 건국대학교 산학협력단 MoS2 박막 및 이의 제조방법
US9353440B2 (en) * 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
FR3016889B1 (fr) 2014-01-24 2016-01-22 Commissariat Energie Atomique Procede de reaslisation par ald d'une couche mince de formule myx
US10099948B2 (en) * 2014-02-20 2018-10-16 King Abdullah University Of Science And Technology Spiral-shaped disinfection reactors
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) * 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US10113232B2 (en) * 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
KR102267923B1 (ko) 2014-08-26 2021-06-22 에이에스엠 아이피 홀딩 비.브이. 증착 장치
WO2016059874A1 (ja) * 2014-10-14 2016-04-21 アルプス電気株式会社 流体混合装置
KR101535573B1 (ko) 2014-11-04 2015-07-13 연세대학교 산학협력단 전이금속 칼코겐 화합물 합성 방법
CN104561937B (zh) 2015-01-05 2017-08-15 上海纳米技术及应用国家工程研究中心有限公司 原子层沉积制备具有固体润滑作用的ws2薄膜方法
US9982341B2 (en) * 2015-01-30 2018-05-29 Lam Research Corporation Modular vaporizer
JP6929790B2 (ja) 2015-05-27 2021-09-01 エーエスエム アイピー ホールディング ビー.ブイ. モリブデン又はタングステン含有薄膜のald用前駆体の合成及び使用方法
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10358407B2 (en) 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US10147597B1 (en) * 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20210048408A (ko) * 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101151192B1 (ko) * 2002-11-14 2012-06-08 어플라이드 머티어리얼스, 인코포레이티드 혼합 화학 프로세스를 위한 장치 및 방법
JP4667541B2 (ja) * 2008-10-20 2011-04-13 旭有機材工業株式会社 渦巻き式流体混合器及び渦巻き式流体混合器を用いた装置
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
KR20150100536A (ko) * 2014-02-25 2015-09-02 에이에스엠 아이피 홀딩 비.브이. 가스 공급 매니폴드와 그것을 사용하여 가스들을 챔버로 공급하는 방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021091948A1 (en) * 2019-11-08 2021-05-14 Applied Materials, Inc. Chamber components for gas delivery modulation
US11804363B2 (en) 2019-11-08 2023-10-31 Applied Materials, Inc. Chamber components for gas delivery modulation

Also Published As

Publication number Publication date
US20220349060A1 (en) 2022-11-03
US20170350011A1 (en) 2017-12-07
TW201805473A (zh) 2018-02-16
US20200248308A1 (en) 2020-08-06
KR102504326B1 (ko) 2023-02-28
CN107447204B (zh) 2022-07-19
CN107447204A (zh) 2017-12-08
TWI759879B (zh) 2022-04-01
TWI708862B (zh) 2020-11-01
TW202115279A (zh) 2021-04-16
KR20200117941A (ko) 2020-10-14
US11377737B2 (en) 2022-07-05
US10662527B2 (en) 2020-05-26
KR102163744B1 (ko) 2020-10-12
KR20230031271A (ko) 2023-03-07

Similar Documents

Publication Publication Date Title
KR102504326B1 (ko) 균일한 기상 증착을 위한 매니폴드들
US10370761B2 (en) Pulsed valve manifold for atomic layer deposition
KR102122904B1 (ko) 가스의 균일한 흐름을 제공하기 위한 장치 및 방법
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
US11830731B2 (en) Semiconductor deposition reactor manifolds
US6773507B2 (en) Apparatus and method for fast-cycle atomic layer deposition
US7601223B2 (en) Showerhead assembly and ALD methods
KR20190085497A (ko) 캐리어 및 건조 가스를 공급하기 위한 샤워 플레이트 구조체
US10927459B2 (en) Systems and methods for atomic layer deposition
KR20060096445A (ko) 박막 성장용 반응 시스템
US11492701B2 (en) Reactor manifolds
US20210214846A1 (en) Showerhead assembly and components
JP3246139U (ja) 反応器マニホールド
US20230069359A1 (en) Reactor manifolds
CN112204167B (zh) 用于气相自由基的控制的多区气体注入

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right