TWI708862B - 半導體處理裝置及沉積方法 - Google Patents

半導體處理裝置及沉積方法 Download PDF

Info

Publication number
TWI708862B
TWI708862B TW106116447A TW106116447A TWI708862B TW I708862 B TWI708862 B TW I708862B TW 106116447 A TW106116447 A TW 106116447A TW 106116447 A TW106116447 A TW 106116447A TW I708862 B TWI708862 B TW I708862B
Authority
TW
Taiwan
Prior art keywords
channel
gas
manifold
longitudinal axis
semiconductor processing
Prior art date
Application number
TW106116447A
Other languages
English (en)
Other versions
TW201805473A (zh
Inventor
大衛 馬奎德
安德列 麥可 伊德納克三世
艾立克 詹姆斯 雪洛
赫伯特 特后司特
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201805473A publication Critical patent/TW201805473A/zh
Application granted granted Critical
Publication of TWI708862B publication Critical patent/TWI708862B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45576Coaxial inlets for each gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Abstract

本發明揭示了一種半導體裝置及沉積方法,該半導體裝 置包括一用於均勻氣相沉積之岐管。該半導體裝置可包括一岐管,該岐管包括一孔道且具有一內壁。該內壁可至少部分地界定該孔道。該孔道之一第一軸向部分可沿著該岐管之一縱軸延伸。一供應通道可提供一氣體源與該孔道之間的流體連通。該供應通道可包括一界定穿過該岐管之該內壁的一至少部分環形之間隙的狹縫,以將一氣體自該氣體源遞送至該孔道。該至少部分環形之間隙可圍繞該縱軸。

Description

半導體處理裝置及沉積方法
本領域大體上係關於用於均勻氣相沉積之岐管,且具體而言,係關於用於改良原子層沉積(ALD)反應器中之反應物混合的歧管。
存在有若干種用於在基底之表面上沉積薄膜的氣相沉積方法。此等方法包括真空蒸發沉積、分子束磊晶(MBE)、化學氣相沉積(CVD)之不同變型(包括低壓及有機金屬CVD及電漿增強型CVD),及原子層沉積(ALD)。
在ALD製程中,將有至少一個表面有待塗覆之一或多個基底引入至沉積腔室中。將該基底加熱至所要溫度,通常高於選定氣相反應物之冷凝溫度且低於其熱分解溫度。一種反應物能夠與先前反應物之吸附物質反應以在基底表面上形成所要產物。通常以空間上及時間上分開之脈衝向基底提供兩種、三種或更多種反應物。
在一個實例中,在第一脈衝中,表示前驅物材料之第一 反應物在自限性過程中大部分完好地吸附在晶圓上。該過程為自限性的,此係因為氣相前驅物無法與該前驅物之吸附部分反應或吸附在該吸附部分上。在自晶圓或腔室移去任何剩餘之第一反應物之後,基底上吸附之前驅物材料與後續反應物脈衝反應,以形成所要材料之僅僅單個分子層。後續反應物可例如自所吸附前驅物材料剝離配位體以使得表面再次具反應性,置換配位體且為化合物留下額外材料等。在無摻雜之ALD製程中,由於空間位阻而平均每個循環形成不到一個單層,其中前驅物分子之大小阻止對基底上之吸附位點的接近,該等吸附位點在後續循環中可能會變得可用。經由反復之生長循環而產生較厚之膜,直至達成目標厚度為止。常常以埃/循環為單位來提供生長速率,因為在理論上,只要每個脈衝為飽和的且溫度在彼等反應物之理想ALD溫度窗口內(不會熱分解且不會冷凝),生長就僅僅取決於循環數目,而不取決於所供應之質量或溫度。
通常對反應物及溫度進行選擇以避免反應物在該製程期間冷凝及熱分解,使得化學反應導致經由複數個循環進行生長。然而,在ALD處理之特定變型中,可對條件進行選擇以藉由利用混合CVD及ALD反應機制來改變每個循環之生長速率,有可能每個循環超過一個分子單層。其他變型可允許反應物之間某一量之空間及/或時間重疊。在ALD及其變型中,可在單個循環中依序供應兩種、三種、四種或更多種反應物,且可改變每個循環之內容來定製組成。
在典型ALD製程期間,將全部呈蒸氣形式之反應物脈衝循序地脈衝衝入至反應空間(例如,反應腔室)中,在反應物脈衝之間具有移除步驟以避免呈氣相之反應物之間的直接相互作用。舉例而言,可在反應物之脈衝之間提供惰性氣體脈衝或「吹掃」脈衝。惰性氣體在下一個反應物脈衝之前吹掃掉腔室之一個反應物脈衝以避免氣相混合。為獲得自限性生長,提供足夠量之每個前驅物以使基底飽和。在實際ALD製程之每個循環中的生長速率為自限性的時,生長速率與反應序列之重複率而非反應物通量成比例。
本發明之系統及方法具有若干特徵,其中的單個特徵不為單獨造成其所需屬性之原因。在不限制由隨附申請專利範圍表述之本發明範疇的情況下,現在將簡要地論述各種特徵。在考慮此論述之後,且尤其在閱讀標題為「實施方式」之部分之後,將瞭解本文中所描述之特徵如何提供優於傳統氣體遞送方法及系統之若干優勢。
在一個實施例中,揭示了一種半導體處理裝置。該半導體處理裝置可包括一岐管,該岐管包括一孔道且具有一內壁,該內壁至少部分地界定該孔道。該孔道之一第一軸向部分可沿著該岐管之一縱軸延伸。該半導體處理裝置可包括一提供一氣體源與該孔道之間的流體連通之供應通道。該供應通道可包括界定穿過 該岐管之該內壁的至少部分環形之間隙的一狹縫,以將一氣體自該氣體源遞送至該孔道。該至少部分環形之間隙可圍繞該縱軸。
在另一實施例中,揭示了一種半導體處理裝置。該半導體處理裝置可包括一包含一孔道之岐管,及一供應通道,該供應通道提供一氣體源與該孔道之間的流體連通,以將一氣體供應至該孔道。該孔道可包括一通道,該通道具有帶有一至少部分環形之截面的一環形流部分及帶有一非環形截面之一非環形流部分,該非環形流部分安置在該環形流部分之下游。
在另一實施例中,揭示了一種沉積方法。該方法可包括經由一供應通道將一氣體供應至一岐管之一孔道。該方法可包括在該孔道之一環形流部分中產生一至少部分環形之流型,使得該氣體以一至少部分環形之截面沿著該岐管之一縱軸流動。在該環形流部分之下游,可在該孔道之一非環形部分中產生一非環形流型,使得該氣體以一非環形截面沿著該縱軸流動。
在另一實施例中,揭示了一種沉積方法。該方法可包括將一氣體供應至一供應通道。該方法可包括經由一狹縫將該氣體自該供應通道引導至一岐管之一孔道,該狹縫沿著該岐管之一內壁而界定一至少部分環形之間隙,該至少部分環形之間隙圍繞該岐管之一縱軸。
在另一實施例中,揭示了一種半導體處理裝置。該半導體處理裝置可包括一岐管,該岐管中包括一孔道,該孔道界定在該岐管之一第一端部分與該岐管之一第二端部分之間的一氣體通 路。沿著該岐管之一縱軸,該第一端部分可與該第二端部分相對地安置且與該第二端部分隔開一第一距離。該氣體通路可以穿過該岐管延伸大於該第一距離之一第二距離。一反應腔室可安置在該孔道之下游且與該孔道成流體連通。
在另一實施例中,揭示了一種半導體處理裝置。該半導體處理裝置可包括一岐管,該岐管包括一孔道,該孔道具有界定該岐管之一縱軸的一軸向部分,及不平行於該縱軸而延伸之一橫向部分。該半導體處理裝置可包括一供應通道,該供應通道沿著該縱軸在一第一位置將氣體供應至該孔道之該軸向部分。該橫向部分可安置於在該第一位置下游之第二位置,該橫向部分相對於該縱軸非平行地延伸。該半導體處理裝置可包括一反應腔室,該反應腔室安置在該孔道之下游且與該孔道成流體連通。
在另一實施例中,揭示了一種沉積方法。該方法可包括提供一岐管,該岐管中包括一孔道。該孔道可以界定在該岐管之一第一端部分與該岐管之一第二端部分之間的氣體通路。沿著該岐管之一縱軸,該第一端部分可與該第二端部分相對地安置且與該第二端部分隔開一第一距離。該方法可包括將一反應物氣體供應至該孔道。該方法可包括沿著該氣體通路將該反應物氣體自該第一端部分引導至該第二端部分達一第二距離,該第二距離大於該第一距離。
在另一實施例中,揭示了一種沉積方法。該方法可包括提供一岐管,該岐管包括一孔道,該孔道具有界定該岐管之一縱 軸的一軸向部分,及不平行於該縱軸而延伸之一橫向部分。該方法可包括沿著該縱軸在一第一位置將一反應物氣體供應至該孔道之該軸向部分。該方法可包括引導該反應物氣體穿過該孔道之與該縱軸平行的該軸向部分。在該軸向部分之下游,可在不平行於該縱軸之一方向上引導該反應物氣體穿過該孔道之橫向部分。
在另一實施例中,揭示了一種半導體處理裝置。該半導體處理裝置可包括一岐管及一氣體源,該岐管包括一孔道,該孔道界定一內壁及穿過該岐管之一通道。一供應通道可藉由該孔道之該內壁上的一開口將氣體遞送至該孔道。可藉由該開口將所有氣體遞送至該孔道。
在另一實施例中,揭示了一種沉積方法。該方法可包括提供一岐管,該岐管包括一孔道,該孔道具有一內壁且界定穿過該岐管之一通道。該方法可包括經由該孔道之該內壁上的一單個開口來供應所有反應物氣體。
1:流徑
10:半導體處理裝置
20:入口
22:入口
30:孔道
32:出口
34:錐形部分
36:分配通道
37:通路
38a、38b、38c:通道
40:通道
42a、42b:通路
44a:入口
44b:通路
46:通道
48a:通道
48b:通道
48c:通道
50:通道
52a、52b、52c:通道
90:沉積型式
92:點
100:岐管
102:主體
103:內壁
104:塊
105a、105b、105c:狹縫
106:塊
106a:子塊
106b:子塊
107a、107b、107c:間隙
108:塊
108a:子塊
108b:子塊
108c:子塊
110:塊
112a、112b:塊
114a、114b、116a、116b:閥
118a、118b:入口
120、122:入口
126、128:加熱器
130:孔道
130A:軸向部分/軸向流部分/軸向路徑
130B:軸向流部分/軸向部分
132:出口
134:錐形部分
136:通道
137:通路
138:通道
138a、138b、138c:通道
140:通道
142a、142b:通路
144a、144b:通路
146、148:通道
148a、148b、148c:通道
150:通道
152:通道
152a、152b、152c:通道
170:插塞
170A、170B:錐形部分
171:通道
172:通道
173:部分
174A:部分
174B:部分
175:通路
176:開口
177:孔
177A:孔通道
178:流徑
180:路徑
180a、180b、180c:部分
181、182:表面
183、184:凹槽
185:通道
186、187:凹槽
190:供應層
190a、190b:通道
191a、191b:開口
192:部分
193:部分
500:方法
501~502:框
550:方法
551~553:框
700:方法
701~703:框
750:方法
751~755:框
810:反應腔室
820:噴淋頭
822:板
824:充氣部
826:反應空間
828:基底支撐件
829:基底
830:開口
850a、850b、850c:反應物源/氣體源
855:惰性氣體源
860:控制器
900:方法
901、902:框
L:位置
l:長度
z:縱軸/軸
S:源氣體
I:惰性氣體
I1:第一惰性氣體
I2:第二惰性氣體
N1:非環形截面流型態
N2:第二非環形型態
A1:第一環形流型態
A2:第二環形流型態
A3:第三環形流型態
A4:第四環形流型態
現在將參考若干實施例之圖式來描述本發明之此等及其他特徵、態樣及優勢,該等實施例意在說明本發明,而不限制本發明。
圖1A為穿過半導體處理裝置之岐管之流徑的示意圖。
圖1B為圖1A中示出之流徑沿著線1B-1B取得的示意性局部橫向截面圖。
圖1C為根據圖1A-1B之流徑而處理的基底上之氣體沉積型式的示意性俯視圖。
圖2為根據各種實施例而配置之ALD岐管的透視圖。
圖3A-3D說明半導體裝置之一實施例,其中使用包括狹縫之供應通道來將氣體供應至孔道。
圖4A-4F說明半導體裝置之另一實施例,其中孔道包括具有環形流部分及非環形流部分之通道。
圖5A及圖5B為說明根據各種實施例之示例性沉積方法的流程圖。
圖6A-6J說明半導體處理裝置之一實施例,其中岐管具有延長之混合長度。
圖7A及圖7B為說明根據各種實施例之示例性沉積方法的流程圖。
圖8A-8F說明半導體處理裝置之各種實施例,其中單個供應層將氣體供應至孔道。
圖9為說明根據各種實施例之示例性沉積方法的流程圖。
在蒸氣或氣體沉積製程中,在基底(例如,半導體晶圓)之整個寬度或主要表面上提供均勻沉積可為重要的。均勻沉積確保了所沉積之層在整個基底上具有相同厚度及/或化學組成,此會提高積體裝置(例如,處理器、存儲器裝置等)之良率,且因此提高 每個基底之利潤。為提高沉積之均勻性,本文揭示之各種實施例可增強在半導體處理系統之岐管內供應的不同氣體之混合型態。供應氣體之增強型混合可有利地在基底之整個主要表面上供應相對均勻之氣體混合物。
I.原子層沉積製程之概述
本文揭示之實施例可與被配置以用於任何合適之氣體或氣相沉積製程的半導體處理裝置一起使用。舉例而言,所說明之實施例示出用於使用原子層沉積(ALD)技術在基底上沉積材料之各種系統。在氣相沉積技術中,ALD具有許多優勢,包括低溫下之高正形性,及在製程期間對組成之精細控制。ALD型製程係基於前驅物化學物之受控自限性表面反應。藉由將前驅物交替地且循序地饋送至反應腔室中來避免氣相反應。例如,藉由在反應物脈衝之間自反應腔室移除多餘反應物及/或反應物副產物而使氣相反應物在反應腔室中彼此分開。可藉由多種技術來達成移除,包括在脈衝之間進行吹掃及/或降低壓力。脈衝可在連續流中依序施加,或者可隔離反應器且可在每個脈衝期間對反應器進行回填。
簡言之,將基底裝載至反應腔室中,且一般在降低之壓力下將基底加熱至合適之沉積溫度。通常將沉積溫度維持在前驅物熱分解溫度以下,但處於足夠高之水準,以避免反應物冷凝且為所要之表面反應提供活化能。當然,適用於任何給定ALD反應之溫度窗口將取決於表面終止狀態及所涉及之反應物物質。
第一反應物以氣相脈衝之形式被引入腔室中且與基底之 表面接觸。較佳為對條件進行選擇,使得前驅物之僅僅約一個單層以自限性方式吸附在基底表面上。常常使用諸如氮氣或氬氣等惰性氣體之脈衝自反應腔室吹掃多餘之第一反應物及反應副產物(若存在時)。
吹掃反應腔室意謂著諸如藉由用真空泵排空腔室及/或藉由用諸如氬氣或氮氣等惰性氣體替換反應器內部之氣體而自反應腔室移除氣相前驅物及/或氣相副產物。對於單晶圓反應器而言之典型吹掃時間為約0.05秒至20秒,更佳在約1秒與10秒之間,且更佳在約1秒與2秒之間。然而,在需要時,諸如在需要在具極高縱橫比之結構或具有複雜表面形態之其他結構上方沉積層時,或在採用高容量批式反應器時,可利用其他吹掃時間。本領域技術人員可容易地基於特定情形來確定適當之脈衝時間。
將第二氣態反應物脈衝沖入至腔室中,在該腔室中,該第二氣態反應物與經束縛於表面之第一反應物反應。較佳為藉助於惰性氣體將表面反應中之多餘第二反應物及氣態副產物吹掃出反應腔室。重複脈衝及吹掃之步驟,直至已經在基底上形成所要厚度之薄膜為止,其中每個循環留下僅僅一個分子單層。一些ALD製程可具有其中三個或更多個前驅物脈衝交替進行之更複雜的序列,其中每個前驅物向生長中之膜貢獻多種元素。反應物亦可以其自身脈衝或用前驅物脈衝進行供應,以剝離或用吸氣劑去除黏附之配位體及/或自由副產物,而不向膜貢獻元素。另外,不需要所有循環都相同。舉例而言,可藉由不經常地(例如,每五個循環) 添加第三反應物脈衝而使二元膜摻雜第三元素,以便控制膜之化學計量,且頻率可在沉積期間改變以便將膜組成分級。另外,雖然描述為開始於吸附反應物,但一些方案可開始於其他反應物或開始於單獨之表面處理,例如,從而確保有最多之反應位點來用於起始ALD反應(例如,在特定方案中,水脈衝可在基底上提供羥基以增強特定ALD前驅物之反應性)。
如上文提及,每個循環之每個脈衝或階段較佳為自限性的。在每個階段中供應過多反應物前驅物以使易受影響之結構表面飽和。表面飽和確保了反應物佔據所有可用之反應位點(例如受到物理尺寸或空間位阻約束),且因此確保基底上之任何表面形狀上有優良之階梯覆蓋率。在一些佈置中,可藉由例如允許反應物脈衝有些重疊以權衡沉積速度(藉由允許某些CVD型反應)與正形性來調整自限性行為之程度。反應物在時間及空間上適當分開之理想ALD條件會提供近乎完美之自限性行為及因此最大之正形性,但空間位阻導致每個循環不到一個分子層。與自限性ALD反應混合之受限CVD反應可提高沉積速度。雖然本文中所描述之實施例對於循序脈衝沉積技術(比如ALD及混合模式ALD/CVD)而言尤其有利,但亦可針對脈衝或連續CVD處理而採用岐管。
可使用之合適反應器實例包括商用ALD設備,諸如可自荷蘭阿爾梅勒之ASM國際公司購得的EmerALD®或Eagle®系列反應器中之任一者。可採用能夠進行薄膜之ALD生長的許多其他種類之反應器,包括配備有用於脈衝沖入前驅物之適當設備及構 件的CVD反應器。在一些實施例中,相比於回填式反應器,使用流式ALD反應器。在一些實施例中,岐管在噴射器之上游,該噴射器經設計成將氣體分配至反應空間中,尤其是分散機構,諸如單晶圓反應空間上方之噴淋頭組合件。
可視情況在連接至群集工具之反應器或反應空間中執行ALD製程。在群集工具中,因為每個反應空間專用於一種製程,所以每個模組中之反應空間的溫度可保持恆定,此與其中在每次運作之前將基底加熱至製程溫度之反應器相比改良了處理量。獨立之反應器可配備有加載鎖。在彼情況下,不需要在每次運作之間冷卻反應空間。亦可在經設計成同時處理複數個基底之反應器(例如,小型批式噴淋頭反應器)中執行此等製程。
圖1A為穿過半導體處理裝置之岐管之流徑1的示意圖。圖1A說明岐管內部之各種通道的配置,但未示出岐管本身之結構,以便更好地說明岐管之內部通道的相對定向及互連。所示流徑1包括具有惰性氣體入口20及出口32之孔道30。孔道30之截面面積在入口20與出口32之間有所增加。在所說明之佈置中,截面面積在錐形部分34處增加,該錐形部分34在所說明之佈置中與一些反應物流徑之合併相一致。流徑1亦包括第二惰性氣體入口22,該第二惰性氣體入口與惰性氣體分配通道40成流體連通。惰性氣體分配通道40大體上在與孔道30之縱軸相交的平面內延伸。雖然所說明之惰性氣體分配通道40遵循圓形曲率且延伸整個360°,但在一些實施例中,惰性或反應物氣體分配通道可具 有其他形狀(例如,橢圓形),且不需要為閉合形狀,亦即,可僅圍繞孔道之縱軸部分地延伸,諸如C形通道。
惰性氣體分配通道40將惰性氣體饋給兩個惰性氣體通路42a、42b,該兩個惰性氣體通路42a、42b中之每一者可連接至惰性氣體閥。惰性氣體通路42a、42b在圍繞孔道30之軸分佈的不同角度位置(如在橫向截面中所觀察)與惰性氣體分配通道40連接。在所說明之佈置中,惰性氣體通路42a、42b彼此相隔約90°而與惰性氣體分配通道40連接,且惰性氣體入口22與那裡相隔約135°(在相反方向上)而與惰性氣體分配通道40連接。
流徑1亦包括反應物氣體通路37,該反應物氣體通路37與反應物氣體分配通道36成流體連通。反應物氣體分配通道36大體上在與孔道30之縱軸相交的平面內延伸,且大體上與惰性氣體分配通道40同心。反應物氣體分配通道36將氣體輸送至多個(例如,三個)反應物氣體供應通道38a、38b、38c(在圖1A中僅可看到其中兩者),該三個反應物氣體供應通道38a、38b、38c中之每一者在圍繞孔道30之軸的不同角度位置(如在橫向截面中所觀察)與反應物氣體分配通道36連接。在所說明之實施例中,反應物氣體供應通道38a、38b、38c中之每一者在與反應物氣體通路37同反應物氣體分配通道36之連接處在角度上偏移的位置與反應物氣體分配通道36連接。反應物氣體供應通道38a、38b、38c亦在圍繞孔道之軸分佈的不同角度位置(如在橫向截面中所觀察)且相對於孔道30之縱軸成一角度(如在縱向截面中所觀察)與孔道 30連接。
流徑1亦包括另一反應物氣體通路44b,該反應物氣體通路與反應物氣體分配通道50成流體連通。反應物氣體分配通道50大體上在與孔道30之縱軸相交的平面內延伸。反應物氣體分配通道50將反應物氣體輸送至多個(例如,三個)反應物氣體供應通道52a、52b、52c(在圖1A中僅可觀察到其中兩者),該三個反應物氣體供應通道中之每一者在圍繞孔道30之軸的不同角度位置(如在橫向截面中所觀察)與反應物氣體分配通道50連接。反應物氣體供應通道52a、52b、52c亦在圍繞孔道之軸的不同角度位置(如在橫向截面中所觀察)且相對於孔道之縱軸成一角度(如在縱向截面中所觀察)與孔道30連接。
流徑1亦包括另一反應物氣體入口44a,該反應物氣體入口44a與反應物氣體分配通道46成流體連通。反應物氣體分配通道46大體上在與孔道30之縱軸相交的平面內延伸。反應物氣體分配通道46將反應物氣體輸送至多個(例如,三個)反應物氣體供應通道48a、48b、48c,該三個反應物氣體供應通道48a、48b、48c中之每一者在圍繞孔道30之軸的不同角度位置(如在橫向截面中所觀察)與反應物氣體分配通道46連接。反應物氣體供應通道48a、48b、48c亦在圍繞孔道30之軸的不同角度位置(如在橫向截面中所觀察)且相對於孔道30之縱軸成一角度(如在縱向截面中所觀察)與孔道30連接。反應物氣體供應通道48a、48b、48c中之每一者在與反應物氣體供應通道52a、52b、52c同孔道之連接處在 角度上偏移的位置與孔道30連接。反應物氣體供應通道48a、48b、48c亦以比反應物氣體供應通道52a、52b、52c大之角度與孔道30連接,此係因為反應物氣體分配通道46比反應物氣體分配通道50與孔道30相距更大之距離。另外,孔道30在反應物氣體供應通道52a、52b、52c、48a、48b、48c與孔道30合併之錐形部分34處變寬。此允許在此點進入之反應物與在孔道30之上游部分處進入之氣體(例如,惰性氣體)流有更平穩之合併及混合。
圖1B為圖1A中示出之流徑沿著線1B-1B取得的示意性局部橫向截面圖。如圖1B中所示,反應物氣體供應通道38a、38b、38c在圍繞孔道之軸的不同角度位置與孔道30連接。亦如圖1B中示出,反應物氣體供應通道38a、38b、38c之水平分量自孔道之軸(或自中心)在徑向方向上延伸。反應物氣體供應通道52a、52b、52c及反應物氣體供應通道48a、48b、48c之水平分量亦可以徑向方式與孔道連接。此處,「水平」意在傳送供應通道在橫向於孔道軸之截面之平面內(而非相對於地面之任何特定定向)的分量。
因此,在圖1A-1B中示出之流徑1中,反應物氣體脈衝可穿過三個獨立之供應通道及開口將反應物氣體遞送至孔道30。舉例而言,在一個脈衝中,可藉由供應通道38a、38b、38c將第一反應物氣體供應至孔道30。在另一脈衝中,可藉由供應通道52a、52b、52c將第二反應物氣體供應至孔道30。在第三脈衝中,可藉由供應通道48a、48b、48c將第三反應物氣體供應至孔道30。 可在2011年10月28日申請之美國專利申請案第13/284738號中發現流徑40及界定流徑40之半導體處理裝置的其他詳情,該美國專利申請案之內容以全文引用之方式併入本文中且用於所有目的。
圖1C為根據圖1A-1B之流徑1而處理的基底上之氣體沉積型式90的示意性俯視圖。如圖1C中所示,沉積型式90包括諸多區域中之具有高濃度之反應物氣體混合物的三個不同之點92,且周圍區域處於較低濃度。該三個不同之點92可源自於針對孔道30使用三個不同之開口,該三個不同之開口與三個單獨之供應通道(諸如供應通道52a-52c、48a-48c、38a-38c)連通,該三個單獨之供應通道將同一反應物氣體輸送至孔道30且最終輸送至基底。此類非均勻之沉積可能為不合意的,因為基底之不同區域可能具有不同之沉積化學物及/或厚度,此可能會最終降低裝置良率。因此,仍然不斷需要提高半導體處理裝置中之氣相沉積之均勻性。
II.具有環形供應狹縫及/或環形流徑之岐管
在一些實施例中,可藉由在孔道之內壁中提供至少部分環形之狹縫來將氣體供應至孔道而改良氣相沉積均勻性。舉例而言,在各種實施例中,該孔道可包括沿著岐管之縱軸延伸的第一軸向部分。供應通道可在氣體源(例如,反應物氣體源)與孔道之間成流體連通。該供應通道可包括界定穿過該孔道之內壁的至少部分環形之間隙的狹縫,以將氣體自該氣體源遞送至該孔道。該至少部分環形之間隙可圍繞岐管之縱軸。
另外,或替代地,可在孔道中建立至少部分環形之流徑以沿著岐管之縱軸遞送氣體。舉例而言,供應通道可在氣體源(例如,反應物氣體源)與孔道之間成流體連通。該孔道可包括通道,該通道具有帶有至少部分環形之截面的環形流部分及帶有非環形截面之非環形流部分。該非環形截面可安置在該環形流部分之下游。
圖2為根據各種實施例而配置的ALD岐管100之透視圖。除非另外陳述,否則圖2之組件可大體上類似於圖1之組件,不同之處在於,相對於圖1,相同之組件已經增加了100。如圖2中所示,岐管100包括主體102,其包括四個塊:上部塊104、中間塊106、下部塊108(參看圖3A),及擴散器塊110。雖然圖2示出包括多個堆疊之子部分或塊的複合岐管主體102,但一些實施例可包括更少或更多之子部分或塊,而其他實施例可包括單件式或一體式岐管主體。使用多個塊104、106、108、110可有利地實現構建在岐管100內部以各種角度安置之通道。
兩個閥塊112a、112b安裝在主體102上。惰性氣體閥114a及反應物氣體閥116a安裝在閥塊112a上。惰性氣體閥114b及反應物氣體閥116b安裝在閥塊112b上。閥塊112a、112b中之每一者可包括反應物氣體入口118a、118b。在上部塊104處,岐管主體102包括兩個惰性氣體入口120、122。反應物氣體入口118a、118b可連接至不同反應物源,一些反應物源可為天然氣態(亦即,在室溫及大氣壓力下為氣態),且一些反應物源在標準條件下可為 固態或液態。
主體102亦可包括一或多個加熱器128。閥塊112a、112b中之每一者亦可包括一或多個加熱器126。加熱器126、128可以一定方式安置,以便在整個主體102及/或閥塊中儘可能地維持溫度恆定。加熱器126、128可為可在適合於ALD製程之高溫下操作的任何類型之加熱器,包括(但不限於)線性桿式加熱器、加熱器套、加熱器坯板、熱追蹤帶,或線圈電阻加熱器。
圖3A為包括圖2之岐管100之半導體處理裝置10沿著圖2之線3A-3A取得的示意性側面截面視圖。如圖3A中所示,半導體處理裝置10可包括岐管100及安置在岐管主體102下游且與岐管主體102耦接之反應腔室810。岐管主體102可包括縱軸z,孔道130沿著該縱軸z延伸(或孔道130之軸向部分沿著該縱軸z延伸)。在圖3A中,在岐管主體102頂部處之惰性氣體入口120與穿過主體102縱向延伸至出口132之孔道130連接。孔道130在出口132附近具有比其在入口120附近更大之截面面積。在所說明之實施例中,截面面積之增加出現在孔道130之錐形部分134處。雖然未說明,但擴張器或其他片段可連接至岐管100之底部,以使孔道130之出口132與反應腔室810之間的流徑變寬。
第一反應物氣體源850a可經由通路137與主體102中之分配通道136連接。分配通道136可分別由上部塊104及中間塊106之下表面及上表面形成,且可在與孔道130之縱軸相交的平面內延伸。舉例而言,在一些實施例中,分配通道136可至少部分(例 如,完全)圍繞岐管100之縱軸z。分配通道136可經由供應通道138與孔道130成流體連通,該供應通道包括穿過由孔道130界定之內壁103的狹縫。圖3B說明穿過內壁103而形成之狹縫的實例。
惰性氣體入口122(亦參看圖2)與主體102中之惰性氣體分配通道140連接。在圖3A中之入口122處示出之虛線指示將入口122連接至惰性氣體分配通道140之通路未安置在圖3A中界定之截面中。惰性氣體源855可將惰性氣體供應至惰性氣體入口122及惰性氣體分配通道140。在圖3A中示出之惰性氣體分配通道140分別由上部塊104及中間塊106之下表面及上表面形成,且在與孔道130之縱軸相交之平面內延伸。在一些實施例中,惰性氣體通道140可安置在與分配通道136大致相同之縱向位置。惰性氣體分配通道140可經由通路142a將惰性氣體供應至惰性氣體閥114a。惰性氣體通道140可圍繞縱軸z,且可相對於分配通道136同心地安置(例如,圍繞分配通道136同心)。如圖2及圖3A中所示,通路142a延伸穿過中間塊106及閥塊112a。惰性氣體分配通道140亦可經由通路142b將惰性氣體供應至惰性氣體閥114b。通路142b之虛線指示通路142b未位於所說明之截面中。
繼續參考圖3A,惰性氣體閥114a控制將惰性氣體自通路142a(且從而自惰性氣體分配通道140)供應至反應物氣體閥116a。反應物氣體閥116a控制將反應物氣體自入口118a(或來自入口118a之反應物氣體與來自惰性氣體閥114a之惰性氣體的混合物)供應至通路144a,該通路連接至主體102中之氣體分配通道 146。第二反應物源850b可將反應物氣體供應至入口118a、反應物氣體閥116a及通路144a。如圖2及圖3A中所示,通路144a延伸穿過閥塊112a、中間塊106及下部塊108。分配通道146可分別由下部塊108及擴散塊110之下表面及上表面形成,且可在與岐管100之縱軸z相交(例如,在一些實施例中,正交於縱軸z)的平面內延伸。分配通道146可經由供應通道148與孔道130成流體連通,該供應通道包括穿過由孔道130界定之內壁103的狹縫(參看圖3B)。
如圖3A中所示,惰性氣體閥114b可對將惰性氣體自通路142b(且從而自惰性氣體分配通道140)供應至反應物氣體閥116b(參看圖2)進行控制。反應物氣體閥116b對將反應物氣體自入口118b(或來自入口118b之反應物氣體與來自惰性氣體閥114b之惰性氣體的混合物)供應至通路144b進行控制,該通路連接至主體102中之分配通道150。圖3A中之虛線指示通路142b、144b未位於圖3A中說明之截面中。第三反應物源850c可將反應物氣體供應至入口118b、反應物氣體閥116b及通路144b。如圖3A中所示,通路144b延伸穿過閥塊112b及中間塊106。分配通道150及/或通路144b可分別由中間塊106及下部塊108之下表面及上表面形成,且可在與岐管100之縱軸z相交(例如,在一些實施例中,正交於縱軸z)的平面內延伸。分配通道150可經由供應通道152與孔道130成流體連通,該供應通道包括穿過由孔道130界定之內壁103的狹縫(參看圖3B)。如圖3A中所示,分配通道150及供 應通道152可安置在沿著縱軸z之在分配通道146及供應通道148上游的位置,且可在此位置與孔道130連接。
雖然圖上示出相對於岐管主體102有三個反應物入口及兩個惰性氣體入口,但前驅物/反應物及惰性氣體入口之數目在實施例中可有所不同。此外,雖然圖上示出各自有兩個,但向分配通道饋料之前驅物/反應物閥116a、116b及惰性氣體閥114a、114b的數目可在實施例中有所不同,此取決於ALD系統之特定應用及所要處理能力。ALD系統可包括用於ALD系統之至少兩個反應物及氣體分配通道。閥114a、114b、116a及116b可為能夠耐受ALD熱區域內之高溫的任何類型之閥。閥114a、114b、116a及116b可為球閥、蝶閥、止回閥、閘閥、截止閥或其類似物。亦可使用金屬隔膜閥,且在高溫環境中(例如,在高達約220℃之溫度下)可為較佳的。在一些實施例中,閥114a、114b、116a及116b可為(例如,但不限於)氣動閥或壓電螺線管型閥。在實施例中,閥114a、114b、116a及116b可被配置以用於以極高之速度操作,例如,在一些實施例中,打開及關閉時間小於80ms,且速度小於10ms。閥114a、114b、116a及116b可由將在為ALD處理所需之高溫下起作用的任何材料形成,諸如316L不鏽鋼及其類似者。一些實施例,諸如被配置以用於氧化鋁沉積之ALD系統,可包括被配置以用於在高達220℃下操作之閥。其他實施例可包括被配置以用於在高達300℃、高達400℃之溫度下或在更高之溫度下操作的閥。
圖3A之岐管主體102可連接至反應腔室810之上游。具 體而言,孔道130之出口132可與反應物噴射器連通,該反應物噴射器尤其是在所說明之實施例中呈噴淋頭820之形式的分散機構。噴淋頭820包括噴淋頭板822,該噴淋頭板在該板822上方界定噴淋頭充氣部824或腔室。噴淋頭820將蒸氣自岐管100傳送至噴淋頭820下方之反應空間826。反應腔室810包括基底支撐件828,該基底支撐件828被配置以用於支撐反應空間826中之基底829(例如,半導體晶圓)。反應腔室亦包括連接真空源之排氣開口830。雖然示出為單晶圓、噴淋頭類型之反應腔室,但本領域技術人員將瞭解,岐管亦可連接至具有其他類型之噴射器的其他類型之反應腔室,例如,批式或爐式、水平或交叉流反應器等。
在所說明之實施例中,示出了三個反應物源850a-850c,但可在其他佈置中提供更少或更多之數目。在一些實施例中,反應物源850a-850c中之一者或多者可含有天然氣態ALD反應物,諸如H2、NH3、N2、O2或O3。另外或替代地,反應物源850a-850c中之一者或多者可包括用於汽化在室溫及大氣壓力下為固態或液態之反應物的汽化器。該汽化器可為例如液體起泡器或固體昇華容器。可在汽化器中保持及汽化的固態或液態反應物之實例包括(但不限於):液態有機金屬前驅物,諸如三甲基鋁(TMA)、TEMAHf或TEMAZr;液態半導體前驅物,諸如二氯矽烷(DCS)、三氯矽烷(TCS)、三矽烷、有機矽烷,或TiCl4;及粉狀前驅物,諸如ZrCl4或HfCl4。本領域技術人員將瞭解,實施例可包括天然氣態、固態或液態反應物源之任何所要之組合及佈置。
如圖3A中所示,惰性氣體源855可向反應物閥116a、116b且從而向反應物分配通道146、150提供吹掃氣體(經由惰性氣體入口122、分配通道140、通路142a、142b及惰性氣體閥114a、114b)。惰性氣體源855示出為饋給中心孔道130之頂部(經由惰性氣體入口120)。同一惰性氣體源855亦可吹掃反應物分配通道136(經由反應物入口124及通路137)。然而,在其他實施例中,可針對此等饋給中之每一者提供獨立之惰性氣體源。
半導體處理裝置10亦可包括至少一個控制器860,包括具有用於控制裝置10之各種組件之程式化的處理器及存儲器。雖然示意性地示出為連接至反應腔室810,但本領域技術人員將瞭解,控制器860與反應器之各種組件(諸如蒸氣控制閥、加熱系統、閘閥、機器人晶圓載具等)通信以執行沉積過程。在操作中,控制器860可為以下各者作出安排:將基底829(諸如半導體晶圓)裝載至基底支撐件828上,及關閉反應腔室810、加以吹掃且通常進行抽空以便為沉積過程、尤其是原子層沉積(ALD)做好準備。現在將參考圖2及圖3A之反應器組件來描述典型ALD序列。
在一個實施例中,在反應物供應之前且在整個ALD製程期間,吹掃氣體流過頂部入口120進入孔道130。在控制器對例如來自反應物源850b之第一ALD反應物脈衝進行指示時,打開反應物閥116a以准許自反應物源850a流入通路144a且在分配通道146周圍流動。分配通道146內之反壓可實現穿過自分配通道146導向孔道130之供應通道148分配氣體,其中第一反應物與來自 入口120之惰性氣體流合併。同時,惰性氣體可流過所有其他反應物通道(例如,反應物分配通道136、供應通道138、反應物分配通道150及供應通道152)進入孔道130。將惰性氣體及第一反應物之混合物自孔道130饋給噴淋頭充氣部824,且跨越噴淋頭板822(或其他分散機構)進行分配且分配至反應空間826中。在此第一反應物脈衝期間,孔道130在錐形部分134上游之較窄部分填充有流動之惰性氣體,且防止反應物向上游擴散。
在用第一反應物使基底829表面飽和之充分持續時間之後,控制器860關掉反應物閥116a、打開惰性氣體閥114a,且從而吹掃反應物閥116a、通路144a、反應物分配通道146及相依之供應通道148。可繼續經由孔道130自頂部入口120及其他反應物路徑供應惰性氣體持續充分之持續時間,以吹掃掉岐管100、噴淋頭充氣部824及反應空間826之任何剩餘第一反應物及/或副產物。本領域技術人員將瞭解,可使用其他反應物移除程序來取代吹掃或作為吹掃之補充。
在避免第一反應物與後續反應物相互作用的適當長之移除週期之後,控制器860可指示控制閥將來自(例如)氣態反應物源850a之第二ALD反應物供應至反應物通路137及上部反應物分配通道136中。分配通道136內之反壓可實現穿過自分配通道136導向孔道130之供應通道138分配氣體,其中第二反應物與惰性氣體流合併。同時,惰性氣體可流過所有其他反應物通道(例如,反應物分配通道146、供應通道148、反應物分配通道150及供應 通道152)進入孔道130。將惰性氣體及第二反應物之混合物自孔道130饋給噴淋頭充氣部824,且跨越噴淋頭板822(或其他分散機構)進行分配且分配至反應空間826中。在此第二反應物脈衝期間,孔道130在其上游之部分與填充有流動之惰性氣體之供應通道138合併,此防止第二反應物向上游擴散。類似地,惰性氣體流過所有其他反應物流徑會防止第二反應物向後擴散。
在基底上之飽和表面反應之後,可執行類似於上文描述之吹掃步驟的移除步驟,包括吹掃分配通道136及其相依之供應通道138。可針對反應物分配通道150及供應通道152重複上文描述之循環,以將第三反應物氣體供應至基底829。可進一步重複該循環,直至在基底829上形成充分厚之層為止。
圖3B為在圖3A中示出之半導體處理裝置10之部分3B的放大側面截面視圖。具體而言,圖3B示出藉由相應供應通道148、152而與孔道130連通之反應物分配通道146、150。圖3C為經由分配通道146、150及供應通道148、152而界定之流體路徑101的示意性透視截面視圖。具體而言,在圖3C說明用來供應氣體之通道而非界定通道之結構(例如,岐管100)的範疇內,圖3C為半導體裝置10之部分3B之反面。
如圖3B及圖3C中所示,供應通道148、152可各自包括相應的狹縫105a、105b,該狹縫105a、105b界定孔道130之內壁103中的至少部分環形之間隙107a、107b。如圖3C中所示,具有相聯間隙107a、107b之狹縫105a、105b可圍繞岐管100之縱軸z, 使得狹縫105a、105b界定內壁103中之至少部分環形。在圖3A-3C中所說明之實施例中,狹縫105a、105b完全圍繞縱軸z而延伸,亦即,狹縫105a、105b界定圍繞縱軸z之360°之完整環。然而,在其他實施例中,狹縫105a、105b可界定圍繞縱軸z之部分環。舉例而言,狹縫105a、105b可圍繞Z軸在90°至360°之範圍內、在120°至360°之範圍內、在180°至360°之範圍內、在240°至360°之範圍內等的角度。
由狹縫105a、105b界定之間隙107a、107b可包括窄開口,該窄開口具有小於狹縫105a、105b之周向長度之厚度。亦即,狹縫105a、105b沿著內壁103之周邊或圓周(亦即,圍繞軸z)之弧長可大於間隙107a、107b之厚度。在一些實施例中,間隙107a、107b之厚度可在0.05mm至1.5mm之範圍內,或者更具體而言,在0.1mm至1mm之範圍內、在0.1mm至0.7mm之範圍內。在一些實施例中,間隙107a、107b之厚度可在0.05mm至0.5mm之範圍內,例如,在0.1mm至0.5mm之範圍內、在0.1mm至0.3mm之範圍內,或者在0.2mm至0.3mm之範圍內,或在一些實施例中為約0.25mm。在一些實施例中,間隙107a、107b之厚度可在0.3mm至1.5mm之範圍內,例如,在0.3mm至1mm之範圍內、在0.3mm至0.7mm之範圍內,或者在0.4mm至0.6mm之範圍內,或在一些實施例中為約0.5mm。
相比而言,分配通道146、150沿著軸z之厚度可顯著大於間隙107a、107b之厚度。舉例而言,分配通道146、150之厚 度可為間隙107a、107b之至少兩倍厚、間隙107a、107b之至少五倍厚、間隙107a、107b之至少十倍厚、間隙107a、107b之至少二十倍厚,或間隙107a、107b之至少五十倍厚。分配通道146、150內部之氣體可具有起因為由窄間隙107a、107b提供之厚度上的約束而引起之反壓。該反壓可有利地推動氣體穿過狹縫105a、105b之間隙107a、107b到達孔道130。
圖3D為岐管主體102及孔道130之示意性側面截面型態,其說明在示例性處理脈衝期間源氣體S及惰性氣體I之流動。如圖3D所示,可藉由岐管100頂部處之中心惰性氣體入口120將惰性氣體I(例如,氬氣Ar)供應至孔道130。亦可藉由上游之供應通道152將惰性氣體I(例如,Ar)供應至孔道130,該供應通道152亦可包括上文闡釋之狹縫。在所說明之佈置中,經由在供應通道148上游之孔道130供應的惰性氣體I可包括推動氣體,該推動氣體在充分壓力下驅動氣體穿過孔道130以致使氣體快速地行進至反應腔室810。
如圖3D中所示,可藉由岐管102之由孔道130界定之內壁103中的窄間隙107a來穿過供應通道148之狹縫105a供應源氣體S(例如,氯化鈦)。源氣體S可夾帶有朝向反應腔室810向下游流動之惰性氣體I。如圖3D中所示,因為沿著孔道130之周邊穿過狹縫105a而引入源氣體S,所以源氣體S可集中於中心惰性氣體I流徑之外部邊緣周圍。因此,如圖3D所示,所得下游流型可包括安置在孔道130中間之中心惰性氣體I型式,及安置在惰 性氣體I型式周圍之環形源氣體S型式。惰性氣體I及源氣體S之流型可包括由孔道130之截面界定的非環形型式。舉例而言,惰性氣體I及源氣體S之流型可在由孔道130之截面界定的圓化(例如,圓形或橢圓形)或多邊形截面中混合在一起。
在圖3D中示出之所得型式可為優於在圖1C中示出之三瓣流型的改良。代替表示高濃度源氣體之三個不同的瓣,在圖3D中,源氣體及惰性氣體之濃度連續地改變,而無在圖1C中示出之三個熱點。舉例而言,在圖3D中,流型為略微不均勻的,且自孔道130之中心至孔道130之內壁103有所變化。然而,對各種處理溫度之調整可減小此種不均勻性且增強混合。
雖然具有結合圖3A-3D所描述之狹縫之供應通道可改良岐管100中之氣體混合之均勻性,但可能需要進一步改良該混合以便改良裝置良率。圖4A-4F說明半導體裝置1之另一實施例,其中孔道包括具有環形流部分及非環形流部分之通道。除非另外陳述,否則圖4A-4F之組件可與圖2-3D中之相同編號之組件類似或相同。
具體而言,圖4A為包括圖2之岐管100之半導體裝置1沿著標記為4A-4A之截面取得的示意性側面截面視圖。圖4B為圖4A之半導體裝置1沿著圖2中標記為4B-4B之截面取得的示意性側面截面視圖。為了便於說明,在圖4A-4B中未示出氣體源850a-850c、855及閥114a-114b、116a-116b,但應瞭解,圖4A-4B中之通道可用與圖3A-3D中示出類似之方式連接至氣體源及閥。
在圖4A及圖4B中,岐管主體102可包括惰性氣體分配通道140,該惰性氣體分配通道140藉由通路142a、142b(參看圖3A)而與惰性氣體源(諸如來源855)且與閥114a-114b、116a-116b連通。另外,與圖3A一樣,岐管主體102可包括第一分配通道146及第二分配通道150。第一及第二分配通道146、150可至少部分圍繞岐管100之縱軸z安置,且可藉由通路144a、144b以及反應物及惰性氣體閥而與對應之反應物氣體源(諸如源850a-c)且與惰性氣體源成流體連通。如上文關於圖3A所闡釋,可選擇性地激活惰性氣體閥114a-114b及反應物氣體閥116a-116b以將惰性氣體及/或反應物氣體供應至孔道130。舉例而言,如上文關於圖3A-3D所闡釋,供應通道148、152可藉由穿過孔道130之內壁103之對應狹縫105a、105b將氣體供應至孔道130。狹縫105a、105b可圍繞縱軸z以界定孔道130之內壁103中之至少部分環形(例如,完整環)。
與圖3A-3D之實施例不同,下部塊108可包括三個子塊108a、108b、108c,在該三個子塊108a、108b、108c中形成分配通道146、150及供應通道148、152。舉例而言,如圖4A中所示,可藉由子塊108a之下表面及子塊108b之上表面來界定分配通道150及供應通道152。可藉由子塊108b之下表面及子塊108c之上表面來界定分配通道146及供應通道148。
另外,如圖4A-4B中所示,可在擴散塊110中界定第三分配通道171,例如,可藉由子塊108c之下表面及擴散塊110之 上表面來界定通道171。第三分配通道171可至少部分(例如,完全或部分地)圍繞縱軸z,且可藉由通路175與氣體源(諸如反應物源850a-850c及/或惰性氣體源855)成流體連通。與分配通道146、150一樣,分配通道171可藉由供應通道172將氣體供應至孔道130,其可大體上類似於供應通道148、152。舉例而言,供應通道172可包括具有穿過孔道130之內壁103的至少部分環形之間隙的狹縫。該狹縫及間隙可圍繞縱軸z,此與本文中所描述之供應通道148、152一樣。
與圖3A-3D之實施例不同,在圖4A-4B中示出之裝置10包括在孔道130之上游非環形流部分174A中的上游非環形流型、在孔道130之環形流部分173中的環形流部分,及在孔道130之下游非環形流部分174B中的下游非環形流型。相比而言,圖3A-3D中之裝置10可包括穿過孔道130之長度的非環形流部分。如圖4A-4B中所示,插塞170可安置在孔道130內,位於孔道130之環形流部分173中。如本文闡釋,插塞170可與岐管100之內壁103協作,以產生如在孔道130之截面中所觀察的至少部分環形之流徑。
如本文所使用,非環形流型及非環形流部分174A、174B可包括孔道130的任何合適之非環形截面。舉例而言,非環形流部分174A、174B可界定圓化(例如,圓形或橢圓形)或多邊形截面,其中氣體填充整個截面,例如,在非環形流部分174A、174B中不存在插塞或阻礙物。更確切而言,氣體流過孔道130之整個截面。
相比而言,環形流型及環形流部分173可包括孔道130之環形截面,其中部分地阻塞孔道130之內部區,以便使得氣體能夠沿著縱軸z流過由岐管130之內壁103及孔道130內之阻礙物(例如,插塞170)界定的環形區。環形流型及環形部分173之截面可為圓化的(例如,由同心圓或橢圓界定)、多邊形(例如,由同心多邊形界定),或任何其他合適之環形形狀。在一些實施例中,環形截面可為對稱的。在其他實施例中,環形截面可為非對稱的。
圖4C為與下部塊108之子塊108a耦接之插塞170的示意性透視圖。圖4D為圖4C之插塞170及子塊108a的示意性透視截面視圖。有利的是,岐管主體102可由如本文闡釋之多個塊及子塊形成。可用於構造岐管主體102之模組化可實現引入有用之組件,諸如圖4C及4D中示出之插塞170。舉例而言,如圖4C及圖4D中所示,子塊108a可包括開口176,插塞170穿過該開口而安置。在一些實施例中,插塞170可藉由黏合劑或緊固件而連接至子塊108a。在一些實施例中,可藉由過盈配合或摩擦配合而將插塞170裝配至開口176中。在其他實施例中,插塞170可包括釘狀特徵,其中上游凸緣在子塊108a之上表面上方延伸,以將插塞170緊固至子塊108a。
另外,如圖4C-4D中所示,子塊108a可包括安置在開口176及插塞170周圍之複數個孔177。因此,在子塊108a上游供應之氣體可在插塞170之上游錐形部分170A周圍經過。插塞170之上游錐形部分170A可產生自非環形流至環形流之過渡。在氣體 接近錐形部分170A之上游頂端時,可將氣體劃分為至少部分環形之流型。孔177可使得上游氣體能夠穿過子塊108a且在插塞170周圍經過。插塞170之下游錐形部分170B可使氣體自環形流過渡為非環形流。
圖4E為穿過分配通道146、150、171及供應通道148、152、172而界定之流徑178的示意性透視截面視圖。具體而言,在說明用來供應氣體之通道而非界定通道之結構(例如,岐管100)的範疇內,圖4E為岐管主體102之一部分的反面。如圖4E中所示,在流徑178之上游部分處,氣體可沿著至少部分環形之路徑173流過由圖4C-4D之孔177界定之孔通道177A。如上文闡釋,孔177可使得氣體能夠流過子塊108a。可藉由供應通道152將來自分配通道150之氣體供應至孔道130之環形部分173,該供應通道152可包括狹縫105b。可藉由包括狹縫105a之供應通道148將來自分配通道146之氣體供應至孔道130之環形部分173。類似地,可藉由可包括狹縫105c之供應通道172將來自分配通道171之氣體供應至孔道130之環形部分173。
在圖4E中示出之至少部分環形之部分173為完整環(亦即,圍繞縱軸z360°),但在其他實施例中,部分173可包括界定在90°與360°之間、120°與360°之間、180°與360°之間、240°與360°之間等的部分環。如圖4E中所示,環形部分173可過渡至下游非環形路徑174B中,且可將氣體輸送至如本文闡釋之反應腔室510。
圖4F為岐管主體102之放大示意性側面截面視圖,其說明上游非環形流部分174A、環形流部分173及下游非環形流部分174B。如圖4F中所示,可經由入口120供應第一惰性氣體I1(諸如氬氣)。第一惰性氣體I1可沿著縱軸z流過具有非環形流截面之孔道的非環形流部分174A。如在圖4F之右側所示,流過非環形部分174A之氣體可具有非環形截面流型態N1,其中第一惰性氣體I1流過由孔道130之內壁103界定的整個體積。因此,流型態N1在孔道130之內部中不具有任何屏障或阻塞。
在第一惰性氣體I1遇到插塞170之下游錐形部分170A時,第一惰性氣體I1可自非環形流過渡為環形流部分173內之至少部分環形的流(例如,完整環形流)。第一惰性氣體I1可穿過孔177且可沿著環形流部分173圍繞插塞170之外部周邊,例如,在插塞170之外部周邊與岐管主體102之內壁103之間,向下游行進。如第一環形流型態A1中所示,第一惰性氣體I1可均勻地填充在插塞170與岐管主體102之內壁103之間提供的環形空間。
在氣體至裝置10之示例性脈衝期間,可藉由分配通道146及供應通道148將源氣體S供應至孔道130之環形部分173。舉例而言,如上文闡釋,可藉由在通道146中積累之反壓將源氣體S(例如,反應物氣體)自較寬之分配通道146遞送至較窄之狹縫105a。如第二環形流型態A2中所示,源氣體S可圍繞內壁103均勻地進入,使得源氣體S可徑向向內推動惰性氣體I1。在第二環形流型態A2中,可圍繞惰性氣體I1同心地安置源氣體S。有利的 是,至少部分因為由流部分173提供之受限區域致使源氣體S及第一惰性氣體I1混合在一起,所以環形流部分173可促進源氣體S與第一惰性氣體I1之間的混合。
可藉由第三分配通道171及供應通道172將第二惰性氣體I2(諸如氬氣)供應至孔道130之環形流部分173,該供應通道172可包括界定穿過孔道130之內壁103的至少部分環形之間隙107c的窄狹縫105c。有利的是,第二惰性氣體I2可朝向插塞170之外部周邊推動源氣體S及第一惰性氣體I1以增強混合。如圖4F中所示,可在環形部分173中界定第三環形流型態A3,其中源氣體S環狀地安置在第一及第二惰性氣體I1、I2之間。受限之環形部分173可增強源氣體S與惰性氣體I1、I2之間的缺失,如圍繞插塞170之下游錐形部分170B安置的第四環形流型態A4中所示。
混合氣體可在插塞170下游自環形流型態A4過渡至第二非環形型態N2。在混合氣體出現在下游非環形部分174B中時,該等氣體可得到充分混合,以便在基底上提供實質上均勻之濃度及/或厚度。因此,在圖4A-4F中示出之實施例可改良混合且減小與其他類型之流岐管相關聯的不均勻性。由孔道130之環形部分173界定的受限流徑可有助於改良任何數目及類型之氣體的混合。圖3A-3D及圖4A-4F之實施例可導致小於5%、例如小於2%(例如,約1.8%)之平均沉積不均勻性,此與會導致約14%之平均不均勻性的圖1C之型式形成對比。
圖5A為說明在基底上沉積膜之方法500的流程圖。方法 500開始於經由供應通道將反應物氣體供應至岐管之孔道的框501。如本文闡釋,分配通道可將氣體自來源(諸如反應物或惰性氣體源)輸送至供應通道。該分配通道可圍繞岐管之縱軸環狀地安置。
在框502中,可經由狹縫將反應物氣體自供應通道引導至孔道。該狹縫可沿著孔道之內壁而界定至少部分環形之間隙。該至少部分環形之間隙可圍繞縱軸。舉例而言,該狹縫可包括圍繞縱軸360°之整環。在其他實施例中,該狹縫可包括僅圍繞縱軸部分地之部分環。如本文闡釋,該至少部分環形之間隙可包括顯著小於間隙沿著岐管之壁的周向或外圍長度之厚度。有利的是,如本文闡釋,該狹縫可向孔道提供相對均勻之氣體流。在一些實施例中,如本文闡釋,可提供插塞以界定至少部分環形之流徑。可在至少部分環形之流徑的上游及下游提供非環形流徑。
圖5B為說明根據各種實施例的在基底上沉積膜之方法550的流程圖。方法550開始於經由供應通道將反應物氣體供應至岐管之孔道的框551。如本文闡釋,分配通道可將氣體自來源(諸如反應物或惰性氣體源)輸送至供應通道。在一些實施例中,該分配通道可圍繞岐管之縱軸環狀地安置。
移至框552,可在孔道之環形流部分中產生至少部分環形之流型,使得反應物氣體以至少部分環形之截面沿著岐管之縱軸流動。舉例而言,在一些實施例中,可藉由安置在孔道內之插塞(諸如插塞170)來界定至少部分環形之流型。該插塞可部分地阻塞孔 道來分裂氣體流,使得氣體圍繞插塞之外部周邊流動。如本文闡釋,在至少部分環形之截面的上游,氣體可呈上游非環形流型流動。在氣體到達環形流部分時,氣體可圍繞插塞之外部周邊流動。由環形流徑提供之受限區域可有利地增強流過孔道之氣體的混合。
在框553中,在環形流部分之下游,可在孔道之非環形流部分中產生非環形流型,使得反應物氣體以非環形截面沿著縱軸流動。如本文闡釋,插塞可包括上游及下游錐形部分,其可使得氣體流能夠自非環形過渡至環形,且自環形過渡至非環形。環形氣體路徑會聚於下游非環形部分可進一步增強所供應氣體之混合,此可有利地改良裝置良率。
III.具有延長之混合長度的岐管
本文揭示之各種實施例可藉由延長沿著在將氣體供應至孔道130所處之位置下游之孔道130的混合長度來實現減小沉積不均勻性且改良混合。舉例而言,在一些實施例中,半導體處理裝置可包括岐管,岐管中包括孔道。孔道可界定在岐管之第一端部分與岐管之第二端部分之間的氣體通路。沿著岐管之縱軸,第一端部分可與第二端部分相對地安置且與第二端部分隔開第一距離。氣體通路可穿過岐管延伸大於第一距離之第二距離。舉例而言,在一些實施例中,第二距離可為第一距離之至少1.5倍、第一距離之至少2倍、第一距離之至少3倍,或第一距離之至少5倍。在一些實施例中,第二距離可在第一距離之1.5倍至10倍的範圍 內,例如,在第一距離之2倍至5倍的範圍內。反應腔室可安置在孔道之下游且與孔道成流體連通。
在一些實施例中,半導體處理裝置可包括岐管,岐管包括孔道,孔道具有界定岐管之縱軸的軸向部分,及不平行於縱軸而延伸之橫向部分。可沿著縱軸在第一位置安置將氣體供應至孔道之軸向部分之供應通道。橫向部分可安置在第一位置下游之第二位置。橫向部分可相對於縱軸非平行地延伸。反應腔室可安置在孔道之下游且與孔道成流體連通。
圖6A-6J說明半導體處理裝置10之實施例,其中岐管100具有延長之混合長度。除非另外陳述,否則圖6A-6J中之參考數字係指與來自圖2-4F之相同編號之組件相同或類似的組件。舉例而言,圖6A為具有延長之混合長度之岐管100的示意性透視圖。圖6B為圖6A之岐管100的示意性透視分解視圖。岐管100可包括與閥塊112a、112b連接之岐管主體102。反應物閥116a、116b及惰性氣體閥114a、114b可安置在塊112a、112b上。惰性氣體入口120、122可將惰性氣體供應至岐管100。岐管主體102可包括多個塊104、106、108。與圖3A-4F之實施例不同,中間塊106可包括子塊106a及子塊106b。下部塊108可包括第一子塊108a、第二子塊108b,及第三子塊108c。如上文闡釋,使用多個塊及子塊可實現對岐管100之模組化構造,此可實現使用具有彎曲形狀及其他內部內腔之內部通道。
有利的是,如本文闡釋,子塊108a-108c可界定延長型混 合長度路徑180,混合長度路徑具有第一橫向部分180a、偏置軸向部分180b,及第二橫向部分180b。如本文闡釋,路徑180可在將供應氣體引入至孔道130處之下游提供延長之混合長度。
圖6C為包括圖6A-6B之岐管100及反應腔室810的半導體處理裝置10之示意性側面截面視圖。與圖1A及圖2-4F一樣,除了惰性氣體分配通道140之外,岐管100可包括氣體分配通道136、150及146。供應通道138a-c可將氣體自分配通道136輸送至孔道130。供應通道152a-c可將氣體自分配通道150輸送至孔道130。供應通道148a-c可將氣體自分配通道146輸送至孔道130。在圖6C之實施例中,供應通道138a-c、152a-c、148a-c可包括類似於圖1A中示出之成角度之供應通道。然而,在其他實施例中,該等供應通道可包括圖3A-4F之供應通道138、148、152及/或172,該等供應通道包括圍繞岐管100之縱軸z而界定至少部分環形之間隙的狹縫。另外,在一些實施例中,圖6C之孔道130可包括插塞170,該插塞170界定氣體可流過之至少部分環形之流徑。因此,圖6C之岐管100可與結合圖3A-4F而描述之狹縫及/或環形流部分組合使用。
如下文結合圖6D-6J所闡釋,延長型混合長度路徑180可延長孔道130之混合長度以增強混合。如圖6C中所示,孔道130之路徑180可安置在最下游之供應通道將氣體供應至孔道130所處之位置L之下游。因此,如本文闡釋,由供應通道138、146、152供應之氣體起初可在沿著縱軸z在延長型混合長度路徑180之 上游延伸的孔道130之上游軸向部分130A內混合。
與沿著縱軸z筆直延伸穿過岐管100之孔道相比,路徑180可延長所供應氣體之混合長度(且從而延長混合時間)。如本文闡釋,延長型長度路徑180可包括不平行於縱軸z且遠離縱軸z而延伸之第一橫向部分180a、與縱軸z大體上平行但與縱軸z偏移地延伸之偏置軸向部分180b,及不平行於縱軸z且朝向縱軸z延伸之第二橫向部分180c。孔道130之第二橫向部分180c可過渡至下游軸向部分130B中,該下游軸向部分沿著縱軸z在路徑180之下游延伸至反應腔室810。雖然將下游軸向部分130B說明為安置在岐管100內達某一長度,但應瞭解,下游軸向部分130B可包括非常短之長度,或者可包括在反應腔室810之入口處路徑180與孔道130合併所處的接合點。亦即,第二橫向部分180c可朝向軸z橫向地延伸,且岐管中之開口可在第二橫向部分180c與反應腔室810之間直接地提供軸向流體連通。在此類實施例中,下游軸向部分130B可包括開口或孔口,開口或孔口提供路徑180與反應腔室810之間的軸向流體連通。
圖6D-6J說明在最下游之供應通道與孔道130合併所處之位置L之下游安置的延長型混合長度流徑180。具體而言,圖6A-6I說明穿過子塊108a-108c之路徑180。圖6J為穿過岐管100之流徑的示意性透視圖。
舉例而言,圖6D為第一子塊108a之示意性俯視透視圖。圖6E為第一子塊108a之示意性仰視透視圖。如圖6D及6J中所 示,孔道130可包括安置在子塊108a之上游且終止於子塊108a的上游軸向流部分130A。上游軸向流部分130A可沿著岐管100之縱軸z延伸。雖然將岐管100之縱軸z說明為垂直於岐管100之頂表面而安置,但在其他實施例中,縱軸z可斜向地穿過岐管100而安置。孔道130之上游軸向流部分130A可大體上平行於軸z或沿著軸z而安置。如圖6D中所示,上游軸向流部分130A進入第一子塊108a之上表面181。
在圖6E及圖6J中,上游軸向流部分130A可軸向地(亦即,沿著縱軸z)延伸穿過子塊108a之厚度的一部分。圖6F為第二子塊108b之俯視示意性透視圖。在組裝好時(參看圖6C),第一子塊108a及第二子塊108b可藉由一或多個機械緊固件連接在一起。第一及第二子塊108a、108b可進行協作以界定孔道130之路徑180之第一橫向部分180a。舉例而言,第一子塊108a之下表面182可包括穿過第一子塊108a之厚度之一部分而形成的第一凹槽183。第二子塊108b之上表面181可包括穿過第二子塊108b之厚度之一部分而形成的第二凹槽184。如圖6E-6F中所示,凹槽183、184可自軸向部分130A遠離縱軸z且不平行於縱軸z而延伸。在所說明之實施例中,凹槽183、184垂直於軸z而延伸。如圖6E-6F中所示,凹槽183、184劃定螺旋型式,該螺旋型式開始於軸向流部分130A且向子塊108a-108b之外部部分向外彎曲。
圖6G為第二子塊108b之示意性仰視透視圖。如圖6C、圖6F、圖6G及圖6J中所示,偏置軸向部分180b可與軸z偏移 地安置,且可包括大體上平行於軸z之分量。在所說明之實施例中,偏置軸向部分180b大體上平行於軸z而安置。在其他實施例中,偏置軸向部分180b可不平行於軸z,而是可包括平行於軸z之方向分量,使得偏置軸向部分180b沿著軸z經由至少某一移位輸送氣體。如圖6F及圖6G中所示,可沿著穿過子塊108b之整個厚度而形成的軸向通道185來界定偏置軸向部分180b。
圖6H為第三子塊108c之示意性俯視透視圖。在組裝好時,第二子塊108b之下表面182可與第三子塊108c之上表面181協作以界定如圖6J中所示之流徑180之第二橫向部分180c。舉例而言,第三凹槽186可形成於第二子塊108b之下表面182中。第四凹槽187可形成於第三子塊108c之上表面181中。如圖6G、圖6H及圖6J中所示,凹槽186、187及第二橫向部分180c可以螺旋型式不平行於縱軸z且朝向縱軸z延伸。舉例而言,第二橫向流部分180c可自偏置軸向通道185橫向地(例如,不平行於軸z)延伸至子塊108c之中心部分。
圖6I為第三子塊108c之示意性仰視透視圖。如圖6H-6J中所說明,第三子塊108c之凹槽187可與孔道130之下游軸向流部分130B連通。流過第二橫向部分180c之氣體可自穿過路徑180之橫向流過渡至穿過孔道130之軸向部分130B的軸向流。
在所說明之實施例中,延長型長度流徑180遠離縱軸z橫向地延伸、與軸z平行但與軸z偏移地延伸,及朝向縱軸z橫向地延伸。在所說明之實施例中,孔道130之第一及第二軸向部 分130A、130B沿著縱軸z大體上對準。然而,應瞭解,在其他實施例中,下游軸向部分130B可與縱軸z偏移。舉例而言,在此等實施例中,反應腔室810及出口132可與入口120及軸z偏移地安置。另外,在所說明之實施例中,路徑180包括兩個橫向部分180a、180c及一個偏置軸向部分180b。然而,在其他實施例中,可添加其他子塊以提供其他混合長度。舉例而言,在此等佈置中,路徑180可包括任何合適數目之橫向部分及偏置軸向部分。該等其他橫向及偏置軸向部分可進一步改良所供應氣體之混合。
橫向流部分180a、180c及偏置軸向部分180b之定位可有利地延長在供應通道進入孔道130所處之位置L下游之孔道130的混合長度。延長孔道130之混合長度亦可延長供應至孔道130之氣體之混合時間,此可改良沉積之均勻性且改良裝置良率。具體而言,圖6A-6J之實施例可提供小於2%、例如小於1%之混合不均勻性。在一些實施例中,由圖6A-6J提供之延長的混合長度可提供小於0.5%,或尤其小於0.15%(例如約0.09%)之混合不均勻性。
舉例而言,如圖6C中所示,岐管100可沿著岐管長度l延伸。在諸如圖1-4F中所說明之一些半導體裝置中,孔道可大體上沿著軸z自入口120延伸至出口132。因此,在此等佈置中,孔道之長度可自入口120處之第一端部分延伸至出口132處之第二端部分,使得孔道之長度與岐管100之長度l相同。然而,在圖6A-6J中示出之實施例中,由孔道130自入口120、穿過上游軸向 部分130A、穿過延長型長度混合路徑180且穿過下游軸向部分130B而界定的氣體路徑之長度可大於在入口120與出口132之間(例如,在岐管100之第一及第二端部分之間)界定之長度l。
圖7A為說明用於在基底上沉積一或多個層之方法700的流程圖。方法700開始於提供岐管之框701,岐管中包括孔道。孔道可界定在岐管之第一端部分與岐管之第二端部分之間的氣體通路。沿著岐管之縱軸,第一端部分可與第二端部分相對地安置且與第二端部分隔開第一距離。在各種實施例中,岐管之第一端部分可包括安置惰性氣體入口所處之端部。第二端部分可包括在安置出口所處之端部。
轉向框702,可將反應物氣體供應至孔道。在一些實施例中,可自氣體源將反應物氣體供應至分配通道。可藉由自分配通道延伸至孔道之一或多個供應通道將氣體輸送至孔道。在一些實施例中,供應通道可包括界定穿過孔道之內壁之至少部分環形之間隙的狹縫。在其他實施例中,供應通道可包括成角度之通路,該成角度之通路自分配通道至孔道向內成銳角。
在框703中,可沿著氣體通路將反應物氣體自第一端部分引導至第二端部分達第二距離。該第二距離可大於該第一距離。如本文闡釋,在一些實施例中,可沿著不平行於岐管之縱軸且遠離該縱軸而延伸之第一橫向部分來引導反應物氣體。路徑之偏置軸向部分可沿著縱軸輸送氣體。第二橫向部分可不平行於岐管之縱軸且朝向縱軸延伸。在一些佈置中,孔道之下游軸向部分 可將混合氣體輸送至反應腔室。有利的是,如本文闡釋,延長之混合長度可改良混合且減小沉積製程之不均勻性。
圖7B為說明根據各種實施例的用於在基底上沉積一或多個層之方法750的流程圖。在框751中,可提供包括孔道之岐管。孔道可包括界定岐管之縱軸的軸向部分,及不平行於縱軸而延伸之橫向部分。在框752中,可沿著縱軸在第一位置將反應物氣體供應至孔道之軸向部分。舉例而言,如上文闡釋,可藉由包括狹縫之供應通道將氣體供應至軸向部分。在其他實施例中,可藉由一或多個成角度之供應通道將氣體供應至軸向部分。
在框753中,可穿過孔道之軸向部分平行於縱軸來引導反應物氣體。在框755中,在軸向部分之下游,可在不平行於縱軸之方向上引導反應物氣體穿過孔道之橫向部分。在一些實施例中,氣體可在平行於縱軸(或包括平行於縱軸之方向分量)的方向上自橫向部分進入孔道之偏置軸向部分中。如本文闡釋,第二橫向部分可朝向縱軸橫向地延伸,以將氣體自偏置軸向部分輸送至下游軸向部分。可沿著下游軸向部分將氣體輸送至反應腔室。
IV.具有單個氣體供應層之岐管
本文揭示之各種實施例係關於具有用於將要供應至孔道100之每種反應物氣體之單個反應物供應通道的岐管100。舉例而言,半導體處理裝置可包括岐管,岐管包括界定內壁之孔道及穿過岐管之通道。半導體處理裝置可包括反應物氣體源。供應通道可被配置以用於藉由孔道之內壁上之開口將反應物氣體遞送至孔 道。可藉由開口將所有反應物氣體遞送至孔道。
圖8A為包括至孔道130之單個氣體遞送層之岐管100的示意性透視圖。除非另外陳述,否則圖8A-8F中之參考數字表示與來自圖1-7B之相同編號之組件相同或類似的組件。舉例而言,如圖8A中所示,岐管100可包括與兩個塊112a、112b連接之岐管主體102。第一及第二閥116a、116b(例如,反應物氣體閥)可安置在塊112a、112b上。惰性氣體入口120可將惰性氣體供應至孔道130。
圖8B為包括圖8A之岐管10及反應腔室810之半導體處理裝置10的示意性側面截面視圖。圖8C說明穿過圖8B之岐管100之氣體的流徑。與圖6A-6J之實施例一樣,岐管100可包括上游軸向流部分130A、延長型混合長度通路180,及下游軸向流部分130B。另外,路徑180可包括第一橫向部分180a、偏置軸向部分180b及第二橫向部分180c。有利的是,路徑180可在氣體進入孔道130所處之位置下游延長混合長度。延長之混合長度可改良所供應氣體之混合,以便改良均勻性及裝置良率。
由路徑180提供之延長的混合長度亦可有利地實現使用單個氣體供應層190。與其中沿著順著縱軸z間隔開之多個層而供應氣體的圖2-6J之實施例不同,在圖8B-8C之實施例中,供應層190可包括經由岐管100之內壁中的對應開口191a、191b將氣體遞送至孔道130之第一供應通道190a及第二供應通道190b。該等第一及第二供應通道190a、190b可在大致相同之軸向位置彼此相 對地安置。在其他佈置中,沿著孔道130以隔開之關係安置供應通道可改良混合。然而,在圖8B-8C中,由路徑180提供之延長的混合長度可實現使用第一供應通道190a來供應由對應第一氣體源提供給岐管100之所有反應物氣體。類似地,第二供應通道190b可供應由第二氣體源提供給岐管100之所有反應物氣體。因此,所有第一氣體可穿過第一供應通道190a及第一開口191a到達孔道130,例如,到達上游軸向部分130A。所有第二氣體可穿過第二供應通道190b及第二開口191b到達孔道130,例如,到達孔道130之上游軸向部分130A。
圖8D-8F為根據各種實施例之在圖8B-8C中示出之供應層190的示意性側面截面視圖。在圖8D中,氣體供應層190包括不平行於縱軸z而延伸之第一及第二供應通道190a、190b,例如,供應通道190a、190b垂直於軸z而安置。氣體流過開口191a、191b到達孔道130,且被沿著軸z軸向地輸送至延長型混合路徑180及反應腔室810。在圖8D中,供應通道190a、190b水平地延伸,使得通道190a、190b垂直於孔道130。與利用成角度之通道之岐管相比,此類佈置可有利地簡化製造過程。延長型混合長度路徑180可有助於所供應氣體之下游混合。
圖8E說明具有將氣體輸送至孔道130之向下成角度之部分192的第一及第二供應通道190a、190b。使部分192沿著軸z成角度可有利地增強孔道130之軸向部分130A中之混合。在圖8F中,成角度之部分192在擴展之孔道部分193的上游進入孔道 130,該擴展之孔道部分193具有比孔道130在擴展部分193上游之部分要大的直徑。擴展之部分193可包括孔道130中之混合腔室,所供應氣體可在該混合腔室中混合在一起。
圖9為說明根據各種實施例的在基底上沉積一或多個膜之方法900的流程圖。在框901中,可提供岐管。該岐管可包括孔道,該孔道具有內壁且界定穿過岐管之通道。在框902中,可經由孔道之內壁上的單個開口供應所有反應物氣體。如本文闡釋,使用單個氣體供應層可簡化岐管之製造。舉例而言,第二供應通道及第二開口可與單個開口及將氣體供應至該單個開口之第一供應通道安置在相同的軸向位置。
雖然已經出於清楚及理解之目的藉由說明及實例之方式詳細地描述了前述內容,但熟習此項技術者應明白,可實踐某些變更及修改。因此,描述及實例不應被解釋為使本發明之範圍受限於本文中所描述之特定實施例及實例,而是亦涵蓋屬於本發明之真實範圍及精神的所有修改及替代方案。另外,並非必需上文所描述之所有特徵、態樣及優勢來實踐本發明。
10:半導體處理裝置
100:岐管
102:主體
104:塊
106:塊
108:塊
110:塊
114a、114b、116a、116b:閥
120、122:入口
130:孔道
132:出口
134:錐形部分
136:通道
137:通路
138:通道
140:通道
142a、142b:通路
144a、144b:通路
146、148:通道
150:通道
152:通道
810:反應腔室
820:噴淋頭
822:板
824:充氣部
826:反應空間
828:基底支撐件
829:基底
830:開口
850a、850b、850c:反應物源/氣體源
855:惰性氣體源
860:控制器
z:縱軸/軸

Claims (22)

  1. 一種半導體處理裝置,其包括:一岐管,該岐管包括一孔道,該孔道具有沿著氣體通路配置以混合其中的多種氣體的延長長度,該孔道包括:界定該岐管之一縱軸的一軸向部分;不平行於該縱軸而自該軸向部分的第一端延伸之一橫向部分,該橫向部分至少部分地圍繞具有與該縱軸平行之分量的軸;一偏置軸向部分,該偏置軸向部分自該橫向部分的第二端向下游延伸且具有沿著該縱軸之一方向分量,該偏置軸向部分在橫向上偏離該縱軸而安置;以及不平行於該縱軸而自該偏置軸向部分的第三端延伸之一第二橫向部分,該第二橫向部分安置在該橫向部分的下游,其中該氣體通路通過該孔道的該軸向部分、該橫向部分、該偏置軸向部分以及該第二橫向部分,其中整個該氣體通路通過該孔道的該橫向部分、該偏置軸向部分以及該第二橫向部分,且其中該橫向部分、該偏置軸向部分以及該第二橫向部分僅包括單一通道,整個該氣體通路沿著該單一通道通過;一供應通道,該供應通道沿著該縱軸在一第一位置將氣體供應至該孔道之該軸向部分,該橫向部分安置於在該第一位置下游之一第二位置;以及一反應腔室,該反應腔室安置在該孔道之下游且與該孔道成流體連通。
  2. 如申請專利範圍第1項之半導體處理裝置,其進一步包括被配置以用於支撐一基底之一基底支撐件。
  3. 如申請專利範圍第1項之半導體處理裝置,其進一步包括一噴淋頭,該噴淋頭被配置以用於使氣體分散至該反應腔室。
  4. 如申請專利範圍第1項之半導體處理裝置,其進一步包括一氣體分配通道,該氣體分配通道藉由該供應通道將氣體自一氣體源輸送至該孔道。
  5. 如申請專利範圍第4項之半導體處理裝置,其進一步包括一反應物氣體閥,該反應物氣體閥被配置以用於將該氣體選擇性地轉移至該氣體分配通道。
  6. 如申請專利範圍第1項之半導體處理裝置,進一步包括具有沿著該縱軸之一方向分量的一下游軸向部分,該下游軸向部分在該第二橫向部分與該反應腔室之間提供流體連通。
  7. 如申請專利範圍第1項之半導體處理裝置,其中該橫向部分相對於具有與該縱軸平行之分量的軸以螺旋型式安置。
  8. 如申請專利範圍第1項之半導體處理裝置,其中該橫向部分包括一彎曲通道。
  9. 如申請專利範圍第1項之半導體處理裝置,其中該半導體處理裝置包括一原子層沉積(ALD)裝置。
  10. 如申請專利範圍第1項之半導體處理裝置,其中該孔道界定在該岐管的第一端部分與該岐管的第二端部分之間的該氣體通路,該第一端部分沿著該岐管的該縱軸相對於該第二端部分安置且與該第二端部分間隔開第一距離,其中該氣體通路穿過該岐管延伸第二距離,該第二距離大於該第一距離。
  11. 如申請專利範圍第10項之半導體處理裝置,其中該氣體通路包括該軸向部分及該橫向部分。
  12. 如申請專利範圍第1項之半導體處理裝置,進一步包括被配置來將惰性氣體傳輸至該孔道的一惰性氣體管線。
  13. 如申請專利範圍第12項之半導體處理裝置,進一步包括被配置以選擇性地轉移該惰性氣體至該惰性氣體管線的一惰性氣體閥。
  14. 如申請專利範圍第1項之半導體處理裝置,其中該供應通道與該孔道成一定角度安置。
  15. 如申請專利範圍第1項之半導體處理裝置,其中該供應通道包括圍繞該縱軸而界定至少部分環形之間隙的狹縫。
  16. 如申請專利範圍第1項之半導體處理裝置,進一步包括一第一塊,該第一塊具有界定於該第一塊的第一表面中的第一凹槽,其中該孔道的該橫向部分至少部分地藉由該第一塊的該第一凹槽界定。
  17. 如申請專利範圍第16項之半導體處理裝置,進一步包括一第二塊,該第二塊具有界定於該第二塊的第二表面中的第二凹槽,其中該第一塊的第一表面機械地連接到該第二塊的該第二表面,該第一凹槽與第二凹槽配合以至少部分地界定該孔道的該橫向部分。
  18. 如申請專利範圍第1項之半導體處理裝置,其中該岐管提供小於2%的混合不均勻性。
  19. 如申請專利範圍第1項之半導體處理裝置,其中該偏置軸向部分是連接該孔道的該橫向部分與該第二橫向部分的唯一流體路徑。
  20. 一種半導體處理裝置,其包括:一岐管,該岐管包括一孔道,該孔道具有沿著氣體通路配置以混合其中的多種氣體的延長長度,該孔道包括:一軸向部分,界定該岐管之一縱軸;一第一塊,具有界定於該第一塊的第一表面中的第一凹槽;一第二塊,具有界定於該第二塊的第二表面中的第二凹槽,其中該第一塊的該第一表面機械地連接到該第二塊的該第二表面,該第一凹槽與第二凹槽配合以至少部分地界定該孔道的橫向部分,該橫向部分不平行於該縱軸而自該軸向部分的第一端延伸,該橫向部分至少部分地圍繞具有一與該縱軸平行之分量的軸;一偏置軸向部分,該偏置軸向部分自該橫向部分的第二端向下游延伸且具有沿著該縱軸之一方向分量,該偏置軸向部分在橫向上偏離該縱軸而安置;以及不平行於該縱軸而自該偏置軸向部分的第三端延伸之一第二橫向部分,該第二橫向部分安置在該橫向部分的下游,其中該氣體通路通過該孔道的該軸向部分、該橫向部分、該偏置軸向部分以及該第二橫向部分,其中該橫向部分、該偏置軸向部分以及該第二橫向部分僅包括單一通道,該氣體通路沿著該單一通道通過;一供應通道,該供應通道沿著該縱軸在一第一位置將氣體供應至該孔道之該軸向部分,該橫向部分安置於在該第一位置下游 之一第二位置;以及一反應腔室,該反應腔室安置在該孔道之下游且與該孔道成流體連通。
  21. 如申請專利範圍第20項之半導體處理裝置,其中該偏置軸向部分是連接該孔道的該橫向部分與該第二橫向部分的唯一流體路徑。
  22. 如申請專利範圍第20項之半導體處理裝置,進一步包括具有沿著該縱軸之一方向分量的一下游軸向部分,該下游軸向部分在該第二橫向部分與該反應腔室之間提供流體連通。
TW106116447A 2016-06-01 2017-05-18 半導體處理裝置及沉積方法 TWI708862B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/170,639 US10662527B2 (en) 2016-06-01 2016-06-01 Manifolds for uniform vapor deposition
US15/170,639 2016-06-01

Publications (2)

Publication Number Publication Date
TW201805473A TW201805473A (zh) 2018-02-16
TWI708862B true TWI708862B (zh) 2020-11-01

Family

ID=60483039

Family Applications (2)

Application Number Title Priority Date Filing Date
TW109133186A TWI759879B (zh) 2016-06-01 2017-05-18 半導體處理裝置及沉積方法
TW106116447A TWI708862B (zh) 2016-06-01 2017-05-18 半導體處理裝置及沉積方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109133186A TWI759879B (zh) 2016-06-01 2017-05-18 半導體處理裝置及沉積方法

Country Status (4)

Country Link
US (3) US10662527B2 (zh)
KR (3) KR102163744B1 (zh)
CN (1) CN107447204B (zh)
TW (2) TWI759879B (zh)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
CN111670265A (zh) 2018-01-31 2020-09-15 朗姆研究公司 用于多前体的歧管阀
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) * 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020131214A1 (en) * 2018-12-20 2020-06-25 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
SG11202107817XA (en) * 2019-03-11 2021-09-29 Applied Materials Inc Lid assembly apparatus and methods for substrate processing chambers
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
TWI768838B (zh) * 2019-04-09 2022-06-21 美商應用材料股份有限公司 半導體處理系統部件
KR20210017147A (ko) * 2019-08-07 2021-02-17 주성엔지니어링(주) 가스 유입 장치 및 이를 이용한 기판 처리 장치
TW202117217A (zh) 2019-09-19 2021-05-01 美商應用材料股份有限公司 清潔減少滯留區的隔離閥
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11804363B2 (en) 2019-11-08 2023-10-31 Applied Materials, Inc. Chamber components for gas delivery modulation
KR20220077875A (ko) 2020-12-02 2022-06-09 에이에스엠 아이피 홀딩 비.브이. 샤워헤드 어셈블리용 세정 고정구
CN114134486B (zh) * 2021-12-09 2023-06-09 拓荆科技股份有限公司 一种反应腔匀气结构
CN116103640B (zh) * 2023-04-07 2023-06-27 上海陛通半导体能源科技股份有限公司 一种ald反应腔装置及ald镀膜设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
JP4667541B2 (ja) * 2008-10-20 2011-04-13 旭有機材工業株式会社 渦巻き式流体混合器及び渦巻き式流体混合器を用いた装置
TW201602393A (zh) * 2014-02-25 2016-01-16 Asm Ip控股公司 氣體供應歧管及使用其供應氣體至室之方法

Family Cites Families (233)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1523156A (en) * 1923-07-05 1925-01-13 Leslie M Adams Electrically-energized faucet heater
US1853045A (en) * 1931-01-09 1932-04-12 Air Conditioning & Eng Fluid mixing means
US3429903A (en) 1968-03-12 1969-02-25 American Metal Climax Inc Method of preparing molybdenum (iii) acetylacetonate
US3784631A (en) 1972-03-09 1974-01-08 Goodyear Tire & Rubber Dimerization or codimerization of alpha-olefins
US3882934A (en) * 1972-06-02 1975-05-13 Aga Ab Heat exchanger
DE7242602U (zh) * 1972-11-20 1976-04-29 Hoogovens Ijmuiden B.V., Ijmuiden (Niederlande)
US4222671A (en) * 1978-09-05 1980-09-16 Gilmore Oscar Patrick Static mixer
US4401052A (en) 1979-05-29 1983-08-30 The University Of Delaware Apparatus for continuous deposition by vacuum evaporation
US4422773A (en) * 1980-08-04 1983-12-27 Technicon Instruments Corporation Apparatus and method for the non-invasive mixing of a flowing fluid stream
US4410281A (en) * 1981-03-02 1983-10-18 Ralph B. Carter Company Mixing method and apparatus utilizing pipe elbows
US4649859A (en) * 1985-02-19 1987-03-17 The United States Of America As Represented By The United States Department Of Energy Reactor design for uniform chemical vapor deposition-grown films without substrate rotation
GB8526566D0 (en) * 1985-10-29 1985-12-04 Plessey Co Plc Manifold assembly
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3715644A1 (de) 1987-05-11 1988-12-01 Fraunhofer Ges Forschung Molekularstrahlepitaxieanlage
US5080549A (en) 1987-05-11 1992-01-14 Epsilon Technology, Inc. Wafer handling system with Bernoulli pick-up
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
JPS6411320A (en) 1987-07-06 1989-01-13 Toshiba Corp Photo-cvd device
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US5071460A (en) 1988-03-04 1991-12-10 Nippon Telegraph And Telephone Corporation Process for the preparation of fluoride glass and process for the preparation of optical fiber preform using the fluoride glass
US4949783A (en) 1988-05-18 1990-08-21 Veeco Instruments, Inc. Substrate transport and cooling apparatus and method for same
DE58909880D1 (de) 1988-05-24 2001-12-20 Unaxis Balzers Ag Vakuumanlage
US4889609A (en) 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US4907534A (en) 1988-12-09 1990-03-13 Siemens Aktiengesellschaft Gas distributor for OMVPE Growth
WO1990010092A1 (en) 1989-02-24 1990-09-07 Massachusetts Institute Of Technology A modified stagnation flow apparatus for chemical vapor deposition providing excellent control of the deposition
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5106453A (en) * 1990-01-29 1992-04-21 At&T Bell Laboratories MOCVD method and apparatus
US5004374A (en) * 1990-02-28 1991-04-02 Bettie Grey Method of laying out a pathway for piping
DE4006489A1 (de) 1990-03-02 1991-09-05 Hoechst Ag Vorrichtung zum herstellen duenner schichten aus metallmischoxiden aus organischen metallverbindungen auf einem substrat
US5028724A (en) 1990-03-30 1991-07-02 Air Products And Chemicals, Inc. Synthesis of volatile fluorinated and non-fluorinated metal-beta-ketonate and metal-beta-ketoiminato complexes
CA2016970A1 (en) 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
US7323581B1 (en) 1990-07-06 2008-01-29 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
US5840897A (en) 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US6110529A (en) 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5131627A (en) * 1990-10-17 1992-07-21 Nupro Company Diaphragm valve
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH04308090A (ja) 1991-04-05 1992-10-30 M B K Maikurotetsuku:Kk 気相化学反応生成装置のロードロック機構
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5192371A (en) 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
US5223001A (en) 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
US5248253A (en) 1992-01-28 1993-09-28 Digital Equipment Corporation Thermal processing furnace with improved plug flow
JPH05218176A (ja) 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
JPH0811718B2 (ja) * 1992-02-27 1996-02-07 大同ほくさん株式会社 ガスソース分子線エピタキシー装置
US5229615A (en) 1992-03-05 1993-07-20 Eaton Corporation End station for a parallel beam ion implanter
GB2264957B (en) * 1992-03-12 1995-09-20 Bell Communications Res Deflected flow in a chemical vapor deposition cell
JP3183575B2 (ja) 1992-09-03 2001-07-09 東京エレクトロン株式会社 処理装置および処理方法
JP3405466B2 (ja) 1992-09-17 2003-05-12 富士通株式会社 流体切替弁および半導体装置の製造装置
JP3186262B2 (ja) 1992-10-14 2001-07-11 ソニー株式会社 半導体装置の製造方法
KR100302012B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
JP2548062B2 (ja) 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 縦型熱処理装置用ロードロックチャンバー
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
JP3292540B2 (ja) 1993-03-03 2002-06-17 東京エレクトロン株式会社 熱処理装置
JP3218488B2 (ja) 1993-03-16 2001-10-15 東京エレクトロン株式会社 処理装置
JP2875458B2 (ja) * 1993-07-16 1999-03-31 大日本スクリーン製造株式会社 基板の熱処理装置
JPH07122500A (ja) 1993-10-28 1995-05-12 Fujitsu Ltd ガス機器及びこれを利用したガス供給装置
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3042335B2 (ja) 1994-10-25 2000-05-15 信越半導体株式会社 気相成長方法及びその装置
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3380091B2 (ja) 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5609459A (en) 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
JP3258885B2 (ja) 1995-12-27 2002-02-18 東京エレクトロン株式会社 成膜処理装置
TW322602B (zh) 1996-04-05 1997-12-11 Ehara Seisakusho Kk
US6534133B1 (en) 1996-06-14 2003-03-18 Research Foundation Of State University Of New York Methodology for in-situ doping of aluminum coatings
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5951771A (en) * 1996-09-30 1999-09-14 Celestech, Inc. Plasma jet system
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
WO1998046617A1 (en) 1997-04-17 1998-10-22 The President And Fellows Of Harvard College Liquid precursor for formation of metal oxides
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
PT996498E (pt) * 1997-07-24 2001-10-31 Siemens Axiva Gmbh & Co Kg Misturador de conveccao caotico, continuo, permutador de calor e reactor de calor
US6432479B2 (en) 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
WO1999037377A1 (fr) * 1998-01-26 1999-07-29 Kazuji Fukunaga Dispositif de coagulation/condensation et technique afferente
JP2002506181A (ja) 1998-03-05 2002-02-26 スウエイジロク・カンパニー モジュール式表面取付型マニホルド
US6079353A (en) 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US5893641A (en) * 1998-05-26 1999-04-13 Garcia; Paul Differential injector
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP2000172343A (ja) 1998-12-02 2000-06-23 Hitachi Ltd ガス供給装置と成膜装置
DE60035948T2 (de) 1999-06-19 2008-05-15 Asm Genitech Korea Ltd. Chemischer abscheidungsreaktor und dessen verwendung für die abscheidung eines dünnen films
AU1218401A (en) 1999-10-20 2001-04-30 Cvd Systems, Inc. Fluid processing system
DE10060002B4 (de) 1999-12-07 2016-01-28 Komatsu Ltd. Vorrichtung zur Oberflächenbehandlung
KR100780143B1 (ko) 2000-02-04 2007-11-27 아익스트론 아게 기재상에 하나 이상의 층을 증착하기 위한 장치와 방법
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
US6303501B1 (en) 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method
WO2001088972A1 (en) 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
US6299692B1 (en) 2000-07-21 2001-10-09 Applied Materials, Inc. Head for vaporizing and flowing various precursor materials onto semiconductor wafers during chemical vapor deposition
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
WO2002018394A1 (en) 2000-08-28 2002-03-07 Advanced Technology Materials, Inc. Source reagent compositions and method for forming metal films on a substrate by chemical vapor deposition
AU2001294588A1 (en) 2000-09-13 2002-03-26 Applied Materials, Inc. Processing chamber with multi-layer brazed lid
DE10064944A1 (de) 2000-09-22 2002-04-11 Aixtron Ag Verfahren zum Abscheiden von insbesondere kristallinen Schichten, Gaseinlassorgan sowie Vorrichtung zur Durchführung des Verfahrens
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US20040028810A1 (en) 2000-10-16 2004-02-12 Primaxx, Inc. Chemical vapor deposition reactor and method for utilizing vapor vortex
US6428847B1 (en) 2000-10-16 2002-08-06 Primaxx, Inc. Vortex based CVD reactor
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6905547B1 (en) 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US7246796B2 (en) * 2001-01-18 2007-07-24 Masayuki Toda Carburetor, various types of devices using the carburetor, and method of vaporization
US7591957B2 (en) 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
KR100781820B1 (ko) * 2001-02-21 2007-12-03 시부야 코교 가부시키가이샤 기체액체 혼합류의 분사장치
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
BR0101433B1 (pt) * 2001-04-10 2011-02-22 método e dispositivo de entrada multi-funcional para reator tubular de fluxo descendente.
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6626997B2 (en) * 2001-05-17 2003-09-30 Nathan P. Shapiro Continuous processing chamber
KR100421219B1 (ko) 2001-06-14 2004-03-02 삼성전자주식회사 β-디케톤 리간드를 갖는 유기 금속 착물을 이용한 원자층증착방법
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
US20080102203A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780789B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US7163587B2 (en) 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6684719B2 (en) * 2002-05-03 2004-02-03 Caterpillar Inc Method and apparatus for mixing gases
CN1464401B (zh) 2002-06-28 2010-05-26 国际商业机器公司 使用影子对象进行核准控制的面向对象系统和方法
US6884296B2 (en) 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
FR2846733B1 (fr) * 2002-10-31 2006-09-15 Valeo Thermique Moteur Sa Condenseur, notamment pour un circuit de cimatisation de vehicule automobile, et circuit comprenant ce condenseur
JP3889698B2 (ja) * 2002-11-22 2007-03-07 本田技研工業株式会社 蓄熱装置
US7045060B1 (en) * 2002-12-05 2006-05-16 Inflowsion, L.L.C. Apparatus and method for treating a liquid
US7296532B2 (en) 2002-12-18 2007-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bypass gas feed system and method to improve reactant gas flow and film deposition
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US20050000428A1 (en) 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7304263B2 (en) 2003-08-14 2007-12-04 Rapt Industries, Inc. Systems and methods utilizing an aperture with a reactive atom plasma torch
US7297892B2 (en) 2003-08-14 2007-11-20 Rapt Industries, Inc. Systems and methods for laser-assisted plasma processing
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
JP2005101454A (ja) * 2003-09-26 2005-04-14 Watanabe Shoko:Kk 気化器
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
DE602004026334D1 (de) * 2003-10-17 2010-05-12 Sundew Technologies Llc Ausfallsicheres, pneumatisch betätigtes ventil
KR20060096445A (ko) 2003-10-29 2006-09-11 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
WO2009105668A1 (en) 2008-02-20 2009-08-27 President And Fellows Of Harvard College Bicyclic guanidines, metal complexes thereof and their use in vapor deposition
DE102004007456A1 (de) 2004-02-13 2005-09-01 Degussa Ag Hochgefüllte Polyolefin-Compounds
NL1026873C2 (nl) * 2004-02-25 2005-08-26 Ferro Techniek Holding Bv Inrichting en werkwijze voor het verwarmen van vloeistoffen, en basisstructuur.
ES2300983T3 (es) * 2004-03-08 2008-06-16 Laitram, Llc Procedimiento y aparato para calentar o enfriar productos alimentarios.
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8188154B2 (en) * 2004-11-09 2012-05-29 Sumitomo Bakelite Company, Ltd. Decomposition reaction apparatus, system for producing raw material for recycled resin composition, method for producing raw material for recycled resin composition, raw material for recycled resin composition, and formed article
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US7846499B2 (en) 2004-12-30 2010-12-07 Asm International N.V. Method of pulsing vapor precursors in an ALD reactor
KR101463581B1 (ko) 2005-01-18 2014-11-20 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US8298336B2 (en) 2005-04-01 2012-10-30 Lam Research Corporation High strip rate downstream chamber
US7416994B2 (en) 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
US7510688B2 (en) * 2005-09-26 2009-03-31 Lg Chem, Ltd. Stack type reactor
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
KR101522725B1 (ko) 2006-01-19 2015-05-26 에이에스엠 아메리카, 인코포레이티드 고온 원자층 증착용 인렛 매니폴드
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
JP2009539237A (ja) 2006-06-02 2009-11-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 新規なチタン、ジルコニウムおよびハフニウム前駆体をベースとするhigh−k誘電体フィルムを形成する方法および半導体製造におけるそれらの使用
CN102993050A (zh) 2006-06-28 2013-03-27 哈佛学院院长等 四脒基金属(iv)化合物及其在气相沉积中的用途
US7638645B2 (en) 2006-06-28 2009-12-29 President And Fellows Of Harvard University Metal (IV) tetra-amidinate compounds and their use in vapor deposition
CN101117308A (zh) 2006-08-04 2008-02-06 浙江医药股份有限公司新昌制药厂 乙酰丙酮酸钼的制备方法
KR100791073B1 (ko) 2006-08-16 2008-01-02 삼성전자주식회사 난류 날개들을 갖는 배기 배관 및 배기 시스템
KR101466998B1 (ko) * 2006-08-23 2014-12-01 가부시키가이샤 호리바 에스텍 집적형 가스 패널 장치
KR101480971B1 (ko) 2006-10-10 2015-01-09 에이에스엠 아메리카, 인코포레이티드 전구체 전달 시스템
CN101191612A (zh) * 2006-11-20 2008-06-04 游图明 用于家用电器的蒸汽形成方法及装置
US7809696B2 (en) 2006-12-28 2010-10-05 Sap, Ag System and method for matching similar master data using associated behavioral data
US20080241387A1 (en) 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US20080254218A1 (en) 2007-04-16 2008-10-16 Air Products And Chemicals, Inc. Metal Precursor Solutions For Chemical Vapor Deposition
JP2008264640A (ja) 2007-04-18 2008-11-06 Shimadzu Corp 混合器
US8142847B2 (en) 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
US20090095222A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
KR100880773B1 (ko) * 2008-01-23 2009-02-02 (주) 씨엠테크 유체 가열장치
TWI498988B (zh) 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
JP2009239082A (ja) 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
US8463117B2 (en) * 2008-06-24 2013-06-11 Advanced Materials Enterprises Company Limited Water heating apparatus
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
KR20100015213A (ko) 2008-08-04 2010-02-12 삼성전기주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
WO2010017095A2 (en) * 2008-08-06 2010-02-11 Hotset Corporation Fluid preheater
US9259694B2 (en) * 2008-08-07 2016-02-16 Asahi Organic Chemicals Industry Co., Ltd. Fluid mixer and apparatus using fluid mixer
US8187381B2 (en) 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
JP4667540B2 (ja) * 2008-10-20 2011-04-13 旭有機材工業株式会社 螺旋式流体混合器及び螺旋式流体混合器を用いた装置
US8702017B2 (en) * 2008-12-16 2014-04-22 Asm Assembly Automation Ltd Nozzle device employing high frequency wave energy
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
JP5658463B2 (ja) 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100266765A1 (en) 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
CN102414794B (zh) 2009-04-21 2015-01-28 应用材料公司 改良膜厚度不均匀性与粒子表现的cvd设备
US8628618B2 (en) * 2009-09-29 2014-01-14 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system
JP5484008B2 (ja) 2009-11-13 2014-05-07 旭有機材工業株式会社 静止型流体混合器及び静止型流体混合器を用いた装置
JP2011104483A (ja) 2009-11-13 2011-06-02 Asahi Organic Chemicals Industry Co Ltd 静的流体混合器及び静的流体混合器を用いた装置
JP5441746B2 (ja) * 2010-02-05 2014-03-12 旭有機材工業株式会社 流体混合器および流体混合器を用いた装置
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
JP5839830B2 (ja) 2010-04-28 2016-01-06 昭和電工株式会社 有機金属錯体化合物、有機金属錯体化合物の製造方法および有機金属錯体化合物を含む光硬化性組成物
WO2011158430A1 (ja) * 2010-06-16 2011-12-22 株式会社 日立ハイテクノロジーズ 液体混合装置、および液体クロマトグラフ
JP5873494B2 (ja) 2010-08-27 2016-03-01 シグマ−アルドリッチ・カンパニー、エルエルシー モリブデン(iv)アミド前駆体及び原子層堆積法におけるそれらの使用
JP2012099594A (ja) 2010-11-01 2012-05-24 Hitachi Kokusai Electric Inc 基板処理装置
US8746284B2 (en) * 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
JP5730670B2 (ja) 2011-05-27 2015-06-10 株式会社Adeka 酸化モリブデンを含有する薄膜の製造方法、及び酸化モリブデンを含有する薄膜の形成用原料
US8636958B2 (en) * 2011-09-07 2014-01-28 Marathon Oil Canada Corporation Nozzle reactor and method of use
US8485230B2 (en) * 2011-09-08 2013-07-16 Laor Consulting Llc Gas delivery system
JP2013075281A (ja) 2011-09-30 2013-04-25 Asahi Organic Chemicals Industry Co Ltd 流体混合器および流体混合器を用いた装置
US9574268B1 (en) * 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
WO2013111789A1 (ja) 2012-01-23 2013-08-01 旭有機材工業株式会社 スタティックミキサーおよびスタティックミキサーを用いた装置
ITTO20120451A1 (it) * 2012-05-24 2013-11-25 Lavazza Luigi Spa Dispositivo riscaldatore elettrico per la produzione di acqua calda e/o vapore.
US9279185B2 (en) * 2012-06-14 2016-03-08 Asm Technology Singapore Pte Ltd Feed-through apparatus for a chemical vapour deposition device
CN102974255B (zh) * 2012-10-31 2015-07-01 中国科学院过程工程研究所 一种被动式螺旋微结构混合装置及应用
CN107424901B (zh) * 2013-03-12 2019-06-11 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
US10480077B2 (en) 2013-03-13 2019-11-19 Applied Materials, Inc. PEALD apparatus to enable rapid cycling
US20140284404A1 (en) * 2013-03-20 2014-09-25 Asm Technology Singapore Pte Ltd. Chemical vapour deposition injector
KR101464173B1 (ko) 2013-07-23 2014-11-21 영남대학교 산학협력단 전이금속 칼코겐화합물 박막 형성 방법
KR101621470B1 (ko) 2013-07-31 2016-05-16 건국대학교 산학협력단 MoS2 박막 및 이의 제조방법
US9353440B2 (en) * 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
FR3016889B1 (fr) 2014-01-24 2016-01-22 Commissariat Energie Atomique Procede de reaslisation par ald d'une couche mince de formule myx
US10099948B2 (en) * 2014-02-20 2018-10-16 King Abdullah University Of Science And Technology Spiral-shaped disinfection reactors
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10107490B2 (en) * 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US10113232B2 (en) * 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
KR102267923B1 (ko) 2014-08-26 2021-06-22 에이에스엠 아이피 홀딩 비.브이. 증착 장치
EP3207980A4 (en) * 2014-10-14 2018-07-04 Alps Electric Co., Ltd. Fluid mixing device
KR101535573B1 (ko) 2014-11-04 2015-07-13 연세대학교 산학협력단 전이금속 칼코겐 화합물 합성 방법
CN104561937B (zh) 2015-01-05 2017-08-15 上海纳米技术及应用国家工程研究中心有限公司 原子层沉积制备具有固体润滑作用的ws2薄膜方法
US9982341B2 (en) * 2015-01-30 2018-05-29 Lam Research Corporation Modular vaporizer
US11047042B2 (en) 2015-05-27 2021-06-29 Asm Ip Holding B.V. Synthesis and use of precursors for ALD of molybdenum or tungsten containing thin films
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10358407B2 (en) 2016-10-12 2019-07-23 Asm Ip Holding B.V. Synthesis and use of precursors for vapor deposition of tungsten containing thin films
US10147597B1 (en) * 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20210048408A (ko) * 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
JP4667541B2 (ja) * 2008-10-20 2011-04-13 旭有機材工業株式会社 渦巻き式流体混合器及び渦巻き式流体混合器を用いた装置
TW201602393A (zh) * 2014-02-25 2016-01-16 Asm Ip控股公司 氣體供應歧管及使用其供應氣體至室之方法

Also Published As

Publication number Publication date
KR102504326B1 (ko) 2023-02-28
US10662527B2 (en) 2020-05-26
TW201805473A (zh) 2018-02-16
TWI759879B (zh) 2022-04-01
CN107447204B (zh) 2022-07-19
US20220349060A1 (en) 2022-11-03
KR102163744B1 (ko) 2020-10-12
US20200248308A1 (en) 2020-08-06
CN107447204A (zh) 2017-12-08
KR20200117941A (ko) 2020-10-14
US11377737B2 (en) 2022-07-05
US20170350011A1 (en) 2017-12-07
KR20170136432A (ko) 2017-12-11
KR20230031271A (ko) 2023-03-07
TW202115279A (zh) 2021-04-16

Similar Documents

Publication Publication Date Title
TWI708862B (zh) 半導體處理裝置及沉積方法
US10370761B2 (en) Pulsed valve manifold for atomic layer deposition
US11208722B2 (en) Vapor flow control apparatus for atomic layer deposition
US6773507B2 (en) Apparatus and method for fast-cycle atomic layer deposition
US7020981B2 (en) Reaction system for growing a thin film
KR101522725B1 (ko) 고온 원자층 증착용 인렛 매니폴드
US20210118668A1 (en) Semiconductor deposition reactor manifolds
US20050116064A1 (en) Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US10927459B2 (en) Systems and methods for atomic layer deposition
US11492701B2 (en) Reactor manifolds
KR101471973B1 (ko) 원자층 증착 설비 및 이의 제어 방법
KR100369859B1 (ko) 원자층 증착 장치
JP3246139U (ja) 反応器マニホールド
KR20240000647U (ko) 반응기 매니폴드
TW202229604A (zh) 用於形成膜之rpcvd設備及方法